JPH10116907A - 半導体装置を形成する方法 - Google Patents

半導体装置を形成する方法

Info

Publication number
JPH10116907A
JPH10116907A JP9289152A JP28915297A JPH10116907A JP H10116907 A JPH10116907 A JP H10116907A JP 9289152 A JP9289152 A JP 9289152A JP 28915297 A JP28915297 A JP 28915297A JP H10116907 A JPH10116907 A JP H10116907A
Authority
JP
Japan
Prior art keywords
dielectric layer
forming
conductive
layer
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9289152A
Other languages
English (en)
Other versions
JP3694394B2 (ja
Inventor
Bruce Allen Boeck
ブルース・アレン・ボエック
Jeff Thomas Wetzel
ジェフ・トマス・ウェッゼル
Terry Grant Sparks
テリー・グラント・スパークス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH10116907A publication Critical patent/JPH10116907A/ja
Application granted granted Critical
Publication of JP3694394B2 publication Critical patent/JP3694394B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】 【課題】 低い誘電率を有する誘電体層を備え機械的強
度の低下をおさえると共に熱放散を改善できる集積回路
の相互接続構造を実現する。 【解決手段】 低い誘電率の誘電体層を有する相互接続
構造が集積回路内に形成される。1実施形態では、導電
性相互接続21に隣接する二酸化シリコン層18の一部
が除去されて窒化シリコンのエッチストップ層16の一
部を露出する。低い誘電率を有する誘電体層22が次に
導電性相互接続21および窒化シリコンのエッチストッ
プ層16の露出部分の上に形成される。誘電体層22の
一部が次に除去されて導電性相互接続21の頭部面を露
出し隣接する導電体相互接続21の間の誘電体層22の
一部を残す。得られた相互接続構造は導電性相互接続2
1の間の低いクロストークを有し、一方従来技術の欠点
である。低い熱放散および大きな機械的ストレスを避け
ることができる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般的には半導体の
製造に関し、かつより特定的には、容量結合およびクロ
ストークを低減するために金属部材の間に低誘電率の領
域を形成することに関する。
【0002】
【従来の技術】現代の集積回路は単一のチップ上に何千
もの半導体デバイスを含んでおり、かつチップのデバイ
ス密度が増大するに応じてより多くのメタリゼイション
または金属層がデバイスを相互接続するために必要とさ
れる。さらに、与えられた金属層内で、メタリゼイショ
ンラインを分離する水平距離は集積回路の密度が増大す
るに応じてチップサイズを最小にするため低減されなけ
ればならない。同時に、チップの速度および性能要求に
合致するためメタリゼイションの抵抗および容量は最小
にしなければならない。伝統的には、同じレベル内のメ
タリゼイションラインおよび2つの異なるレベルのメタ
リゼイションラインを隔離するために使用されるレベル
間または層間(inter−level)誘電体は高い
誘電率を有する材料で構成されてきた。例えば、ボロフ
ォスフォシリケートガラス(borophosphos
ilicate glass:BPSG)、フォスフォ
シリケートガラス(phosphosilicate
glass:PSG)、およびプラズマおよび化学蒸着
されたテトラエチルオルソシリケート(tetraet
hylorthosilicate)ベースの(TEO
S)酸化物のようなドーピングされていないおよびドー
ピングされた二酸化シリコン層がこれらの多層金属構造
における誘電体層として使用されてきている。半導体産
業の絶えず増大するデバイス密度および動作速度を備え
た集積回路に対する絶えざる需要はクロストーク、容量
結合、および結果としての速度の低下を低減するため
に、低い誘電率を有する新しい誘電体材料を要求してい
る。
【0003】
【発明が解決しようとする課題】しかしながら、レベル
間および金属間誘電体層を形成するために使用される低
い誘電率の材料の多くはそれらの貧弱な機械的強度のた
め取り扱うのが困難である。さらに、これらの材料の多
くは処理温度の制限を有し、すなわち、それらはいった
ん集積回路の上に形成されると、ある温度より高い熱処
理にさらすことはできない。さらに、これらの材料の多
くはまた貧弱な熱伝導率を示す。従って、高周波動作の
間に発生される熱が集積回路から効率的に放散できず、
従って回路の信頼性が問題となる。従って、機械的強度
の不都合が低減され、かつ熱放散が改善された、低い誘
電率を有する誘電体層を備えた相互接続構造を形成する
方法の必要性が存在する。
【0004】
【課題を解決するための手段】一般に、本発明はRC時
間遅延または隣接金属ラインの間のクロストークが低減
された集積回路(IC)における相互接続構造を形成す
る方法に関する。本発明の1実施形態では、相互接続構
造は高い誘電率を備えた第1の誘電体層および低い誘電
率を備えた第2の誘電体層を使用することにより形成さ
れる。本発明のこの実施形態では、低い誘電率を有する
誘電体層は導電性相互接続の間に支配的または優勢に
(predominantly)形成されかつ実質的に
該導電性相互接続の上には形成されない。低K(low
−K)誘電体材料がこのようにして前記相互接続の間の
犠牲的な(sacrificial)より高いKの誘電
体層が除去されて低いKの誘電体材料で充填できる導電
性部材の間の領域を提供した後に形成され、それによっ
て前記導電性部材の上の低いKの誘電体材料が低減ある
いは除去される。導電性部材の上に横たわるかあるいは
下に横たわる代わりに導電性領域の間に低いKの材料を
このように選択的に配置することは熱放散および機械的
強度を改善し、一方低いKの材料に関連する改善された
アイソレーションの利点を保持する。
【0005】本発明の他の実施形態では、低減されたク
ロストークまたはRC時間遅延を有する相互接続構造が
第1の誘電体層をエッチングしてコンタクト開口を形成
することにより形成される。第2の誘電体層が次に被着
され、この場合該第2の誘電体層は低い誘電率を有す
る。第2の誘電体層は前記第1の誘電体層におけるコン
タクト開口が密閉または密封されて空気領域またはエア
領域(air region)を形成するように被着さ
れる(例えば、第2の誘電体は堅いポリマのバックボー
ンを備えた低いKのスピンオン樹脂(spin−on−
resin)とすることができる)。第2の誘電体層の
一部が次に除去されて前に第2の誘電体層によって密閉
または密封されたコンタクト開口を露出する。次に2重
のはめ込まれた(dual in−laid)金属相互
接続が前記コンタクト開口内に形成され、それによって
前記低いKの第2の誘電体がアイソレーションの利点が
達成される導電性領域の間に配置され、一方熱的および
機械的特性が改善される。
【0006】本発明のさらに別の実施形態では、堅いポ
リマのバックボーンを有するポリマ樹脂をスピンオンす
ることにより隣接する導電性金属相互接続ラインの間に
ボイド/エア領域(void/air region
s)が形成される。この堅いバックボーン材料は同じ導
電性相互接続層内に近接した間隔の金属導電性部材の間
にエア領域を形成する。エアギャップの原子ガス(at
omic gas)内容および形成雰囲気圧力に応じ
て、前記エアギャップは誘電率e=1に接近し、これは
クロストークおよびポリシリコン(polysilic
on)および金属相互接続における不利な容量結合の低
減のために最適の誘電率である。
【0007】本発明のさらに別の実施形態では、非適合
的(non−conformal)誘電体層が隣接する
導電性相互接続ラインの上に横たわって形成され、ボイ
ド/エア領域が同じ導電性相互接続レベル内の接近した
間隔の導電性相互接続ラインの間に形成されるようにさ
れる。次に第2の誘電体層が前記非適合的誘電体層の上
に横たわって形成されかつ平坦化されて完成した層間ま
たはレベル間(inter−level)誘電体を形成
する。次に前記第2の誘電体層および前記非適合的導電
体層がパターニングされてコンタクトまたはビア開口を
形成しこれは次に導電性材料で充填されて導電性相互接
続を形成する。2つの隣接する導電性相互接続ラインの
間のボイド領域は2つの導電性相互接続ラインの間のク
ロストーク/容量を低減し、それによって回路速度が改
善されかつ論理的なクロストークエラーが避けられる。
【0008】
【発明の実施の形態】以下図面を参照して本発明の実施
形態につき説明する。説明の単純化および明瞭化のため
に、図面に示された各要素は必ずしも比例した尺度で描
かれていないことが理解されるであろう。例えば、いく
つかの要素の寸法は明瞭化のため他の要素に対して誇張
されている。さらに、適切であると考えられる場合に
は、図面にわたり参照数字が反復されて対応するまたは
類似の要素を示している。
【0009】本発明の実施形態は図1〜図16を参照す
ることによりさらに明瞭に理解できる。図1〜図6は、
断面図形式で、本発明の第1の実施形態に係わる相互接
続構造を作製するための処理工程を示す。図1には集積
回路構造の一部10が示されており、該一部10は第1
の誘電体層12、エッチストップ層(etch sto
p layer)またはエッチング停止層16、および
第2の誘電体層18を備えている。図1においては、第
1の誘電体層12、エッチストップ層16、および第2
の誘電体層18は伝統的なリソグラフおよびエッチング
技術を使用してパターニングされる。好ましい実施形態
においては、第1の誘電体層12、エッチストップ層1
6、および第2の誘電体層18はフッ素化エッチング種
(fluorinated etch specie
s)からなるプラズマエッチ化学剤を使用して同時にパ
ターニングされかつエッチングされる。例えば、層1
2,16および18はCHF,CF,Cおよ
び/またはその他のようなエッチングガスを使用して発
生されるプラズマ環境でパターニングすることができ
る。このエッチングプロセスは第1の誘電体層12内に
コンタクト開口14を規定しこれは金属導電体層がその
後図1のコンタクト開口内に被着され導電性コンタクト
部分14を形成できるようにすることに注目すべきであ
る。この引き続き被着される導電体層はポリシリコン層
または半導体基板内の他の金属層またはドーピングされ
た半導体領域のような下に横たわる導電性領域への電気
的コンタクト/相互接続を形成するために使用される。
前記ドーピングされた領域はバイポーラ電極、ウエルコ
ンタクト、ソース/ドレイン、薄膜トランジスタ(TF
T)ノードあるいは同様のドーピングされたポリシリコ
ンまたは基板部分とすることができる。ポリシリコンは
アモルファスシリコン、エピタキシャル成長シリコン、
あるいは高融点シリサイド化シリコン含有層(refr
actory silicided silicon−
containing layers)と置き換えるこ
とができる。
【0010】1実施形態では、第1の誘電体層12およ
び第2の誘電体層18は同じ材料を使用して形成され
る。例えば、第1の誘電体層12および第2の誘電体層
18はボロフォスフォシリケートガラス(BPSG)の
層、プラズマテトラエチルオルソシリケート(TEO
S)の層、フォスフォシリケートガラス(PSG)層、
二酸化シリコン、窒化物層、フッ素化酸化物層、あるい
は同様の誘電体層とすることができる。他の形式では、
誘電体層18は層12と異なる材料から形成される。第
1の誘電体層12、第2の誘電体層18は伝統的なプラ
ズマ被着プロセス、低温化学蒸着(LPCVD)プロセ
ス、その他を使用して形成される。1実施形態では、エ
ッチストップ層16は層12および18が酸化物である
場合にプラズマ増強窒化シリコンの層である。あるい
は、リソグラフプロセスにおいて反射防止コーティング
(anti−reflective coating:
ARC)として機能することもできる、エッチストップ
層16はシリコンリッチ(silicon rich)
窒化シリコン、窒化アルミニウム、またはエッチバック
停止層または化学機械研摩(CMP)停止層として使用
できる任意の誘電体層とすることができる。また、窒化
シリコン酸化物(silicon oxide nit
ride:SiON)またはシリコンリッチSiONは
この場合エッチストップまたは反射防止コーティング
(ARC)層として使用することができる。
【0011】図2においては、層18内に相互接続トレ
ンチを形成するために他のフォトレジストおよびエッチ
ングプロセスが使用されている。図1における層12を
通る開口は下に横たわる材料へのコンタクト開口であ
り、一方図2において層18を通って形成された開口は
相互接続トレンチである。インレイまたははめ込まれた
(in−laid)金属(象眼文様のある:damas
cene)プロセスの相互接続トレンチを形成するた
め、第2の誘電体層18はエッチング化学剤環境を使用
してエッチストップ層16まで選択的にエッチングされ
る。このエッチングプロセスは第2の誘電体層18内に
相互接続領域20を画定する。2重のインレイ金属処理
は図1〜図2に示されたもの以外の他の同様の方法で行
うことが可能なことに注目することが重要である。図1
〜図2はコンタクト領域および相互接続トレンチを有す
る2重のインレイ構造を形成するための任意の方法を代
表するものと考える。
【0012】図3においては、導電体層の材料が次にコ
ンタクト部分14および相互接続部分20内に被着され
る。この導電体層の材料はその後CMPおよび/または
エッチング処理によって平坦化されて導電性相互接続部
21を形成する。1実施形態では、導電性相互接続部分
21は始めにコンタクト部分14および相互接続部分2
0内に薄いバリア層を被着し、それに続いて導電体部分
相互接続部分20をより完全に充填するより厚い導電体
層を被着することにより形成される。相互接続部21は
伝統的な化学機械研摩(CMP)技術、レジストエッチ
バック(REB)技術、および/または計時またはタイ
ムド(timed)プラズマエッチ処理を使用して形成
できることが理解されるべきである。窒化チタン、タン
グステンチタン、チタン、タンタル、窒化タンタル、窒
化シリコンタンタル、窒化シリコンチタン、窒化タング
ステンまたは他の同様の材料からなる任意の層または複
合層を部分14および20のバリア層として使用でき
る。さらに、銅、金、銀、タングステン、アルミニウ
ム、その任意の複合体、その他をバリア層(単数または
複数)の上に相互接続部21を形成するためにより厚い
充填材料(thicker fill materia
ls)として使用できることが理解されるべきである。
さらに、導電性相互接続部21は伝統的な化学蒸着(C
VD)技術、電極めっき技術、スパッタリング技術、お
よび/または選択的被着技術を使用して形成することが
できる。
【0013】図4においては、第2の誘電体層18の残
りの部分(犠牲的誘電体層部分と称される)が選択的に
除去されてエッチストップ層16の部分を露出する。誘
電体層18の残りの部分は伝統的なプラズマおよび/ま
たはウエットエッチング技術を使用して除去することが
できる。1つの実施形態では、誘電体層18の残りの部
分は緩衝HF溶液(buffered HF solu
tion)を使用して除去される。あるいは、誘電体層
18の残りの部分は相互接続部21を画定するために使
用されたのと同じエッチングプロセスを使用して除去す
ることができる。
【0014】図5においては、低い誘電率eを有する第
3の誘電体層22が次に導電性相互接続部21の上に形
成されている。ここで使用するための適切な低いKの誘
電体はe≦3.5のものである。e≦3.0を有する低
いKの誘電体が使用される場合はクロストークおよび容
量結合のさらなる低減が行われる。好ましくは、容量低
減の見地から、e≦2.7を備えた材料がレベル間誘電
体として最適である。第3の誘電体層22は伝統的なス
ピンオン技術または化学蒸着技術を使用して形成でき
る。層22のために最もよく使用できるスピンオンポリ
マまたはスピンオンガラス(SOG)は水素シルセスキ
オキサン(hydrogen silsesquiox
ane:HSQ)、ベンゾサイクロブテン(benzo
cyclobutene:BCB)、ポリイミド(po
lyimide)、およびポリアリルエーテル(pol
yarylether:PAE)である。例えば、1つ
の実施形態では、誘電体層22はほぼe=3.0の誘電
率を有しかつHSQのようなスピンオンガラス材料であ
る。あるいは、誘電体層22はほぼe=2.6の誘電率
を有するBCBのような熱硬化性樹脂とすることができ
る。あるいは、誘電体層22はほぼe=2.6の誘電率
を有するPAEまたはPAE2のようなポリアリルエー
テルとすることができる。TEOSまたは3.9〜4.
3の範囲内の誘電率を有する二酸化シリコンの容量およ
びクロストークを改善するためにe≦3.5を有する任
意の誘電体が使用できることに注目することが重要であ
る。層22はCVD技術によって形成された有機誘電体
層または有機スピンオン誘電体とすることができる。
【0015】図6においては、誘電体22が次に平坦化
されて導電性相互接続部21の頭部を露出する。典型的
には、露出される相互接続部21の部分は図6に示され
るように導電性相互接続部分20の頭部である。好まし
い実施形態では、誘電体層22は伝統的なプラズマエッ
チング技術および/または化学機械研摩を使用して平坦
化される。図6に示されるように、この平坦化はより高
い誘電率(e>3.5)を有する他の誘電体層12およ
び16の上に横たわる低い誘電率の誘電体層22(e≦
3.5)を有する相互接続構造を形成する結果となる。
さらに、層22および/または図6の導電性相互接続部
の頭部は任意選択的な反射防止コーティング(ARC)
層によって覆うことができる。低い誘電率を有する誘電
体層22は隣接相互接続ラインの間の容量がより低いK
の膜によって低減されるため回路の性能を改善すること
を理解すべきである。層22はウエーハの全面を覆わな
い(20の頭部は図6においては層22によって覆われ
ない)という事実のため、機械的な安定性が改善されか
つ集積回路に対する熱的特性が増強される。もし図6に
おける導電性相互接続部として銅(copper)が使
用されれば、その銅を上に横たわる材料から隔離するた
めに図6の構造の頭部にキャップ/バリア層(capp
ing/barrier layer)が必要であろ
う。
【0016】図7〜図10は、断面図形式で、本発明の
別の実施形態に係わる相互接続構造を作製するための処
理工程を示す。図7にはエッチストップ層32、第1の
誘電体層34、およびフォトレジスト層36を備えた集
積回路構造の一部30が示されている。伝統的なフォト
リソグラフパターニングおよびエッチング技術を使用し
て、エッチストップ層32および第1の誘電体層34が
エッチングされ、第1の誘電体層34内にコンタクト部
分38を形成しかつ金属相互接続部、ポリシリコン、ま
たはドーピングされたシリコン領域のような下に横たわ
る導電性領域(図7には示されていない)を露出する。
層32は伝統的なプラズマまたは化学蒸着技術を使用し
て形成されかつ窒化シリコン、シリコンリッチ・窒化シ
リコンまたは窒化アルミニウムの層とすることができ、
かつエッチストップ層(ESL)および/または反射防
止コーティング(ARC)層として機能する。第1の誘
電体層34もまたプラズマ被着のような伝統的な化学蒸
着(CVD)技術、低圧力化学蒸着(LPCVD)その
他を使用して形成されかつBPSG、PSG、TEO
S、フッ素化酸化物、または同様の誘電体材料の層また
は複合層とすることができる。
【0017】図8においては、フォトレジストマスク3
6が除去されかつ低い誘電率を有する誘電体層40が誘
電体層34の上に形成され、それによってコンタクト部
分38が誘電体層40によってキャッピングされ(ca
pped)またはブリッジが行われ、かつ充填されない
状態で残される(すなわち、図8においては図7のコン
タクト部分38からエアギャップ38が形成される)。
これもまたハードマスクとして作用する反射防止コーテ
ィング(ARC)層42が次に誘電体層40の上に被着
される。好ましい実施形態では、誘電体層40は3.0
またはそれより小さい誘電率eを有するが、隣接する導
電性部材の間の電気的アイソレーションの利点を与える
ためにほぼ3.5より小さい誘電率eの任意の材料とす
ることができる。1実施形態では、誘電体層40はポリ
フェニルキノキサリン(polyphenylquin
oxaline:PPQ)でありかつe=3.0の誘電
率を有する。あるいは、誘電体層40はe=2.6の誘
電率を有するポリイミド(polyimide)の層と
することができる。ポリイミドは、図8においては、ポ
リ(アミック)酸溶液(poly(amic)acid
solution)または完全にイミド化したポリイ
ミドから形成できる。一般に、層40を形成するために
18において使用されるスピンオン材料は実質的に堅い
ポリマーのバックボーンを有する任意の材料とすること
ができ、それによってエア領域38が少なくとも部分的
に図8において形成されるようにする。
【0018】図8のエアギャップ38のふくれ(bli
stering)を避けるため、層40のアニール工程
が熱的ランプ(thermal ramp)様式で行わ
れるべきである。該ランプはセ氏100度より低い温度
でスタートしかつ、選択された温度ランプ期間の後に、
ほぼセ氏100度〜セ氏300度に到達し層40の溶剤
除去(solvent−removal)アニールを行
う。より低速のランプ熱処理が高い温度への高速の露出
よりもエアギャップ38のふくれを避けることができる
傾向にある。また、低い圧力またはより少ない捕捉(t
rapped)分子/原子を備えたエアギャップ38を
生成するために大気中より低いまたはサブ大気圧(su
b−atmospheric)スピンオン処理を使用す
ることができる。このサブ大気圧プロセスはギャップ3
8内の原子の高い密度を除去することによりふくれの影
響を低減することができる。
【0019】図9においては、伝統的なフォトリソグラ
フ・パターニングおよびエッチング技術が次に使用され
て反射層42および誘電体層40をパターニングおよび
エッチングして誘電体層内に相互接続部分41を画定し
かつ図8におけるエアギャップ38であるコンタクト部
分38を再び露出する。エアギャップ38は図8におい
て隔離されていたから下に横たわる金属相互接続部また
はドーピングされたシリコン領域へのコンタクト開口を
形成するために付加的なエッチングは要求されないこと
に注目することが重要である。1実施形態では、誘電体
層40は酸素を含むプラズマを使用してパターニングさ
れ、かつ誘電体層40内の開口を画定するために使用さ
れるフォトレジストマスク44は誘電体層40がエッチ
ングされると同時に除去される。従って、この実施形態
では、誘電体層40をパターニングするために使用され
るエッチング処理はまた同時に誘電体層40内に開口を
画定するために使用されるフォトレジストマスク44の
いくらかまたはすべてを除去する。
【0020】図10においては、バリア層49および導
電膜材料が次にコンタクト開口38および相互接続領域
41内に形成される。前記バリア層49および導電膜材
料の一部が次に選択的に除去されて図10の導電性相互
接続部48を形成する。1実施形態では、導電性相互接
続部48は伝統的なプラズマエッチング技術を使用して
形成される。あるいは、導電性相互接続部48は伝統的
な化学機械研摩(CMP)技術を使用して形成できる。
次にエッチストップ層46または反射防止コーティング
(ARC)層46が誘電体層40および導電性相互接続
部48の上に横たわって形成される。図7〜図10に示
された処理工程は次に反復されて導電性相互接続部48
の上に横たわる付加的な組の導電性相互接続部を形成し
かつ従って、複数層の相互接続部を有する集積回路が本
発明により形成できることが理解されるべきである。高
いKまたは高い誘電率の材料40は、(1)アイソレー
ションの利点が得られる領域48の間にのみ配置され、
かつ(2)機械的安定性および熱放散を劣化させるよう
なウエーハ全体の上に位置しないから、図10の最終的
な構造は従来技術に対して有利性を有する。
【0021】図11〜図15は、本発明の別の実施形態
に係わる相互接続構造を作成するための処理工程におけ
る断面図を示す。図11には、第1の誘電体層52およ
び複数の導電体相互接続部54を備えた集積回路構造の
一部50が示されている。始めに、誘電体層52が伝統
的なプラズマまたは化学蒸着(CVD)技術を使用して
形成されかつBPSG、PSG、TEOS、フッ素化さ
れた酸化シリコン、その他の層とすることができる。複
数の導電性相互接続部54もまた伝統的なフォトリソグ
ラフ・パターニングおよびエッチング技術を使用して形
成される。複数の導電体相互接続部54はドーピングさ
れた二酸化シリコン、金属、金属サリサイド、金属シリ
サイドその他を使用して形成できる。
【0022】図12においては、次に任意選択的なエッ
チストップ層56が第1の誘電体層52の上に横たわり
かつ前記複数の導電体相互接続部54の上に横たわって
形成される。任意選択的なエッチストップ層56は伝統
的なプラズマまたは低圧化学蒸着技術を使用して形成さ
れかつ二酸化シリコン、窒化シリコン、シリコン・オキ
シナイトライド(silicon oxynitrid
e)、または窒化アルミニウムとすることができる。層
56のためには二酸化シリコンが好ましくかつ層56は
エッチングされて図12における側壁スペーサ(sid
ewall spacers)を形成する。層56はま
た領域54へのコンタクトのミスアライメントを補償す
るために使用される。もし図13のエア領域60が図1
4に示されるようにコンタクト開口に露出されかつ高度
に適合的な(conformal)金属被着プロセスが
使用されれば、電気的ショート回路が生じるかもしれな
い。この電気的ショート回路問題を避けるため、スペー
サまたは層56はリソグラフのコンタクトアライメント
のための補償の付加的な利点を提供し、それによって金
属被着問題を生じるようなエアギャップが露出されない
かあるいは実質的に露出されなくなる。
【0023】図13においては、低い誘電率を有する第
2の誘電体層58がエッチストップ層56の上に横たわ
って形成され、エッチストップ層56の一部と第2の誘
電体層58との間にエアギャップ60を形成する。より
詳細には、図13に示されるように、エアギャップ60
はお互いに対して近接した間隔の導電性相互接続部54
の間に形成され、この場合「近接した間隔の(clos
ely−spaced)」は層58のために使用される
スピンオン樹脂のポリマーバックボーンの堅さ(sti
ffness)の関数である。さらに、エアギャップ層
60はまた、図12にも示されているように、ある導電
性相互接続部54の側壁に沿っても形成され、それによ
ってエアスペーサを形成している。1実施形態では、誘
電体58は3.5より小さいかまたは等しい誘電率を有
するPPQである。層58がエッチストップ層56にス
ピンオンされた後、誘電体層58はセ氏100〜250
度の範囲の温度でほぼ30分間アニールされる。あるい
は、誘電体層58は3.0より小さいかまたは等しい誘
電率を有する前もってイミド化した(pre−imid
ized)ポリイミドとすることができる。図13のエ
アギャップ60の何らかのふくれを避けるためあるいは
少なくとも低減するために熱的ランプ処理あるいは減圧
またはサブ大気圧被着を使用することができる。
【0024】図14においては、第3の誘電体層62が
次に第2の誘電体層58の上に横たわって形成される。
誘電体層62は伝統的なプラズマまたは低圧化学蒸着技
術を使用して形成でき、かつBPSG、PSG、TEO
S、シラン、フッ素化された酸化シリコン、複合誘電
体、あるいは同様の誘電体層(単数または複数)の層と
することができる。伝統的なフォトリソグラフおよびパ
ターニング技術が次に使用されて第3の誘電体層62、
第2の誘電体層58、およびエッチストップ層56を通
って伸びるビア開口が形成され下に横たわる導電性相互
接続部54の一部を露出する。層56は図12に関して
前に述べたようにミスアライメントの歩留りの増強を可
能にする。バリア層64が次にビア開口内に形成されか
つ導電性充填材料66が次にバリア層64の上に横たわ
って形成される。バリア層64および導電性充填材料6
6の一部が次に選択的に除去されてビア開口を備えた導
電性ビア68を形成する。バリア層64は前に述べたよ
うにチタンおよび窒化チタンの複合物または窒化タンタ
ルの層、窒化タングステンの層その他とすることができ
る。導電性充填材料66は伝統的な被着またはデポジシ
ョン技術を使用して形成され、かつ銅、タングステン、
アルミニウムまたは同様の導体の層または複合導体層と
することができる。
【0025】図15においては、バリア層70および導
電材料層72が次に導電性ビア68の上に横たわって形
成される。バリア層70および導電材料層72は次に伝
統的なフォトリソグラフおよびエッチング技術を使用し
てパターニングされて導電性相互接続部74を形成す
る。バリア層70は伝統的な技術を使用して形成されか
つチタンおよび窒化チタンの複合層あるいは窒化タング
ステン、窒化タンタル、その他の層とすることができ
る。同様に、導電材料層72は伝統的な被着技術を使用
して形成されかつタングステン、アルミニウム、銅、
銀、金、その他の層とすることができる。
【0026】図16は本発明の別の実施形態に係わる相
互接続構造を断面図で示す。図16には、第1の誘電体
層62、非適合的(non−conformal)誘電
体層66、複数の導電性相互接続部64および第3の誘
電体層70を備えた集積回路の一部60が示されてい
る。第1の誘電体層62は伝統的な技術を使用して半導
体基板の上に横たわって形成される。第1の誘電体層6
2はBPSGの層、PSGの層、フッ素化した酸化物の
層、その他とすることができる。複数の導電性相互接続
ライン64が伝統的なフォトリソグラフおよびエッチン
グ技術を使用して形成される。複数の導電性相互接続ラ
イン64はドーピングされたポリシリコン、アルミニウ
ム、タングステン、金属シリサイドまたはポリシリコン
および金属シリサイドその他の複合とすることができ
る。
【0027】図16に示されるように、前記複数の導電
性相互接続ライン64の内の少なくとも2つが距離Xだ
け離されている。非適合的誘電体層66が次に複数の導
電性相互接続ライン64の上に横たわって形成され、こ
の場合前記複数の導電性相互接続ライン64内の導電性
相互接続ラインの内の少なくとも2つの間に密閉された
ボイド領域(sealed void region)
68が形成される。密閉されたボイド領域68は2つの
隣接する導電性相互接続ライン64を分離する第1の距
離Xの少なくとも60%にわたっている。非適合的誘電
体層66は密閉されたボイド領域68が第1の距離Xの
70%,80%または90%に及ぶように被着できるこ
とが理解されるべきである。好ましい実施形態では、非
適合的誘電体層66はプラズマ増強化学蒸着(CVD)
においてソースガスとしてシランを使用して被着され
る。あるいは、非適合的被着を増強する他のソースガス
も使用できる。第3の誘電体層70が次に非適合的誘電
体層66の上に横たわって形成されかつ伝統的な技術を
使用して平坦化される。1実施形態では、第3の誘電体
層70は伝統的な化学機械研磨(CMP)技術を使用し
て平坦化される。あるいは、第3の誘電体層70はまた
伝統的なプラズマエッチング技術を使用して平坦化する
ことができる。図16に示されているように、結果とし
て得られる相互接続構造は通常改善されたアイソレーシ
ョンが必要とされる近接して隣接する導電性相互接続ラ
インの間にボイド領域を有する。これらのボイド領域は
好ましい形式ではほぼ1.0の誘電率を有し、かつ従っ
て近接した間隔の隣接する導電性相互接続ライン64の
間のRC時間遅延またはクロストークを低減する。
【0028】
【発明の効果】従って、本発明により、集積回路基板の
上に横たわる金属部材におけるクロストークおよび容量
性結合を低減するために使用できる幾つかの構造および
方法が提供されたことが明らかであろう。本発明が特定
の実施形態に関して説明されかつ示されたが、本発明は
これらの例示的な実施形態に限定されるものではない。
当業者は本発明の精神および範囲から離れることなく修
正および変更を行うことが可能なことを認識するであろ
う。例えば、図4の層16および12は層20の形成の
後にトレンチ化することができ、それによって図6の層
22が図4に現在示されているものよりもやや深い開口
を塞ぐ(plugs)ようにすることができる。このよ
り深い領域はさらに要素20の間のアイソレーションを
改善する。従って、この発明は添付の特許請求の範囲に
含まれるすべての変更および修正を含むものと考える。
【図面の簡単な説明】
【図1】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図2】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図3】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図4】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図5】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図6】本発明の1実施形態に係わる相互接続構造を作
成するための処理工程を示す断面図である。
【図7】本発明の別の実施形態に係わる相互接続構造を
作成するための処理工程を示す断面図である。
【図8】本発明の別の実施形態に係わる相互接続構造を
作成するための処理工程を示す断面図である。
【図9】本発明の別の実施形態に係わる相互接続構造を
作成するための処理工程を示す断面図である。
【図10】本発明の別の実施形態に係わる相互接続構造
を作成するための処理工程を示す断面図である。
【図11】本発明のさらに別の実施形態に係わる相互接
続構造を作成するための処理工程を示す断面図である。
【図12】本発明のさらに別の実施形態に係わる相互接
続構造を作成するための処理工程を示す断面図である。
【図13】本発明のさらに別の実施形態に係わる相互接
続構造を作成するための処理工程を示す断面図である。
【図14】本発明のさらに別の実施形態に係わる相互接
続構造を作成するための処理工程を示す断面図である。
【図15】本発明のさらに別の実施形態に係わる相互接
続構造を作成するための処理工程を示す断面図である。
【図16】エアギャップが本発明の1実施形態に係わる
被適合的被着プロセスによって被着された材料によって
形成された相互接続構造を示す断面図である。
【符号の説明】
10 集積回路構造の一部 12 第1の誘電体層 14 コンタクト開口 16 エッチストップ層 18 第2の誘電体層 20 相互接続部分 21 導電性相互接続部 22 第3の誘電体層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェフ・トマス・ウェッゼル アメリカ合衆国テキサス州78735、オース チン、トレイン・クレスト・サークル 4710 (72)発明者 テリー・グラント・スパークス アメリカ合衆国テキサス州78754、オース チン、メンドシーノ・ドライブ 8808

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置を形成する方法(図1〜6)
    であって、 第1の導電性領域(20、図3の左)および該第1の導
    電性領域から横方向に離れた第2の導電性領域(20、
    図3の右)を形成する段階であって、前記第1および第
    2の導電性領域はあるギャップにより分離されているも
    の、 誘電率eを有する誘電体層(22)を前記第1および第
    2の導電領域の上に横たわって形成する段階であって、
    この場合e≦3.5であり、前記誘電体層は前記ギャッ
    プを充填する第1の部分を有するもの、そして前記誘電
    体層(図6)の頭部を除去して前記第1の導電領域また
    は前記第2のの導電領域の内の少なくとも1つの頭部面
    を露出し、前記誘電体層の前記第1の部分は前記ギャッ
    プ内に残っているもの、 を具備することを特徴とする半導体装置を形成する方
    法。
  2. 【請求項2】 前記誘電体層を形成する段階は、 誘電率eを有する誘電体層を形成する段階であって、こ
    の場合e≦2.7であるもの、 を具備することを特徴とする請求項1に記載の方法。
  3. 【請求項3】 半導体装置を形成する方法(図7〜1
    0)であって、 第1の誘電体層(34)を形成する段階、 第1の誘電体層内にコンタクト開口(38)を形成する
    段階、 前記第1の誘電体層の上に横たわって第2の誘電体層
    (40)を形成する段階であって、前記第2の誘電体層
    は前記コンタクト開口を橋渡ししてコンタクトのエアギ
    ャップ(38)を形成するもの、 前記第2の誘電体層(40)を通って開口を形成して前
    記コンタクトのエアギャップを露出しかつ相互接続トレ
    ンチ(41)を形成する段階、そして前記コンタクトの
    エアギャップ(38)および前記相互接続トレンチ(4
    1)内に導電性材料(48または49)を形成して導電
    性相互接続部を形成する段階であって、前記相互接続ト
    レンチ内の導電性材料は前記第2の誘電体層(40)に
    よって分離されているもの、 を具備することを特徴とする半導体装置を形成する方
    法。
  4. 【請求項4】 半導体装置を形成する方法(図11〜1
    5)であって、 複数の分離された導電性部材(54)を形成する段階で
    あって、該複数の分離された導電性部材における隣接す
    る分離された導電性部材はギャップ(60)によって分
    離されているもの、そしてスピンオンプロセスによっ
    て、前記複数の分離された導電性部材の上に横たわって
    第1の誘電体層(58)を形成する段階であって、この
    形成によって前記ギャップの少なくとも1つが前記第1
    の誘電体層によって橋渡しされて少なくとも1つのエア
    領域(60)を形成し、前記少なくとも1つのエア領域
    は2.0より小さな誘電率を有し、かつ前記複数の分離
    された導電性部材における少なくとも2つの分離された
    導電性部材の間のアイソレーションを改善するもの、 を具備することを特徴とする半導体装置を形成する方
    法。
  5. 【請求項5】 集積回路構造を形成する方法(図16)
    であって、 半導体基板を提供する段階、 該半導体基板の上に横たわって第1の誘電体層(62)
    を形成する段階、 前記第1の誘電体層(62)の上に横たわって複数の導
    電性部材(64)を形成する段階であって、該複数の導
    電性部材は第1の距離Xによって分離されているもの、 前記複数の導電性部材の上に横たわって被適合的誘電体
    層(66)を被着する段階であって、前記被適合的誘電
    体層はプラズマ増強化学蒸着を使用して被着されかつ前
    記複数の導電性部材における少なくとも2つの導電性部
    材の間に密閉されたボイド領域を形成し、該密閉された
    ボイド領域は前記第1の距離Xの少なくとも50パーセ
    ントに及んでいるもの、そして第2の誘電体層(70)
    を形成する段階であって、該第2の誘電体層は前記密閉
    されたボイド領域および前記第1の誘電体層の上に横た
    わっているもの、 を具備することを特徴とする集積回路を形成する方法。
JP28915297A 1996-10-07 1997-10-06 半導体装置を形成する方法 Expired - Fee Related JP3694394B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/727,159 US5880018A (en) 1996-10-07 1996-10-07 Method for manufacturing a low dielectric constant inter-level integrated circuit structure
US08/727,159 1996-10-07

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005117776A Division JP4428531B2 (ja) 1996-10-07 2005-04-15 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH10116907A true JPH10116907A (ja) 1998-05-06
JP3694394B2 JP3694394B2 (ja) 2005-09-14

Family

ID=24921561

Family Applications (2)

Application Number Title Priority Date Filing Date
JP28915297A Expired - Fee Related JP3694394B2 (ja) 1996-10-07 1997-10-06 半導体装置を形成する方法
JP2005117776A Expired - Fee Related JP4428531B2 (ja) 1996-10-07 2005-04-15 半導体装置の製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2005117776A Expired - Fee Related JP4428531B2 (ja) 1996-10-07 2005-04-15 半導体装置の製造方法

Country Status (9)

Country Link
US (1) US5880018A (ja)
EP (1) EP0834916A3 (ja)
JP (2) JP3694394B2 (ja)
KR (1) KR100372467B1 (ja)
CN (1) CN1167107C (ja)
MX (1) MX9707616A (ja)
MY (1) MY126325A (ja)
SG (2) SG85688A1 (ja)
TW (1) TW368722B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154502A (ja) * 1997-05-07 1999-02-26 Applied Materials Inc エッチング停止層の堆積方法及び装置
JP2002522923A (ja) * 1998-08-12 2002-07-23 アプライド マテリアルズ インコーポレイテッド エッチング特性が異なる誘電体層を用いてデュアルダマシンにより形成される配線
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
JP2010512002A (ja) * 2006-11-30 2010-04-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 銀を含む配線を有する半導体構造及びその形成方法
JP2011181898A (ja) * 2010-02-04 2011-09-15 Tokyo Ohka Kogyo Co Ltd エアギャップ形成用シリカ系被膜形成材料及びエアギャップ形成方法
JP2013026347A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置およびその製造方法
JP2013197407A (ja) * 2012-03-21 2013-09-30 Toshiba Corp 半導体装置
KR101458038B1 (ko) * 2006-11-30 2014-11-03 글로벌파운드리즈 인크. 은을 포함한 배선을 구비한 반도체 구조체와 그 형성 방법
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Families Citing this family (160)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
GB2340306B (en) * 1995-08-10 2000-06-07 Nec Corp Semiconductor integrated circuit device
US6294799B1 (en) * 1995-11-27 2001-09-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating same
KR100230392B1 (ko) 1996-12-05 1999-11-15 윤종용 반도체 소자의 콘택 플러그 형성방법
US6405431B1 (en) 1996-06-27 2002-06-18 Samsung Electro-Mechanics Co., Ltd. Method for manufacturing build-up multi-layer printed circuit board by using yag laser
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6069069A (en) * 1996-12-16 2000-05-30 Chartered Semiconductor Manufacturing, Ltd. Method for planarizing a low dielectric constant spin-on polymer using nitride etch stop
JPH10312975A (ja) * 1997-05-14 1998-11-24 Toshiba Corp 半導体装置及びその製造方法
US6054377A (en) * 1997-05-19 2000-04-25 Motorola, Inc. Method for forming an inlaid via in a semiconductor device
US6143646A (en) * 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3390329B2 (ja) 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
GB2350931B (en) * 1997-06-27 2001-03-14 Nec Corp Method of manufacturing semiconductor device having multilayer wiring
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
US6492732B2 (en) * 1997-07-28 2002-12-10 United Microelectronics Corp. Interconnect structure with air gap compatible with unlanded vias
JP3599972B2 (ja) 1997-09-30 2004-12-08 三洋電機株式会社 薄膜トランジスタの製造方法
JPH11111991A (ja) 1997-09-30 1999-04-23 Sanyo Electric Co Ltd 薄膜トランジスタ及び薄膜トランジスタの製造方法
JPH11111994A (ja) 1997-10-03 1999-04-23 Sanyo Electric Co Ltd 薄膜トランジスタ及び薄膜トランジスタの製造方法
US6066578A (en) * 1997-12-01 2000-05-23 Advanced Micro Devices, Inc. Method and system for providing inorganic vapor surface treatment for photoresist adhesion promotion
TWI246633B (en) 1997-12-12 2006-01-01 Applied Materials Inc Method of pattern etching a low k dielectric layen
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
US6090694A (en) * 1997-12-16 2000-07-18 Advanced Micro Devices, Inc. Local interconnect patterning and contact formation
EP0924760A3 (en) * 1997-12-19 2001-05-16 Texas Instruments Incorporated Address transition detection circuit
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
TW350099B (en) * 1998-01-26 1999-01-11 United Microelectronics Corp IC microfilm process
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6162583A (en) * 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
WO1999052135A1 (en) 1998-04-02 1999-10-14 Applied Materials, Inc. Method for etching low k dielectrics
FR2777697B1 (fr) * 1998-04-16 2000-06-09 St Microelectronics Sa Circuit integre avec couche d'arret et procede de fabrication associe
KR100635685B1 (ko) * 1998-05-25 2006-10-17 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
US6232235B1 (en) * 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
US6124201A (en) * 1998-06-12 2000-09-26 Advanced Micro Devices, Inc. Method for manufacturing semiconductors with self-aligning vias
US6130126A (en) * 1998-06-26 2000-10-10 Texas Instruments Incorporated Self-planarizing DRAM chip avoids edge flaking
KR100333724B1 (ko) 1998-06-30 2002-09-17 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드반사방지막을이용한반도체소자의금속배선형성방법
US6319813B1 (en) 1998-07-06 2001-11-20 Micron Technology, Inc. Semiconductor processing methods of forming integrated circuitry and integrated circuitry constructions
US6043146A (en) * 1998-07-27 2000-03-28 Motorola, Inc. Process for forming a semiconductor device
JP4386978B2 (ja) * 1998-08-07 2009-12-16 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
US6127089A (en) * 1998-08-28 2000-10-03 Advanced Micro Devices, Inc. Interconnect structure with low k dielectric materials and method of making the same with single and dual damascene techniques
US6194128B1 (en) * 1998-09-17 2001-02-27 Taiwan Semiconductor Manufacturing Company Method of dual damascene etching
TW401618B (en) * 1998-09-23 2000-08-11 Nat Science Council Manufacture method of the dielectrics and the structure thereof
US6239026B1 (en) * 1998-09-28 2001-05-29 Conexant Systems, Inc. Nitride etch stop for poisoned unlanded vias
US6107204A (en) * 1998-10-02 2000-08-22 Advanced Micro Devices, Inc. Method to manufacture multiple damascene by utilizing etch selectivity
US6277747B1 (en) * 1998-11-09 2001-08-21 Sony Corporation Method for removal of etch residue immediately after etching a SOG layer
US6352918B1 (en) * 1998-11-24 2002-03-05 United Microelectronics Corp. Method of forming inter-metal interconnection
US6171963B1 (en) * 1998-11-30 2001-01-09 Worldwide Semiconductor Manufacturing Corporation Method for forming a planar intermetal dielectric using a barrier layer
US6596637B1 (en) * 1998-12-07 2003-07-22 Advanced Micro Devices, Inc. Chemically preventing Cu dendrite formation and growth by immersion
US6326231B1 (en) * 1998-12-08 2001-12-04 Advanced Micro Devices, Inc. Use of silicon oxynitride ARC for metal layers
US6288449B1 (en) 1998-12-22 2001-09-11 Agere Systems Guardian Corp. Barrier for copper metallization
US6143670A (en) * 1998-12-28 2000-11-07 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between low dielectric constant layer and silicon containing dielectric layer
US6291887B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6291339B1 (en) * 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6232230B1 (en) * 1999-01-05 2001-05-15 Advanced Micro Devices, Inc. Semiconductor interconnect interface processing by high temperature deposition
US6207577B1 (en) * 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US6380091B1 (en) * 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6150232A (en) * 1999-02-05 2000-11-21 Chartered Semiconductor Manufacturing Ltd. Formation of low k dielectric
US6284645B1 (en) * 1999-03-19 2001-09-04 United Microelectronics Corp. Controlling improvement of critical dimension of dual damasceue process using spin-on-glass process
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
TW410430B (en) * 1999-05-26 2000-11-01 Taiwan Semiconductor Mfg Planarization of inter metal dielectric layer
US6365489B1 (en) * 1999-06-15 2002-04-02 Micron Technology, Inc. Creation of subresolution features via flow characteristics
US6251770B1 (en) * 1999-06-30 2001-06-26 Lam Research Corp. Dual-damascene dielectric structures and methods for making the same
US6436824B1 (en) 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6191025B1 (en) * 1999-07-08 2001-02-20 Taiwan Semiconductor Manufacturing Company Method of fabricating a damascene structure for copper medullization
US6351013B1 (en) * 1999-07-13 2002-02-26 Advanced Micro Devices, Inc. Low-K sub spacer pocket formation for gate capacitance reduction
TW445572B (en) * 1999-07-20 2001-07-11 Taiwan Semiconductor Mfg Inter-metal dielectric forming method in metallization processing
DE19937994C2 (de) * 1999-08-11 2003-12-11 Infineon Technologies Ag Ätzprozeß für eine Dual Damascene Strukturierung einer Isolierschicht auf einer Halbleiterstruktur
US6365327B1 (en) * 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6358862B1 (en) 1999-09-02 2002-03-19 Micron Technology, Inc Passivation integrity improvements
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
KR100331554B1 (ko) 1999-09-27 2002-04-06 윤종용 인접된 커패시터 사이의 크로스토크가 억제된 반도체 소자의 커패시터 어레이 및 그 제조방법
JP4382926B2 (ja) * 1999-09-29 2009-12-16 東京エレクトロン株式会社 プラズマ処理方法
US6611060B1 (en) * 1999-10-04 2003-08-26 Kabushiki Kaisha Toshiba Semiconductor device having a damascene type wiring layer
US7105420B1 (en) 1999-10-07 2006-09-12 Chartered Semiconductor Manufacturing Ltd. Method to fabricate horizontal air columns underneath metal inductor
US6153512A (en) * 1999-10-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Process to improve adhesion of HSQ to underlying materials
US6417106B1 (en) 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
US6576551B1 (en) * 1999-12-20 2003-06-10 Taiwan Semiconductor Manufacturing Company Chemical mechanical polish planarizing method with pressure compensating layer
US6881674B2 (en) * 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
US6197681B1 (en) * 1999-12-31 2001-03-06 United Microelectronics Corp. Forming copper interconnects in dielectric materials with low constant dielectrics
US6420262B1 (en) * 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US7211512B1 (en) * 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6303486B1 (en) * 2000-01-28 2001-10-16 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer and an unconstrained copper anneal
US6355555B1 (en) * 2000-01-28 2002-03-12 Advanced Micro Devices, Inc. Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer
US6541400B1 (en) * 2000-02-09 2003-04-01 Novellus Systems, Inc. Process for CVD deposition of fluorinated silicon glass layer on semiconductor wafer
JP2001223269A (ja) * 2000-02-10 2001-08-17 Nec Corp 半導体装置およびその製造方法
KR100772736B1 (ko) * 2000-03-13 2007-11-01 엔엑스피 비 브이 반도체 디바이스 제조 방법
US6818990B2 (en) * 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6720249B1 (en) 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
US6566258B1 (en) * 2000-05-10 2003-05-20 Applied Materials, Inc. Bi-layer etch stop for inter-level via
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6365509B1 (en) * 2000-05-31 2002-04-02 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a dielectric photomask
JP2002064137A (ja) * 2000-08-15 2002-02-28 Tokyo Electron Ltd 半導体装置およびその製造方法
US7119008B2 (en) * 2000-09-18 2006-10-10 Acm Research, Inc. Integrating metal layers with ultra low-K dielectrics
US6605551B2 (en) * 2000-12-08 2003-08-12 Intel Corporation Electrocoating process to form a dielectric layer in an organic substrate to reduce loop inductance
US6309955B1 (en) * 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US6777171B2 (en) * 2001-04-20 2004-08-17 Applied Materials, Inc. Fluorine-containing layers for damascene structures
US6410461B1 (en) * 2001-05-07 2002-06-25 Advanced Micro Devices, Inc. Method of depositing sion with reduced defects
US6518641B2 (en) 2001-05-18 2003-02-11 International Business Machines Corporation Deep slit isolation with controlled void
US6583043B2 (en) * 2001-07-27 2003-06-24 Motorola, Inc. Dielectric between metal structures and method therefor
US6440840B1 (en) 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
DE60325555D1 (de) * 2002-02-12 2009-02-12 Oc Oerlikon Balzers Ag Optisches bauteil mit submikrometer-hohlräumen
JP2003324201A (ja) * 2002-02-26 2003-11-14 Hitachi Ltd 薄膜トランジスタ及びそれを用いた表示装置
TW200304227A (en) * 2002-03-11 2003-09-16 Sanyo Electric Co Top gate type thin film transistor
US7247544B1 (en) * 2002-04-12 2007-07-24 National Semiconductor Corporation High Q inductor integration
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US7060193B2 (en) * 2002-07-05 2006-06-13 Chartered Semiconductor Manufacturing Ltd. Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
US6995392B2 (en) * 2002-08-07 2006-02-07 International Business Machines Corporation Test structure for locating electromigration voids in dual damascene interconnects
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US6917109B2 (en) * 2002-11-15 2005-07-12 United Micorelectronics, Corp. Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device
US7138329B2 (en) * 2002-11-15 2006-11-21 United Microelectronics Corporation Air gap for tungsten/aluminum plug applications
US6963138B2 (en) * 2003-02-03 2005-11-08 Lsi Logic Corporation Dielectric stack
US7579251B2 (en) * 2003-05-15 2009-08-25 Fujitsu Limited Aerosol deposition process
JP4868742B2 (ja) * 2003-05-21 2012-02-01 富士通株式会社 半導体装置
US20040248400A1 (en) * 2003-06-09 2004-12-09 Kim Sun-Oo Composite low-k dielectric structure
US6972255B2 (en) * 2003-07-28 2005-12-06 Freescale Semiconductor, Inc. Semiconductor device having an organic anti-reflective coating (ARC) and method therefor
US6838355B1 (en) * 2003-08-04 2005-01-04 International Business Machines Corporation Damascene interconnect structures including etchback for low-k dielectric materials
JP2005064226A (ja) * 2003-08-12 2005-03-10 Renesas Technology Corp 配線構造
US7012240B2 (en) * 2003-08-21 2006-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor with guard rings and method for forming the same
US6878624B1 (en) * 2003-09-30 2005-04-12 International Business Machines Corporation Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi
US7125790B2 (en) * 2003-10-20 2006-10-24 Infineon Technologies Ag Inclusion of low-k dielectric material between bit lines
JP2005217162A (ja) * 2004-01-29 2005-08-11 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
US7294791B2 (en) * 2004-09-29 2007-11-13 Endicott Interconnect Technologies, Inc. Circuitized substrate with improved impedance control circuitry, method of making same, electrical assembly and information handling system utilizing same
US20060105578A1 (en) * 2004-11-12 2006-05-18 Shih-Ping Hong High-selectivity etching process
US7176130B2 (en) * 2004-11-12 2007-02-13 Freescale Semiconductor, Inc. Plasma treatment for surface of semiconductor device
JP4679193B2 (ja) 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
KR20070042887A (ko) * 2005-10-19 2007-04-24 어플라이드 머티어리얼스, 인코포레이티드 피쳐 제한부들을 형성하는 방법
EP1806781A1 (en) * 2006-01-10 2007-07-11 STMicroelectronics (Crolles 2) SAS Conductive interconnect with a localized overhanging dielectric barrier
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7951707B2 (en) * 2007-03-21 2011-05-31 Macronix International Co., Ltd. Etching method for semiconductor element
DE102007057686B4 (de) * 2007-11-30 2011-07-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Verfahren und Halbleiterbauelement mit einer Schutzschicht zum Reduzieren der Verspannungsrelaxation in einer Doppelverspannungsbeschichtungstechnik
US7772706B2 (en) * 2007-12-27 2010-08-10 Intel Corporation Air-gap ILD with unlanded vias
US8071459B2 (en) 2008-04-17 2011-12-06 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
KR101002124B1 (ko) * 2008-11-05 2010-12-16 주식회사 동부하이텍 반도체 소자 및 상기 반도체 소자의 제조 방법
US7927964B2 (en) * 2008-11-13 2011-04-19 Micron Technology, Inc. Methods of forming electrically insulative materials, methods of forming low k dielectric regions, and methods of forming semiconductor constructions
US7910473B2 (en) * 2008-12-31 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with air gap
US8399354B2 (en) * 2009-01-13 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via with low-K dielectric liner
JP5180121B2 (ja) * 2009-02-20 2013-04-10 東京エレクトロン株式会社 基板処理方法
US8530347B2 (en) 2010-10-05 2013-09-10 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
JP5755454B2 (ja) * 2011-01-17 2015-07-29 東芝テック株式会社 インクジェットヘッドの製造方法
JP2012148428A (ja) * 2011-01-17 2012-08-09 Toshiba Tec Corp インクジェットヘッドの製造方法
CN104025263B (zh) 2011-12-30 2018-07-03 英特尔公司 自封闭的非对称互连结构
US8866297B2 (en) * 2012-11-30 2014-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Air-gap formation in interconnect structures
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9312220B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
KR102092863B1 (ko) 2013-12-30 2020-03-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US9870995B2 (en) * 2015-06-18 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of copper layer structure with self anneal strain improvement
US9449871B1 (en) 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US10770562B1 (en) 2019-03-01 2020-09-08 International Business Machines Corporation Interlayer dielectric replacement techniques with protection for source/drain contacts
US11024536B2 (en) 2019-04-18 2021-06-01 International Business Machines Corporation Contact interlayer dielectric replacement with improved SAC cap retention
US11177166B2 (en) * 2020-04-17 2021-11-16 International Business Machines Corporation Etch stop layer removal for capacitance reduction in damascene top via integration

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2186424A (en) * 1986-01-30 1987-08-12 Plessey Co Plc Method for producing integrated circuit interconnects
US4832789A (en) * 1988-04-08 1989-05-23 American Telephone And Telegrph Company, At&T Bell Laboratories Semiconductor devices having multi-level metal interconnects
US4987101A (en) * 1988-12-16 1991-01-22 International Business Machines Corporation Method for providing improved insulation in VLSI and ULSI circuits
US4954214A (en) * 1989-01-05 1990-09-04 Northern Telecom Limited Method for making interconnect structures for VLSI devices
US5310700A (en) * 1993-03-26 1994-05-10 Integrated Device Technology, Inc. Conductor capacitance reduction in integrated circuits
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5407860A (en) * 1994-05-27 1995-04-18 Texas Instruments Incorporated Method of forming air gap dielectric spaces between semiconductor leads
JP4014234B2 (ja) * 1994-05-27 2007-11-28 テキサス インスツルメンツ インコーポレイテツド 半導体デバイス中に線間容量の低減化された相互接続線を作製する方法
US5510293A (en) * 1994-05-31 1996-04-23 Texas Instruments Incorporated Method of making reliable metal leads in high speed LSI semiconductors using thermoconductive layers
EP0703611B1 (en) * 1994-08-31 2007-05-02 Texas Instruments Incorporated Method for insulating metal leads using a low dielectric constant material, and structures formed therewith
EP0706215A3 (en) * 1994-09-15 1996-11-20 Texas Instruments Inc Semiconductor device improvements and manufacturing
US5559055A (en) * 1994-12-21 1996-09-24 Advanced Micro Devices, Inc. Method of decreased interlayer dielectric constant in a multilayer interconnect structure to increase device speed performance
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
US5691573A (en) * 1995-06-07 1997-11-25 Advanced Micro Devices, Inc. Composite insulation with a dielectric constant of less than 3 in a narrow space separating conductive lines
US5641712A (en) * 1995-08-07 1997-06-24 Motorola, Inc. Method and structure for reducing capacitance between interconnect lines
US5518959A (en) * 1995-08-24 1996-05-21 Taiwan Semiconductor Manufacturing Company Method for selectively depositing silicon oxide spacer layers
US5677241A (en) * 1995-12-27 1997-10-14 Micron Technology, Inc. Integrated circuitry having a pair of adjacent conductive lines and method of forming
US5773361A (en) * 1996-11-06 1998-06-30 International Business Machines Corporation Process of making a microcavity structure and applications thereof

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1154502A (ja) * 1997-05-07 1999-02-26 Applied Materials Inc エッチング停止層の堆積方法及び装置
JP2002522923A (ja) * 1998-08-12 2002-07-23 アプライド マテリアルズ インコーポレイテッド エッチング特性が異なる誘電体層を用いてデュアルダマシンにより形成される配線
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
JP2010512002A (ja) * 2006-11-30 2010-04-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 銀を含む配線を有する半導体構造及びその形成方法
KR101458038B1 (ko) * 2006-11-30 2014-11-03 글로벌파운드리즈 인크. 은을 포함한 배선을 구비한 반도체 구조체와 그 형성 방법
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
JP2011181898A (ja) * 2010-02-04 2011-09-15 Tokyo Ohka Kogyo Co Ltd エアギャップ形成用シリカ系被膜形成材料及びエアギャップ形成方法
JP2013026347A (ja) * 2011-07-19 2013-02-04 Toshiba Corp 半導体装置およびその製造方法
JP2013197407A (ja) * 2012-03-21 2013-09-30 Toshiba Corp 半導体装置

Also Published As

Publication number Publication date
KR100372467B1 (ko) 2003-05-12
MY126325A (en) 2006-09-29
KR19980032600A (ko) 1998-07-25
JP3694394B2 (ja) 2005-09-14
US5880018A (en) 1999-03-09
CN1191384A (zh) 1998-08-26
JP2005260260A (ja) 2005-09-22
EP0834916A2 (en) 1998-04-08
SG71045A1 (en) 2000-03-21
SG85688A1 (en) 2002-01-15
CN1167107C (zh) 2004-09-15
TW368722B (en) 1999-09-01
EP0834916A3 (en) 1998-07-29
JP4428531B2 (ja) 2010-03-10
MX9707616A (es) 1998-04-30

Similar Documents

Publication Publication Date Title
JP3694394B2 (ja) 半導体装置を形成する方法
US6787911B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
EP0680084B1 (en) Method of forming vias in a semiconductor device having a low permittivity dielectric layer thereon
US6350672B1 (en) Interconnect structure with gas dielectric compatible with unlanded vias
MXPA97007616A (en) A method to manufacture an integrated circuit structure inter-levels of low constant dielectr
KR100564188B1 (ko) 반도체집적회로장치및그제조방법
CN100349281C (zh) 用于在半导体器件中形成互连线的方法及互连线结构
US6277728B1 (en) Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
JP3196203B2 (ja) 半導体素子の形成方法
US7674721B2 (en) Semiconductor device, semiconductor wafer, and methods of producing same device and wafer
US5652182A (en) Disposable posts for self-aligned non-enclosed contacts
JPH1092925A (ja) 半導体構成要素および製造方法
JP3193335B2 (ja) 半導体装置の製造方法
KR20010019643A (ko) 저유전율 절연막을 갖는 다층 금속배선의 형성방법
US5880030A (en) Unlanded via structure and method for making same
US6821896B1 (en) Method to eliminate via poison effect
JP2003303880A (ja) 積層層間絶縁膜構造を利用した配線構造およびその製造方法
JP3526289B2 (ja) 半導体装置の製造方法
GB2330001A (en) Multilevel interconnect with air dielectric
JP2000150517A (ja) 半導体集積回路装置およびその製造方法
JP2004006708A (ja) 半導体装置の製造方法
KR100727794B1 (ko) 이중 다마신 구조 및 캐패시터를 포함하는 집적회로의 제조 공정
KR100389041B1 (ko) 에이치에스큐막을 층간절연막으로 사용하는 배선 형성 방법
CN115954324B (zh) 一种半导体结构及其制作方法
US11189527B2 (en) Self-aligned top vias over metal lines formed by a damascene process

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20040927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050415

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050624

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080701

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090701

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100701

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110701

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110701

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120701

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120701

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130701

Year of fee payment: 8

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees