JP4237939B2 - 基板加熱冷却を改良した真空処理装置 - Google Patents
基板加熱冷却を改良した真空処理装置 Download PDFInfo
- Publication number
- JP4237939B2 JP4237939B2 JP2000516077A JP2000516077A JP4237939B2 JP 4237939 B2 JP4237939 B2 JP 4237939B2 JP 2000516077 A JP2000516077 A JP 2000516077A JP 2000516077 A JP2000516077 A JP 2000516077A JP 4237939 B2 JP4237939 B2 JP 4237939B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- compartment
- chamber
- cooling
- heating
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims description 369
- 238000010438 heat treatment Methods 0.000 title claims description 107
- 238000001816 cooling Methods 0.000 title claims description 97
- 238000000034 method Methods 0.000 claims description 151
- 239000002826 coolant Substances 0.000 claims description 16
- 230000004888 barrier function Effects 0.000 claims description 11
- 239000007788 liquid Substances 0.000 claims description 5
- 239000012809 cooling fluid Substances 0.000 claims 1
- 230000008569 process Effects 0.000 description 139
- 238000000151 deposition Methods 0.000 description 15
- 239000010408 film Substances 0.000 description 15
- 230000007246 mechanism Effects 0.000 description 15
- 239000010409 thin film Substances 0.000 description 14
- 230000008021 deposition Effects 0.000 description 13
- 239000011521 glass Substances 0.000 description 13
- 238000003860 storage Methods 0.000 description 11
- 239000000463 material Substances 0.000 description 10
- 238000004458 analytical method Methods 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 239000007789 gas Substances 0.000 description 8
- 230000003028 elevating effect Effects 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- 230000005855 radiation Effects 0.000 description 7
- 239000004973 liquid crystal related substance Substances 0.000 description 6
- 229910021417 amorphous silicon Inorganic materials 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000005137 deposition process Methods 0.000 description 5
- 239000011261 inert gas Substances 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 210000000078 claw Anatomy 0.000 description 4
- 238000004140 cleaning Methods 0.000 description 4
- 229910052751 metal Inorganic materials 0.000 description 4
- 239000002184 metal Substances 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000008602 contraction Effects 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 210000004027 cell Anatomy 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 230000008646 thermal stress Effects 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- 230000032258 transport Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- 210000002858 crystal cell Anatomy 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 230000035882 stress Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67766—Mechanical parts of transfer devices
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/139—Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
Description
【発明の属する技術分野】
本発明は、単一基板プロセスチャンバ内での基板上の薄膜の堆積に関し、特に、単一基板プロセスチャンバをロードロック加熱冷却チャンバと組み合わせた真空処理装置に関する。
【0002】
【技術背景】
アクティブマトリクス式液晶表示装置(AMLCD)の液晶セルは、その間に液晶材の層を挟んだ2枚のガラス基板またはプレートを含む。各プレートの内側面上に、導電薄膜を形成する。液晶材の液晶分子の配向を変えるため、導電薄膜を、電源に接続する。1000000個以上の異なるセル域は、それぞれ別途に通電する必要がある。それらの異なるセル域をピクセルといい、薄膜トラジスタ(TFT)により通電する。
【0003】
TFTは、アモルファスシリコンなどのゲート誘電層や導電層をその上に形成したパターン形状金属ゲートを含む。続いて、前記のアモルファスシリコン薄膜上に、エッチング停止用窒化シリコン層、酸化シリコン層、金属接触層などの注入アモルファスシリコン層を堆積させる。これら薄膜は、例えば、化学気相成長法(CVD)やプラズマ化学気相成長法(PECVD)などで堆積可能である。
【0004】
半導体平面式表示装置の工業分野では、1枚の基板上に多数の素子を形成するため基板のサイズが大きくなり、バッチ基板処理法に代わり、単一基板処理法が採用されている。単一基板処理においては、プロセス管理が高められるため、小さなプロセスチャンバの利用が可能となる。加えて、処理中に問題が起こった場合でも、基板バッチ群ではなく単一基板の損傷あるいは損失だけですむ。
【0005】
単一基板真空処理装置の生産性を向上させるため、トランスファチャンバと複数のプロセスチャンバを備えた真空処理装置が使われており、真空環境下にて単一基板に対する複数の処理がそれぞれの異なるプロセスチャンバで行われる。そのような装置が、本願の譲り受け人に譲渡された米国特許第4951601号に記載されており、本明細書中において参照例として扱う。この装置は、複数のプロセスチャンバに囲まれ、かつ、それらに接続されている中央トランスファチャンバを備えている。トランスファチャンバ内のロボットにより、基板が1つのプロセスチャンバから別のプロセスチャンバへと搬送される。また、各処理ステップの前にプロセスチャンバを真空状態にする必要性をなくすための真空ロードロック部が備わっているので、装置の生産量を増やすことができる。
【0006】
ガラスは割れやすい誘電材であるため、チャンバ内温度から摂氏450度(℃)までのプロセス温度範囲においての割れやストレスを防止できるよう、ゆっくりとした加熱や冷却が必要となる。しかし、例えば、550x650から800x1000ミリメータ(mm)までの大型の基板を加熱する場合には、熱膨張率差が発生する。この問題は、基板加熱器では大型の基板の寸法全部を均等な温度にできないのが原因である。さらに、基板の周囲域では中央域よりも熱損失が大きくなり、中央域よりも温度が低くなってしまう。これら温度の不均等の結果として、熱ストレスが発生する。例えば、360x450mmなどの小型の基板の場合、この問題はあまり重大ではないが、やはり発生することには変わりがない。
【0007】
前述のようにTFTは、CVD法やPECVDプロセスで製造される。それらの膜堆積プロセスにおいては、300℃から450℃くらいの比較的高い温度が必要であるが、プロセス時間は数秒、例えば60〜240秒ほどである。AMLCDに有効なガラス基板は、例えば、550x650から800x1000mmなど、一般的に大型である。それゆえ、基板を処理温度まで加熱してから、薄膜堆積プロセスが完了した後、チャンバ内温度まで冷却して戻るのに数分もかかる。基板を個別に加熱ならびに冷却した場合、加熱ならびに冷却遅延のためプロセス時間にかなりの無駄が生ずる。そのため、加熱ならびに冷却の長い遅延時間を解消しないと、複数のプロセスチャンバにおける個別の基板の薄膜堆積プロセスが非効率になってしまう。
【0008】
一連の単一基板プロセスチャンバにおいて大型のガラス基板の処理が可能で、加熱ならびに冷却の遅延時間の問題を解決して、生産性を向上させた真空処理装置が、本願の譲り受け人に譲渡された米国特許第5512320号に記載されており、本明細書中の参照例として取り扱う。そのクラスタ装置は、複数の単一基板プロセスチャンバ、バッチ式加熱チャンバ、バッチ式冷却チャンバから成る。それぞれのチャンバは、中央トランスファチャンバに接続されている。そして、トランスファチャンバ内のロボットにより、予め決められた順番で基板を様々なチャンバ間で移動させることができる。バッチ式の加熱チャンバや冷却チャンバ、および、単一基板プロセスチャンバで、ガラス基板の加熱や冷却に適切な時間を確保しつつ、連続して高速な基板処理が行われる。
【0009】
【発明の要旨】
本発明は、処理する基板を加熱して処理済み基板を冷却できる真空処理装置の排気可能チャンバに関する。排気可能チャンバは、処理する基板の温度を上げる第1区画と処理済み基板の温度を下げる第2区画とを含む。第1と第2の区画は、それぞれ、少なくとも1つの基板支持プラットホームを備えている。第1と第2の区画をそれぞれ熱絶縁させるため、バリヤを利用しても構わない。
【0010】
前記の排気可能チャンバは、複数の基板を真空プロセスチャンバへ搬送するためのロードロックチャンバとしての機能も有する。ロードロックチャンバとしては、第1と第2の区画内に熱伝導性棚を備えている。棚には、棚とその上で支持される基板との間に隙間を供するよう支持体が設けられている。
【0011】
別の特徴として、本発明は、排気可能ロードロックチャンバ内に配置できるようなカセットに関する。カセットは、処理する基板を加熱する第1区画と処理済み基板を冷却する第2区画とを含む。第1区画のカセットの側壁には、加熱器が設けられており、第2区画のカセットの側壁には冷却通路が設けられている。
【0012】
また別の特徴として、本発明は、第1の基板を、第1真空ロードロックチャンバの加熱区画へ装填して上昇温度まで加熱する方法に関する。加熱された基板は、選択されたプロセスチャンバへと搬送される。さらに、第2の基板を、第2真空ロードロックチャンバの加熱区画へ装填して同様に上昇温度まで加熱する。第1基板の処理が完了した後、選択されたプロセスチャンバから第2真空ロードロックチャンバの冷却区画へと搬送する。次に、第2ロードロックチャンバの加熱区画で加熱した基板を、第1基板が取り出された前記プロセスチャンバへと搬送する。その後、第1の基板は、第2真空ロードロックチャンバの冷却区画から取り出される。
【0013】
さらに別の特徴として、本発明の方法は、第1ロードロックチャンバ内のプラットホーム上に基板を装填することと、基板を加熱するため、プラットホームの温度を上昇させて、第1のロードロックチャンバ内の別の温度上昇域の近辺に基板を配置することとを含む。そして加熱した基板を、第1ロードロックチャンバからプロセスチャンバへ搬送する。基板の処理が完了した後、第2ロードロックチャンバへ搬送して、プラットホーム上に配置する。第2ロードロックチャンバ内のプラットホームの温度を下降させ、基板を冷却できるよう、第2ロードロックチャンバ内の別の温度下降域付近に基板を位置させるのである。
【0014】
別の例として、第1ロードロックチャンバ内の加熱したプラットホーム上に基板を配置し、基板を処理し、その後に、第2ロードロックチャンバ内の冷却したプラットホーム上に基板を配置することにより基板を冷却する方法がある。
【0015】
前記プロセスチャンバの数は、第1ロードロックチャンバ内の基板の数と同じである。基板は、基板の短い辺にほぼ鉛直な方向での周囲側で、第1と第2のロードロックチャンバに挿入/取出しができる。また、基板は、基板の長い辺にほぼ鉛直な方向で、真空側で第1と第2のロードロックチャンバ及びプロセスチャンバに挿入及び取出しも可能である。
【0016】
本発明の利点は、下記の項目の1つまたはそれ以上を含む。本発明の装置は、効率的で経済的な技法で基板上に高品質の薄膜を形成する経済的で有利な方法を提供する。装置は、単一ロードロックチャンバ内のバッチ式加熱冷却カセットを含む。また、装置は、迅速な基板の加熱ならびに冷却を可能にする単一基板加熱冷却チャンバを備えることもできる。装置は、設置面積が比較的小さく、製造や運転のコストも比較的に安くなる。
【0017】
本発明のその他の利点や特徴は、図面と特許請求の範囲を含む以下の説明から明白となるであろう。
【0018】
本発明の以下の説明において、異なる図面に図示されている同一構造が同じ参照番号を用いて参照されている。
【0019】
【発明の実施の形態】
本発明は、基板上に1層以上の薄膜を堆積する方法および装置に関する。本発明のシステムは、PECVDプロセスチャンバに関連させて説明するが、CVDプロセスチャンバなどその他のプロセスチャンバにも適用が可能である。説明する詳細部分は説明の本実施例に特定したものであるが、その他の処理条件やパラメータに応じて変更することも可能である。
【0020】
図1に示す本発明の真空処理装置10は、AMLCDなどの大型の液晶表示装置の製造に利用できるよう設計されたものであって、密閉真空トランスファチャンバ18の周囲に群配備された複数の真空堆積プロセスチャンバ(PC)12、14および16を備えたモジュールシステムである。また、装置は、2つのロードロックチャンバ(L/L)20、22も備える。プロセスチャンバ12、14、16、18、20、22は、1個以上の真空ポンプ(図示せず)により選択的に真空状態にできる。
【0021】
前記の装置10には、中央トランスファチャンバ18を形成する側壁19をもつ密閉ハウジング17が備わっている。そのハウジング17の対応側壁19に、それぞれのロードロックチャンバやプロセスチャンバが取り付けられている。プロセスチャンバは、例えば、基板S上にアモルファスシリコン、窒化シリコン、酸化シリコン、オキシントレートなどの薄膜を作成するのに使われる。
【0022】
本説明中で使う「基板」という用語は、プロセスチャンバ内で処理される対象物を広くカバーするものである。「基板」の例として、例えば、半導体ウェハ、平面表示器、ガラスプレート、ディスクなどがある。特に本発明は、550x650mm、800x1000mm、さらにそれ以上の寸法のガラスプレートなどの大型の長方形基板に適用可能である。また、本発明の装置は、360x450mmなどの小型の基板の処理にも利用可能である。本説明の以下の部分では、特定の実施例を説明するが、長方形のガラス基板を使うと仮定する。しかしながら、前述したように、その他形状の基板も装置10にて処理可能である。例えば、正方形の基板も装置10内で処理できる。
【0023】
通常は、基板は2つのチャンバ20、22の片方内で、例えば、およそ300℃から400℃の間の数百度くらいの温度まで予備加熱される。その後、基板をプロセスチャンバの1つに搬送し支持して、そこでプロセス温度に維持される。基板上に薄膜を堆積するための化学反応が起こせるよう、プロセスチャンバ内に膜堆積ガスを注入する。薄膜は、誘電体層(窒化シリコンや酸化シリコンなど)、半導体層(アモルファスシリコンなど)、金属層(タングステンなど)のいずれかである。堆積プロセスは、前述のように、CVD法またはPECVD法である。堆積プロセス完了の後、基板をロードロックチャンバの一つで冷却する。
【0024】
基板は、ロードロックチャンバ内の加熱プラットホーム上に載せて加熱しても構わない。別の例として、基板を未加熱のプラットホーム上に装填してから、基板を加熱するためプラットホームの温度を上昇させることも可能である。同様に、基板を、冷却プラットホーム上に載せて冷却しても構わないし、別の例として、未冷却のプラットホーム上に搭載してから、プラットホームの温度を下降させても構わない。
【0025】
図1と図2に図示のように、中央トランスファチャンバ18は、それぞれ中央トランスファチャンバ18内へと処理する基板を搬送するためのチャンバ20、22に接続されている。下記にさらに詳細に説明するように、チャンバ20、22は基板を加熱し冷却するものである。加熱ならびに冷却用のロードロックチャンバ20は、それぞれ外壁23と内壁24上に取り付けられたロードロック扉またはスリットバルブ21と21bから成る閉鎖可能開口を有する。基板は、その扉を経由して中央チャンバ18と大気中28の間を搬送されるのである。同様に、加熱ならびに冷却用のロードロックチャンバ22も、中央チャンバ18と大気中の間で基板を搬送するため、それぞれ外壁27と内壁29上に取り付けられたロードロック扉またはスリットバルブ25と26から成る閉鎖可能開口を有している。
【0026】
さらに、プロセスチャンバ12、14、16、と対応ハウジング壁19にも、それぞれチャンバ20、22のロードロック扉21b、26に同様またはそれらと同じ閉鎖可能な開口が備わっている。つまり、プロセスチャンバ12、14、16は、プロセスチャンバのアクセス開孔を封鎖してプロセスチャンバ内のプロセスガスを隔離できるよう、それぞれロードロック扉またはスリットバルブ12a、14a、16aを備える。
【0027】
前記チャンバ20、22はそれぞれ、基板を支持して加熱および冷却するための複数の水平棚またはプラットホームに固定されたカセット30(図3A参照)を内蔵している。ガラス基板の加熱や冷却の速度は、対流量、導電量、放射熱転移量の総量により決められる。
【0028】
図3Aのカセットの実施例では、チャンバ内が大気圧のときは、ガラス基板への熱の移転は対流と放射で行われるが、チャンバ内が真空状態(ほぼ10Torr未満)のときは、放射だけで加熱される。ガラスの加熱ならびに冷却の速度は、ガス対流の移動速度のせいで真空中よりも大気中のほうが早くなる。
【0029】
図3Aに図示のように、各チャンバ内20、22のカセット30は、1つの棚の高さ毎にカセットを上昇下降できるよう、昇降アセンブリ35上に取り付けられている。昇降アセンブリ35は、図中に矢印37で示すように、下記で詳細に述べるロボット50、60による基板の装填出入のため、基板を垂直方向にインデックス移動させることができる。昇降アセンブリ35に、1本以上のガイドシャフト35aや駆動シャフト35bを備えても構わない。それらシャフトは、チャンバ20、22の下側壁、例えば、図3Aに示されているように、ロードロックチャンバ20の壁29内に真空密閉シール(図示せず)を越えて下方へと延びている。さらに、昇降アセンブリは、駆動シャフト35bに接続されたモータ駆動ギヤー部36bにより回転駆動されるリードスクリュー36aを備えることもできる。
【0030】
前記の各チャンバ20、22のカセット30は、同じ方法で構築されている。つまり、加熱区画32と冷却区画34とを備える。本実施例においてはプロセスチャンバが3つなので、区画32、34の各自に、3つの水平棚38が取り付けられている。熱シールドまたは熱バリヤ36を、片方の区画と他方の区画を熱絶縁して全体の効率を向上させるよう、加熱区画32と冷却区画34の間に配備してもよい。熱シールド36は、輻射度や熱伝導率が低く、放射による熱を多量に吸収しないような素材で構成できる。また、下記に説明するように、その中を適当な冷却剤を送流できるようなチャネル46を、熱シールドに設けることも可能である。
【0031】
前記の棚38は、アルミニウムや銅などの熱伝導性のよい材料で作られている。加熱区画32と冷却区画34のそれぞれの側壁40、42も、アルミニウムや銅などの高熱伝導性の金属で作成されている。それら棚と側壁の間を熱が伝播できるよう、棚は加熱区域と冷却区域の側壁に接触している。
【0032】
前記加熱区域32の側壁40内のチャネルまたは流路は、電源(図示せず)に接続された加熱コイルなどの電気抵抗式加熱器44を内蔵している。電源と加熱コイル間の配線を収容するための管路45が、側壁40内に設けられている。
【0033】
前記の電気抵抗式加熱器44に代わりに、その他の加熱源を利用することも可能である。例えば、赤外線ランプなどの1個以上の基板を加熱するための放射源を、加熱区画に設けても構わない。また、窒素(N2)などの加熱した不活性ガスを基板表面に流して、基板を加熱することも可能である。
【0034】
前記冷却区画34の側壁42内のチャネルまたは液体流路46は、水や冷却ガスなどの冷却剤を側壁内を循環させるための流路である。給入と排出の冷却剤パイプ47、49はそれぞれ、流路46に冷却剤を循環させるためカセット30の底壁31内に設けられている、
【0035】
同様の冷却剤用チャネル46を、冷却剤を流せるよう熱シールド36内に設けても構わない。この冷却剤の循環により、カセット30内の加熱と冷却の区画間の熱絶縁を実現できる。
【0036】
また、基板と棚の間に隙間を形成できるよう、棚38上に載置あるいはそれに固定した複数の取付材48上に基板を載置することもできる。取付材48は、高温のガラス、ステンレス鋼、水晶などの適当な素材から作成することが可能である。そのため、基板は棚と直接に物理的に接触することなく、両者間の直接の熱伝播を防止することができるのである。でも、取付材48を削除してもよいし、基板を棚と直接に接触させても構わない。その場合、基板は基本的に伝導により加熱される。
【0037】
前記基板は、両側から均等に加熱または冷却されるのが望ましい。基板の温度は、それが載置されたカセットの区域によって異なるが、基板からその上下の棚への熱移動により変動する。そのため、迅速で均等な加熱や冷却を可能にするだけでなく、熱ストレスを低減できるので、約400℃の温度範囲で加熱ならびに冷却をする場合でも、基板の割れや歪みを防止することができる。
【0038】
図3Bには、熱シールド36の代わりに、アルミニウムなどの熱反射材で作られたプレート90を含む熱バリヤを備えたカセット30′が図示されている。さらに、その加熱区画と冷却区画とを互いに熱絶縁させるため、複数の非伝導性ポスト92を備える。それらポストは、ガラスまたはセラミックで作成できる。本実施例では、冷却区画の棚38′下方の棚38上に載置された基板を両側から冷却できるよう、支持体48なしの棚38′が配置されている。
【0039】
また、加熱区画と冷却区画間の物理的な熱バリヤを削除することも可能である。加熱区画と冷却区画内の所望温度は、例えば、加熱区域には適当な電力を流して、冷却区画には適当な量の冷却剤を流すことにより維持することができる。
【0040】
加熱冷却チャンバの別の例を、図3Cに図示する。そこでは、チャンバ20、22のそれぞれに、上述の形式の昇降アセンブリ135上のカセット100が備わっている。昇降アセンブリ135は、基板を装填出入させるため矢印137で示すように垂直方向へ基板をインデックス移動する。ロッドロックチャンバ20、22のカセット100も、同様の方法で作成できる。カセットは、加熱区画132と冷却区画134を備えている。本実施例では、加熱区画132と冷却区画134に、それぞれ基板を支持するためのプラットホーム138aと138bを設けている。上記のように、加熱区画132と冷却区画134の間に適当な熱シールドまたは熱バリヤ136を備えても構わない。棚138aは、電源に接続された加熱コイルなどの電気抵抗式加熱器144aにより加熱することができる。図示のように、加熱器144aを棚に取り付けてもよい。別の加熱器144bを、処理する基板を支持する棚138a上に配置しても構わない。
【0041】
加えて、チャンバ20、22を、N2などの不活性ガスの雰囲気を充填する。
カセット100上に載置された基板は、伝導、対流、放射により迅速に加熱する。基板加熱の大部分が加熱された棚138aに基板を直接に接することにより行われるが、下側棚138aと同じ上昇温度で作動するため、加熱された上側棚144bは基板の熱損失を低減させることができる。
【0042】
また、冷却剤を循環させるための流路形成のためのチャネルまたは液体通路146aを棚138b内に設けることもできる。同様の冷却剤チャネル146bを、棚138bの上側に備えても良い。
【0043】
前記カセット配列100は、基板が両加熱器144aと144bのほぼ中間に位置できるよう、加熱区画132内の棚138aから基板を持ち上げるための適切な昇降アセンブリまたは機構150をも備える。同様に、カセット配列100は、基板が両冷却流路146aと146bの中間に位置できるよう、冷却区画134内の棚138bから基板を持ち上げるための適切な昇降アセンブリ152を備えている。昇降機構150、152には、それぞれ棚138a、138b上に基板を支持するための支持爪部150a,152aが備わっている。また昇降機構150、152により、ロードロックチャンバでの基板の装填出入が簡単に行える。それら機構は、独立させて作動してもよいし、同時に作動させることもできる。上記のように、基板は、ロードロックチャンバの大気側と真空側のスリットバルブから、チャンバ内に装填出入する。
【0044】
前記カセット100は、単一プロセスチャンバを有する装置で利用できるよう設定するのが望ましい。しかしながら、プロセス時間によっては、1つ以上のプロセスチャンバをもつ装置においてカセット100を利用することも可能である。例えば、図1の装置で利用しても構わない。
【0045】
別の例として、前記処理装置に、それぞれ別個の加熱用ロードロックチャンバと冷却用ロードロックチャンバを設けて、最初に単一基板を片方のロードロックチャンバで加熱し、処理完了の後、別のロードロックチャンバで冷却するのである。図3Dに示すように、加熱用ロードロックチャンバ200は、基板Sを支持するための熱伝導性のよい素材で作成された棚またはサスペクタ238aを備える。基板を加熱するための電気抵抗式加熱器244aを、棚に内蔵することもできる。同じ電気抵抗式加熱器244bなどの別の加熱器は、棚238a上に配置されている。加熱器244bは、熱伝導性棚またはサスペクタ238bに内蔵させてもよい。
【0046】
前記の棚238aは、矢印237で示すように縦方向に基板をインデックス移動できるよう、昇降機構235上に取り付けられている。装填位置において、ロボットの端末操作部により基板は加熱された棚238a上に載置される。次に、棚238aが上昇して、加熱された棚238bに近接した位置まで移動する。例えば、棚238bから3mmほど離れた位置まで基板を移動させる。基板が垂直方向に移動しているとき、ロードロックチャンバ200は不活性ガス雰囲気で充填される。この動作中に、伝導、対流、放射により基板は迅速に加熱される。加熱動作の大部分が伝導により行われ、両方の棚238aと238bはほぼ同じ上昇温度で作動するため、加熱された上側の棚238bの存在のせいで熱損失が低減できる。所望の温度まで加熱した後、基板は下方へ移動し、ロードロックチャンバ200のスリットバルブから取り出される。
【0047】
図3Eに図示のように、冷却用ロードロックチャンバ220は、冷却動作中に基板を支持するための熱伝導性のよい素材で作成された棚240aを備える。冷却剤を循環させるための流路を形成する単数または複数の液体通路246aを、棚240aに備えることもできる。同じような単数または複数のチャネル346bを、棚240a上に配置してもよい。
【0048】
プラットホーム240a上に載置された基板は、昇降アセンブリ235′により垂直方向(矢印237′)に移動できる。処理完了後、基板はロードロックチャンバ220内の棚240a上に装填され、冷却通路246bに近接した位置まで移動される。そのようにして、基板はチャネル246aと246bを流れる冷却剤により両側から効果的に冷却される。温度が十分に低下した後、基板は上方に持ち上げられて、チャンバ内壁中のスリットバルブから取り出される。
【0049】
また、図3Dに図示されているように、ロードロックチャンバ200は、矢印239で示すプラットホーム238aに対して垂直方向に基板を移動させるよう、昇降アセンブリ235のシャフトに対して同軸に配備された昇降アセンブリ236をも備えることができる。昇降アセンブリ236には、プラットホーム上の基板を支持するためプラットホーム238aに設けられた開孔中に延びる支持部材または爪部236aが取り付けられている。昇降アセンブリ236は、プラットホーム238a上から基板を持ち上げたり、その上に基板を載置したりする動作を行う。
【0050】
図3Eに示すように、ロードロックチャンバ220には、プラットホーム240aから基板を持ち上げたり、その上に基板を配置するのに利用できる垂直方向固定の昇降機構245が備わっていてもよい。昇降機構245は、基板と係合するようプラットホーム240a内の開孔中に延びる支持部材または爪部247を備える。支持爪部247は、ロードロックチャンバ220の底部内壁220aに固定されている。それゆえ、例えば、プラットホーム240aを下方へ移動するよう昇降機構235を動作させた場合、支持爪部247により基板はプラットホーム上に配置されるのである。
【0051】
前記の両ロードロックチャンバ200、220は、必要に応じて、昇降機構235または245のいずれかに固定しても構わない。基板は、昇降機構の支持爪部に保持される位置で、ロードロックチャンバから取り出しあるいはチャンバ内に配置することもできる。
【0052】
また、手動式あるいは自動式で、チャンバ20、22に基板を装填しても構わない。図1では、大気中の所定位置に取り付けられた市販のロボット50により、3つの異なる格納カセット54A、54B、54Cの1つから基板Sが取り出される。それらカセットは、基板を支持するための複数の水平プレートまたはプラットホームを垂直方向に配置している。プラットホームには、ロボット50の対応ブレードまたは腕部アセンブリ56による基板へのアクセスが容易となるよう、プラットホームの表面上で基板を支持するための突起部が取り付けられている。このような方法で取り出された基板は、下記で説明するように1度に1枚づつチャンバ20、22に装填することができる。また、カセット30の取付部48でギャップまたは隙間を形成することにより、ロボットの腕部アセンブリ56によるカセット30内の基板へのアクセスを可能にしている。
【0053】
前記の大気側ロボット50と対応ブレードアセンブリ56は、3つの異なる軸上で移動させることもできる。例えば、ロボットのブレードは、伸縮動作(R移動)、垂直方向の上下動作(Z移動)、一定角度幅の旋回動作(θ移動)を行ってもよい。本実施例におけるθ移動は360度以上であり、つまり、ロボットブレードアセンブリは両方向に360度の回転が可能である。
【0054】
前記の格納カセット54Bは、固定されている。他方、格納カセット54Aと54Cは点線の円で示すように回転遷移移動が可能で、格納カセット54A、54Cの異なる位置動作が可能である。このため、ロボット50によるカセット上の基板へのアクセスが容易になりえる。
【0055】
前述したように、基板は一般的に長方形状である。例えば、基板Sの寸法は、800mm(短辺x)x1000mm(長辺y)の場合がある。図1と図2において、基板は、その短辺xが大気側ロボット50に対向するよう、格納カセット54A、54B、54Cに載置されている。つまり、チャンバ20、22への基板の装填動作中に、例えば、ロボットブレード56が短辺xと交差する方向へ下方移動することにより基板にアクセスできる。そして、基板の短辺とほぼ交差する方向に沿って、基板はロードロックチャンバへ装填されるのである。同様に、その短辺とほぼ交差する方向に沿って、基板はチャンバ20、22からロボット50により取り出される。つまり、ロボットブレード56が、チャンバ内20、22で基板を短辺xとほぼ交差する方向に下方へ移動させて下ろす。しかしながら、下記に詳細に説明するように、チャンバ20、22の真空側では、基板は長辺yを横切ってチャンバ20、22やプロセスチャンバ12、14、16に装填出入される。
【0056】
前記基板が正方形の場合は、両辺xとyは明らかに同じとなる。それゆえ、例えば、大気側ロボット50のブレード56が、チャンバ内20、22で正方形基板を短辺xとほぼ交差する方向に下方へ移動させて下ろす。けれども、チャンバ20、22の真空側では、正方形基板は長辺yを横切ってチャンバ20、22やプロセスチャンバ12、14、16に装填出入される。そのようにして、正方形基板も、大気側では、1つの辺にほぼ鉛直な方向に沿ってチャンバ20、22に装填出入されるが、真空側では、第2の辺を横切るように、例えば、辺xにほぼ垂直な辺yを横切って、チャンバ20、22やプロセスチャンバ12、14、16に装填出入されるのである。
【0057】
図1に示すように、前記の装置10では、ロボット60が中央真空チャンバ18内の中心に配置されている。真空側ロボット60は、双腕ロボットである。それゆえ、2つの個別腕部から成る対応ブレードまたは腕部アセンブリ62を備える。各ロボット腕部は、中央ハブに対して独立して移動可能となっている。また、ロボット腕部は、同じ方向または逆方向に回転できる。ロボット腕部は、互いに独立して、伸縮動作(R移動)も行える。ロボット60により、チャンバ20、22とそれぞれのプロセスチャンバ12、14、16との間で、必要なら、プロセスチャンバから別のプロセスチャンバへも、基板が搬送される。
【0058】
図2に、ロボットのR移動(直線伸縮動作)は矢印60aで示されており、その枢支θ移動は矢印60bで示されている。その双ブレードまたは末端操作部により、ロボット60はプロセスチャンバから基板を取り出し、プロセスチャンバへ別の処理する基板を装填できるのである。同様に、処理済み基板は、ロードロックチャンバから取り出された後、別のロードロックチャンバへ装填される。
【0059】
前記のロボットブレードアセンブリ62は、装填出入を行うため、基板の長辺yを横切る方向でチャンバ20、22上の基板にアクセスまたは係合する。つまり、ブレードアセンブリ62のロボットブレードアセンブリは、基板の長辺yとほぼ交差する方向へ下方に基板を移動させるのである。それゆえ、基板は、その長辺にほぼ垂直な方向に沿ってロードロックチャンバの真空側に装填出入を行う。同様に、基板は長辺yを横切るように個別のプロセスチャンバ12、14、16に配置されそこから取り出される。つまり、基板は、長辺yにほぼ垂直な方法にプロセスチャンバの装填出入が行われるのである。またロボットは、両腕部が同じ面上で伸縮できるよう、z方向では2つの位置をもつ。
【0060】
例えば、図4に図示のように、プロセスチャンバ12はステム部74に取り付けられた基板支持プレート72をもつサスセプタ70を備えたPECVDプロセスチャンバである。サスセプタ70は、プロセスチャンバの中央に配置されている。基板は、基板処理域または反応域75内の支持プレート72上に支持されている。サスセプタを上下移動させるためのリフト機構(図示せず)も装備されている。リフトピン(図示せず)が支持プレート内のリフトピン孔76中に延びており、ロボットのブレードアセンブリ62によるプロセスチャンバ12の側壁79の開口78、および、側壁19の対応開口からの基板の装填出入のための搬送が容易となる。開孔78は、スリットバルブ12a(図1参照)にて閉鎖可能である。
【0061】
前記支持プレート72は長方形状であって、処理する基板を収容するのに適した幅と長さを有する。複数の加熱素子(図示せず)を、処理動作中に基板を均等に加熱できるよう、支持プレート72の上面72a下に配備することもできる。
【0062】
前述したように、ロボットのブレードアセンブリ62により、長辺y(図2)にほぼ垂直な方向へのプロセスチャンバ12の側壁79の開口78からの基板の装填出入のための搬送が容易となっている。一度、ロボットのブレードアセンブリが基板を所定位置に移動させると、リフトピンが上方へ動いて、処理位置まで下降させる前に基板を支持する。特に、基板と接触して支持できるよう、リフトピンはリフトピン開孔76中を移動する。リフトピンを、周知の遷移機構や線形フィードスルーなどのリフト手段(図示せず)の動作により、リフトピン開孔162中を移動させるようにしても構わない。リフトピンが接触して支持すると同時に、基板は処理位置へと下方へ移動する。
【0063】
前記基板がサスセプタ72上に配置された後、開口78はスリットバルブ12aにより閉鎖され、膜堆積が開始される。膜堆積の完了後、スリットバルブ12aが開かれて、ロボット60により基板は取り出される。つまり、リフトピンがリフトピン開孔76中を上方へ移動して、サスセプタ表面上の基板を支持して、ロボットのブレードアセンブリ62により基板が把持されて開口62経由でプロセスチャンバから取り出されるのである。
【0064】
前記のその他のプロセスチャンバ14、16も、同様の方法で動作および構成することができる。
【0065】
まず最初、ロードロックチャンバ20が大気圧状態のとき、中央チャンバ18は、例えば、約1Torrの真空動作圧状態となっている。そのため、扉21bは閉鎖されて、チャンバ18をロードロックチャンバ20および大気から分離している。しかし、処理する基板をロードロックチャンバ20へ装填できるよう、扉21は開放されている。
【0066】
続いて、ロボット50が、格納カセット54A、54B、54Cの1つまたはその以上から処理する基板をアクセスする。本実施例では、基板は、チャンバ20の加熱区画32内のカセット30の3つの棚38(図3参照)の内の1つに装填される。ロボットが必要なR、θ、Z移動を行って、格納カセットの基板にアクセスして、それを加熱区画32の各棚に装填する。昇降機構35は、空の棚が扉21に対向できるよう、その棚の高さまでカセットを持ち上げる。基板を棚に載置して、カセットの棚全部に装填されるまでその動作を繰り返す。
【0067】
上述のように、基板はその短辺を横切る方向にカセットへ装填される。つまり、ロボットのブレードアセンブリ56は、基板の短辺にほぼ垂直な方向で格納カセット内の基板を係合する。全部の基板、実施例では3枚、をロードロックチャンバ20に装填した後、スリットバルブ21を閉じてロードロックチャンバ20を大気から分離する。そして、ロードロックチャンバ20を、約1Torrである中央チャンバ18内の圧力に相当する動作圧まで排気する。
【0068】
説明のため、装置10には3つのプロセスチャンバしか装備されていないが、3つのプロセスチャンバで同時に処理動作を行うものとする。しかしながら、プロセスチャンバを3つ以上またはそれ以下の数となるよう、装置を変更することも当然ながら可能である。
【0069】
前記チャンバ20のカセット加熱区画32へ基板を大気圧状態で装填する動作時間中、基板を、例えば、300℃と450℃の間の処理温度まで予備加熱する。装置の始動時には、ロードロックチャンバ20、22のそれぞれのカセットは空であり、すなわち、基板が収容されていない。例えば、チャンバ20のカセット加熱区画の最初に装填される基板は、所望の処置温度に達するまで適当な時間だけ加熱する必要がある。基板の加熱には、下記で詳細に説明するように、図5Aに示す時間がかかる。装置10の始動後に装置内で処理される基板も、図5Aの予備加熱サイクルに従って処理されることになる。
【0070】
図5Aに示すように、第1番目の基板は、カセット加熱区画32の棚38上に装填されて、時間t1のあいだ加熱される。その後、2番目の基板をカセット加熱区画に装填して、時間t1より短い時間t2のあいだ加熱する。第1基板と第2基板の装填時間の間隔は、t4である。そして最後の基板が、カセット加熱区画に装填されて、時間t3の間加熱される。時間t3は、前記の時間t1よりも短い時間t2よりもさらに短い。時間t5は、カセットへの第1基板と最後の基板の装填の時間間隔である。時間t1は、3枚の処理する基板をロードロックチャンバへ装填して、それら処理済み基板をそこから取り出すのに要する時間である。
【0071】
前記の装置10の始動時にロードロックチャンバへ同様の基板を装填するのに要する時間は、時間t1よりもずっと短い。にも関わらず、前記のように、装置始動時に基板を図5Aの加熱サイクルに従って予備加熱する。例えば、本実施例では、時間t1は120秒、時間t2は104秒、時間t3は88秒、時間t4は16秒、時間t5は32秒としている。
【0072】
前記中央チャンバ18の真空動作圧までへのチャンバ20の排気或いはポンプダウン中、基板を時間teのあいだ加熱し続ける。この時間は、60秒ほどである。時間t6は、1番目の基板をチャンバ20から、例えば、プロセスチャンバ12のスリット扉に近接する位置まで搬送するのに要する時間を示す。時間t7は、その基板をプロセスチャンバ12内のサスセプタまで下降させる時間を示している。時間tw1とtw2は、それぞれ、第2基板と第3基板が別の2つのプロセスチャンバの片方へ搬送されるのを待つ時間を示す。例えば、時間t6と時間t7は共に10秒で、時間tw1とtw2はそれぞれ30秒と50秒である。
【0073】
第1基板を予備加熱する時間総計はt1+teで、第2基板の予備加熱総時間はt2+te+tw1となる。第3基板の予備加熱総時間は、t3+te+tw2である。それゆえ、実施例における第1基板、第2基板、第3基板のための予備加熱総時間は、それぞれ180秒、194秒、198秒となる。
【0074】
前記チャンバ20の排気中に、ロボット50はチャンバ22への基板の装填を開始することができる。チャンバ20で上述したように、基板はチャンバ内22のカセット加熱区画の3つの棚に装填される。基板のチャンバ22への装填は、扉25経由で行われる。
【0075】
その内部気圧がトランスファチャンバ18内の圧力と同じとなるようチャンバ20を排気した後、スリットバルブ21bが開かれるので、ロボット60はR移動とθ移動により各プロセスチャンバ12、14、16へ搬送するためカセット加熱区画の基板をアクセスできる。続いて、昇降機構35が1つの棚の高さ分づつチャンバ20内の基板をインデックス移動させて、ロボット60のブレードアセンブリ62に係合できるよう対向扉21bに各基板を順番に位置決めする。すなわち、チャンバ20から基板を取り出すため、ブレードアセンブリ62の腕部または末端操作部のうちの選定したものを使用するのである。
【0076】
前記のように、基板はその長辺を横切るようにチャンバ20から取り出される。つまり、基板は、基板の長辺yにほぼ垂直な方向に沿って、ロボット60によりチャンバ20から取り出される。図2に示すように、同様にして、基板は長辺を横切るかたちで個別のプロセスチャンバ12、14、16へと装填される。
【0077】
前記のロボット60が、チャンバ20から一度に1枚の基板を取り出して、プロセスチャンバ12、14、16のそれぞれに各基板を装填する。図5Aで説明したように、チャンバ20から基板を1枚取り出して、1つのプロセスチャンバ内のサスセプタ上に載置するまでの時間は、t6+t7である。処理する基板がサスセプタ上に適切に載置されると同時にプロセスチャンバ内での膜堆積プロセスが開始され、プロセスチャンバのスリットバルブが閉じられる。最後の基板がチャンバ20から取り出されて、例えば、プロセスチャンバ16に装填されると、扉21bが閉ざされて、チャンバ20は開放されて大気圧状態にされる。そして、さらに3枚の基板が、チャンバ20のカセット加熱区画に装填されるのである。
【0078】
図5Bは、3つのプロセスチャンバのうちの1つのプロセスチャンバ内での基板のプロセス時間tPに事例を示している。プロセス時間tPは、5つの部分に分けることができる。そのうち2つの部分は、例えば、チャンバ20と選択されたプロセスチャンバ12間で基板が搬送される真空交換時間である。真空交換時間は、t10である(下記で説明する図6Bを参照)。またプロセス時間の部分tHは、膜堆積ガスを導入する前に、プロセスチャンバ内の基板をプロセス温度まで加熱する時間である。膜堆積ガスは、時間tD中プロセスチャンバに導入される。膜堆積の完了後、前述したように、リフトピンでプロセスチャンバ内のサスセプタ上から基板を持ち上げる。この動作のための時間が、tLである。
【0079】
例えば、加熱時間tHと膜堆積時間tDは、それぞれ60秒と70秒である。昇降時間tLと真空時間は、それぞれ20秒と30秒である。ゆえに、プロセス時間tPは180秒となる。
【0080】
前記のように、チャンバ20の3番目の基板をプロセスチャンバ16に装填した後、扉21bが閉じられて、チャンバ20が大気圧状態に変えられ、チャンバ内20に次の基板を装填することができる。この動作になると、中央チャンバ18内の真空動作圧までチャンバ22がポンプダウンされる。チャンバ20に最初に基板を装填する場合には、図5Aに示す加熱サイクルに従ってチャンバ22のカセット加熱区画内も予備加熱される。
【0081】
前記チャンバ22圧力が中央トランスファチャンバ18内の動作真空圧に達すると、スリットバルブ26が開かれて、そのチャンバ22から個別のプロセスチャンバ12、14、16までの予備加熱された基板の搬送が可能となる。前記のように、基板はチャンバ22から取り出されて、基板の長辺にほぼ鉛直な方向でプロセスチャンバへ装填される。
【0082】
前記のロボット60が、チャンバ22内の基板の選択された1つに、R移動とθ移動により係合する。選択された基板は、ロボット60の第1腕部で支持される。そして、プロセスチャンバ12の扉12aが開かれると、ロボットの空の腕部または第2腕部が伸張して、プロセスチャンバ12内の処理の終わった基板と係合し、そこから取り出す。次に、ロボットは、そのブレードアセンブリ62の第1腕部で支持された処理する基板をプロセスチャンバ12内へ装填する。続いてロボット60はチャンバ22に回転させ、そのブレードアセンブリ62の第2腕部で支持されたプロセスチャンバ12からの処理済み基板を、チャンバ22のカセット冷却区画32に装填する。ロボットのブレードアセンブリ62の第1腕部は、チャンバ22内の次に処理する基板と係合して、プロセスチャンバ14に基板を装填する位置へと回転移動させる。プロセスチャンバ14へ処理される基板を装填する前に、プロセスチャンバ14内で処理済み基板を、ロボットのブレードアセンブリの第2腕部で取り出す。その後に、ロボットのブレードアセンブリの第2腕部は、チャンバ22のカセット冷却区画内にプロセスチャンバ14からの処理済み基板を装填するためのチャンバ22に対する位置まで回転する。
【0083】
この時点で、チャンバ22で処理される次の基板がロボットのブレードアセンブリの対応腕部により取り出され、その腕部は、プロセスチャンバ16へ基板を装填する位置まで回転して伸張するのである。前述したように、プロセスチャンバ16で処理の完了した基板は、そのプロセスチャンバに処理される基板が装填される前に、ロボットでそこから取り出される。そして、プロセスチャンバ16からの処理済み基板は、チャンバ22のカセット冷却区画へ装填される。
【0084】
続いて、処理済み基板がカセット冷却区画に装填されるとき、処理される基板はそのカセット加熱区画から取り出される。そして、チャンバ22に対応する昇降機構が駆動されて、ロボットのブレードアセンブリ62の所定の腕部がアクセスできるよう、カセット加熱区画または冷却区画のいずれかの棚が扉26に対向する位置へ向けられる。また、第1の処理済み基板がカセット冷却区画に装填されると同時に、冷却処理が開始される。同時に、冷却剤が冷却剤通路46から導入される。プロセスチャンバからのガスも、プロセスチャンバの扉やロードロックチャンバの真空側の扉が開かれるので、基板を冷却するのに貢献できることになる。
【0085】
最後の処理済み基板がチャンバ22のカセット冷却区画に装填された後、扉26が閉じられて、チャンバ22は大気圧状態に開放される。その後、チャンバ22の扉25が開かれて、ロボット50がチャンバ22のカセット冷却区画内の最後の基板にアクセス可能となる。しかしながら、チャンバ22から最後の処理済み基板を取り出す前に、ロボット50は、チャンバ22のカセット加熱区画に処理する更なる基板を装填できるよう動作する。これにより、処理済み基板を大気温度まで冷却する追加時間を確保することができる。
【0086】
前述のように、適切にプログラムされたマイクロプロセッサー作動コトローラにより、装置10の動作全体や部品が制御される。またコントローラは、ロボット50を制御して、チャンバ22のカセット冷却区画から基板を1度に1枚取り出し、チャンバ20に装填される前に元々載置されていた、所定の格納カセット54a、54b、54cのいずれかに再搭載させる。
【0087】
処理済み基板がチャンバ22に装填され、同じチャンバ内22の処理される基板が所定のプロセスチャンバへ装填されているときに、チャンバ20は、チャンバ20のカセット加熱区画へ処理するさらなる基板を装填できるよう、大気圧状態に開放される。そして再び、新規の基板がチャンバ20内で図5Aに示す基板加熱サイクルに従って予備加熱されるのである。それらの基板全部をチャンバ20のカセット加熱区画に装填した後、そのチャンバ20はトランスファチャンバ18内の真空動作圧まで排気される。チャンバ20内の新規基板は、その後、前記したように、プロセスチャンバ12、14、16で処理完了した基板と一度に1枚づつ交換される。この段階で、プロセスチャンバにて処理済みの基板は、チャンバ22からトランスファチャンバ18へと搬送される。しかしながら、前述のように、基板は、そこでロボット50により元の格納カセットに再度装填のためアクセスされるチャンバ20を経由してから、トランスファチャンバから取り出される。
【0088】
すなわち、前記のロボット60が、チャンバ20のカセット加熱区画で処理される基板に係合して、それをプロセスチャンバ12に装填する位置まで移動させる。しかしながら、最初に、処理済みの基板を、プロセスチャンバ12から取り除いておく。そのあと、処理される新規の基板を、プロセスチャンバ12に装填する。その処理済み基板は、ロボット60によりチャンバ20のカセット冷却区画へ移動させる。そして、新規の処理する基板を、ロボット60でチャンバ20のカセット加熱区画から取り出して、処理済みの基板が取り出された後のプロセスチャンバ14に装填するのである。その処理済み基板をチャンバ20のカセット冷却区画に装填し、処理される新規の基板をチャンバ20のカセット加熱区画から取り出して、プロセスチャンバ16へ装填する。再度、処理済みの基板は、新規の基板を装填する前に、プロセスチャンバ16から取り出す。そのプロセスチャンバ16からの処理済み基板は、チャンバ20のカセット冷却区画に装填する。チャンバ20の扉21bを閉じてから、そのチャンバ20を大気圧状態に開放する。その後で、チャンバ20の扉21を開いて、そのチャンバ20のカセット冷却区画内の基板にロボット50をアクセスさせる。それらカセットは、元々そこから取り出してチャンバ22へ装填されるような、格納カセット54a、54b、54cのいずれかに戻されるのである。
【0089】
前記で説明したチャンバ20、22でのロードロック加熱ならびに冷却サイクルが、図6Aに図示されている。図示されているように、チャンバ20が、そのチャンバ20とプロセスチャンバとの間で基板を交換するため、ポンプダウンされて中央トランスファチャンバ18内の真空動作圧まで下げられるとき、チャンバ22では、処理済み基板を取り出すために大気圧条件に開放されている。図6Aにおける大気中加熱時間t1は、図5Aで示したものである。同様に、ロードロックチャンバをポンプダウンして中央チャンバ18の真空動作圧まで下げるのに要する時間teも図6Aに示されており、図5Aのteと同じである。また、ロードロックチャンバを大気圧に開放する時間は、tvで示されている。本実施例においては、tvはteと同じであり、それぞれ約60秒である。
【0090】
図6Aに示す時間t9は、ロードロックチャンバ内の加熱された基板とプロセスチャンバ内の処理済み基板を交換するのに要する時間である。図6Bで示すように、時間t9は、いくつかの部分に分けられる。時間t6(図5A参照)は、例えば、基板をチャンバ20からプロセスチャンバ12のスリット扉に近接する位置まで搬送する時間である。時間t6と同じ長さの時間t15は、最後の処理済み基板をプロセスチャンバ16からロードロックチャンバへ搬送するのに要する時間である。時間t10、t12、t14は、それぞれのプロセスチャンバからの処理済み基板を取り出して、その同じ場所に予備加熱された基板を装填するのに要する時間である。時間t11とt13は、処理済みの基板をロードロックチャンバに装填して、そのロードロックチャンバから処理される基板を取り出すのに要する時間である。本実施例における時間t10から時間t14まではそれぞれ20秒であり、時間t6と時間t15はそれぞれ10秒である。それゆえ、時間t9は、全部で120秒となる。
【0091】
時間t10から時間t14までの期間も図5Bに図示されており、プロセスチャンバサイクルのタイミング分析が示されている。図示のように、基板がプロセスチャンバ内のサスセプタ上に載置されて、プロセスチャンバのスリットバルブが閉じられた後、基板が加熱され(tH)、膜堆積が開始される(tD)。
【0092】
装置全体の処理動作と洗浄のサイクルのタイミング分析が、図7に示してある。図示のように、本実施例においては、一般的な6つの膜堆積サイクルが、プロセス時間TPCにおいて実行される。各膜堆積サイクルは、プロセスチャンバ時間tP(図5B)、および、ロードロックチャンバでのそれぞれ大気側と真空側での交換時間t1(図5A)とt9(図6B)とから成る。プロセスチャンバを洗浄するための時間は、TCである。洗浄処理の時間は約360秒である。本実施例における時間TPCは、1080秒となる。このプロセスサイクル(TPC+TC)の間、18枚もの基板が1440秒で処理できる。それゆえ、45枚の基板が3600秒で処理可能となる。
【0093】
プロセスチャンバ内で、複数のコーティングや膜を堆積することもできる。これは、所望の膜厚になるまで第1組の前駆体ガスをプロセスチャンバに給入してから、プロセスチャンバを開放し、第2組の前駆体ガスをプロセスチャンバに給入することで実行できる。別の例として、各プロセスチャンバにて異なる薄膜を堆積させるため、基板を予め決められた順序で1つのプロセスチャンバから別のプロセスチャンバへと搬送すればよい。装置10における構成チャンバ間の基板の搬送やタイミングは、前記のように、コントローラによる制御で選択動作できる。
【0094】
つまり、基板をロードロックチャンバへ装填する時間中に、基板を予備加熱できる。別の例として、全部の基板をロードロックチャンバへ装填し、ロードロックチャンバの扉を閉じて、ロードロックチャンバを排気した後で、予備加熱を行ってもよい。どちらの場合でも、基板を加熱している最中に、上述のようにN2などの不活性ガスをロードロックチャンバ内に導入できる。基板装填のためロードロックチャンバの扉を開くと、ロードロックチャンバ内および/または扉において不活性ガスを正圧に維持でき、ロードロックチャンバに空気が流れ込むのを防止できる。
【0095】
前記の装置10は、単一のバッチ式構成チャンバにおいて予備加熱と冷却を行うことにより、連続して迅速な基板の処理を実行できる。基板は、一度に1枚づつプロセスチャンバで処理される。装置全体のサイズは従来のものよりも小型化でき、そのため処理動作の効率性をさらに高めることが可能となる。
【0096】
本発明の装置は、前記のように特定の実施例に基づいて説明してきたが、本発明の本質から逸脱することなく様々に変更することも可能である。例えば、所望数のプロセスチャンバを用意して、それぞれ中央トランスファチャンバにアクセス可能に設定し、加熱、膜堆積、冷却の連続処理動作を、必要とする薄膜の数や堆積順序に従って行うことも可能である。化学エッチングチャンバ、物理スパッタリングチャンバ、高速アニーリングチャンバ、予備洗浄チャンバなどの別の形式のプロセスチャンバを、装置に追加または代替しても構わない。
【0097】
そのような多様化や変更は当業者には明白であろうし、本発明は添付する特許請求の範囲の内容にのみ制限されるものである。
【図面の簡単な説明】
【図1】基板上に薄膜を形成するための本発明の処理装置の概略平面図である。
【図2】処理装置内の基板の移動を概略的に示す、図1の処理装置の平面図である。
【図3A】図1の線3A−3Aに沿った、本発明の処理装置のバッチ式加熱冷却チャンバの概略断面図である。
【図3B】バッチ式加熱冷却チャンバの別の形態の概略図である。
【図3C】加熱冷却チャンバの別の実施例の概略図である。
【図3D】単一基板用の加熱チャンバの実施例の概略図である。
【図3E】単一基板用の冷却チャンバの実施例の概略図である。
【図4】PECVDプロセスチャンバの概略断面図である。
【図5】Aは、ロードロックチャンバ内での基板予備加熱サイクルを示すタイミング分析図である。
Bは、プロセスチャンバ内でのプロセスサイクルを示すタイミング分析図である。
【図6】Aは、ロードロックチャンバ内での加熱ならびに冷却サイクルを示すタイミング分析図である。
Bは、ロードロックチャンバの真空側からのロードロックサイクルを示すタイミング分析図である。
Cは、バルブの開閉シーケンスを示すタイミング分析図である。
【図7】装置全体のプロセスと洗浄サイクルを示すタイミング分析図である。
Claims (19)
- 同時に異なる基板を加熱及び冷却できる真空処理システムの排気可能なチャンバにおいて、密閉可能な第1真空通路を前記チャンバの第1側部、密閉可能な第2真空通路を前記チャンバの第2側部に含み、前記通路を通って前記基板を通過させる、前記チャンバであって:
加熱手段を含む第1側部を有する前記チャンバ内の第1区画であって、前記加熱手段は、内蔵され、前記第1側部内に配置される1以上の第1基板のいずれかの温度を上げられる、前記第1区画と;
冷却手段を含む第2側部を有する前記チャンバの第2区画であって、前記冷却手段は、内蔵され、前記第2区画内に配置される1以上の第2基板のいずれかの温度を下げられ、前記第1区画は、前記第2区画に備えられる冷却手段に対応するものを欠如し、前記第2区画は、前記第1区画に備えられる加熱手段に対応するものを欠如する、前記第2区画と;
前記第1区画内にあり上部で前記第1基板の各々を支持する複数の棚と;
前記第2区画内にあり上部で前記第2基板の各々を支持する複数の棚と;
前記第1区画および第2区画間の壁を含む、前記第2区画から前記第1区画を熱的に分離する手段と;
を備える、排気可能なチャンバ。 - 前記第1区画と第2区画の各々は、それぞれの複数の前記第1基板と第2基板を上部で支持する為にそれぞれ複数の棚を含む、請求項1記載の排気可能なチャンバ。
- 同時に異なる基板を加熱及び冷却できる真空処理システムの排気可能なチャンバにおいて、密閉可能な第1真空通路を前記チャンバの第1側部、密閉可能な第2真空通路を前記チャンバの第2側部に含み、前記基板を前記通路に通過させる、前記チャンバであって:
内蔵された抵抗式加熱器を備えた第1側壁を有する前記チャンバ内の第1区画であって、前記第1側壁が液体冷却用チャネルを含まない場合、前記第1区画内に配置された1以上の第1基板のいずれかの温度を上げられる、前記第1区画と;
内蔵された液体冷却用チャネルを備えた第2側壁を有する前記チャンバ内の第2区画であって、前記第2区画内に配置された1以上の第2基板のいずれかの温度を下げられる、前記第2区画と;
前記第1基板のそれぞれを上部で支持する為の第1区画内の複数の棚と;
前記第2基板のそれぞれを上部で支持する為の第2区画内の複数の棚と;
前記第1区画および第2区画間に配置された壁を含み、前記第2区画と前記第1区画を互いに熱的に絶縁するバリアと;
を備える、排気可能なチャンバ。 - 前記第1区画および第2区画の各々は、複数の第1基板および第2基板を、それぞれ支持する為に、複数のプラットホームを含む、請求項3記載の排気可能なチャンバ。
- 前記第1区画と第2区画は、互いに固定されている、請求項3記載の排気可能なチャンバ。
- 前記第1区画および第2区画は、前記第1基板の温度を上げることと前記第2基板の温度を下げることを同時にできる、請求項3記載の排気可能なチャンバ。
- 前記第1区画および第2区画は、前記第1基板の温度を上げることと前記第2基板の温度を下げることを同時にできる、請求項1記載の排気可能なチャンバ。
- 互いに独立して動作可能な第1リフトアセンブリおよび第2リフトアセンブリを更に備える、請求項7記載の排気可能なチャンバ。
- 互いに動作可能な第1リフトアセンブリおよび第2リフトアセンブリを更に備える、請求項7記載の排気可能なチャンバ。
- 前記加熱手段は、前記第1側壁内に抵抗式加熱コイルを含む、請求項7記載の排気可能なチャンバ。
- 前記冷却手段は、前記第2側壁内に形成された冷却通路を含む、請求項10記載の排気可能なチャンバ。
- 同時に異なる基板を加熱及び冷却できる真空処理システムの排気可能なチャンバ内に位置決めされるように適合されたカセットにおいて、前記チャンバは、密閉可能な第1真空通路を前記チャンバの第1側部、密閉可能な第2真空通路を前記チャンバの第2側部に含み、前記基板を前記通路に通過させる、前記カセットであって:
内部で支持される基板を加熱できる第1区画と;
内部で支持される基板を冷却できる第2区画と;
前記第1区画と第2区画を互いに熱的に絶縁する為に前記第1区画と第2区画との間に設けられたバリアと;
前記第1区画の内部側壁の温度を上げる為に前記第1区画内のカセットの内部側壁に内蔵された加熱器と;
前記第2区画の内部側壁の温度を下げる為に冷却剤を含むように構成され、前記第2区画内のカセットの内部側壁に内蔵された冷却通路と;
前記第1区画の前記側壁に接触し熱が伝導される、第1の複数の熱伝導性第1棚と;
前記第2区画の前記側壁に接触し、熱が伝導される、第2の複数の熱伝導性第2棚と;
を備え、
前記第1区画内の前記カセットの前記内部側壁は、前記第2区画内に備えられたような冷却通路を含まず、前記第2区画内の前記カセットの前記内部側壁は、前記第1区画内に備えられているような加熱器を含まない、前記カセット。 - 前記基板を支持し、前記基板と前記第1棚及び第2棚との間に空間を与える為に前記第1棚および第2棚上の支持体を更に備える、請求項12記載のカセット。
- 前記加熱器は、抵抗性加熱コイルを含む、請求項12記載のカセット。
- 前記バリアは、内部を冷却剤が循環する為のチャネルを含む、請求項12記載のカセット。
- 前記カセットは、昇降アセンブリ上に取り付けられ、前記導電性の棚上で前記基板が、前記ロードロックチャンバ内のスリットバルブを介して連続的にアクセス可能である、請求項12記載のカセット。
- 基板を処理する為の方法であって:
大気圧で、第1熱的バリアにより第1加熱区画から隔離された第1冷却区画を含む第1真空ロードロックチャンバの前記第1加熱区画内に複数の第1基板を装填するステップと;
高温まで前記複数の第1基板を加熱するステップと;
減圧下で、前記第1加熱区画から、複数の処理チャンバのうち選択された一つまで、加熱された基板を一度に1枚ずつ搬送するステップと;
各基板が処理された後、減圧下で、それを、第2熱的バリアにより第2真空ロードロックチャンバの第2加熱区画から隔離された前記第2真空ロードロックチャンバの第2冷却区画まで搬送するステップと;
前記第2冷却区画において前記基板を冷却するステップと;
前記第2冷却区画において前記基板を冷却した後、大気圧で、前記第2冷却区画から前記基板を取り出すステップと;
を備える、前記方法。 - 前記加熱するステップは、抵抗性加熱器を介して実行され、前記冷却は、冷却流体を流すことにより実行される、請求項17記載の方法。
- 基板を処理する為の方法であって:
大気圧で、熱的バリアにより加熱区画から隔離された冷却区画を含む真空ロードロックチャンバの前記加熱区画内に複数の第1基板を装填するステップと;
前記加熱区画内で高温まで前記第1基板を加熱するステップと;
減圧下で、複数の処理チャンバのうち選択された処理チャンバから、前記冷却区画まで、複数の第2基板を搬送するステップと;
減圧下で、前記複数の処理チャンバのうち選択された処理チャンバまで、前記第1基板を搬送するステップと;
前記処理チャンバ内で前記第1基板を処理するステップと;
前記冷却区画において前記第2基板を冷却するステップと;
前記冷却区画において前記第2基板を冷却した後、大気圧で、前記冷却区画から前記第2基板を取り出すステップと;
を備える、前記方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/950,277 US6688375B1 (en) | 1997-10-14 | 1997-10-14 | Vacuum processing system having improved substrate heating and cooling |
US08/950,277 | 1997-10-14 | ||
PCT/US1998/021070 WO1999019530A1 (en) | 1997-10-14 | 1998-10-06 | A vacuum processing system having improved substrate heating and cooling |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2001526316A JP2001526316A (ja) | 2001-12-18 |
JP2001526316A5 JP2001526316A5 (ja) | 2006-03-09 |
JP4237939B2 true JP4237939B2 (ja) | 2009-03-11 |
Family
ID=25490214
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2000516077A Expired - Fee Related JP4237939B2 (ja) | 1997-10-14 | 1998-10-06 | 基板加熱冷却を改良した真空処理装置 |
Country Status (4)
Country | Link |
---|---|
US (1) | US6688375B1 (ja) |
JP (1) | JP4237939B2 (ja) |
KR (1) | KR100614348B1 (ja) |
WO (1) | WO1999019530A1 (ja) |
Families Citing this family (176)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6949143B1 (en) * | 1999-12-15 | 2005-09-27 | Applied Materials, Inc. | Dual substrate loadlock process equipment |
JP5021112B2 (ja) * | 2000-08-11 | 2012-09-05 | キヤノンアネルバ株式会社 | 真空処理装置 |
KR100417245B1 (ko) * | 2001-05-02 | 2004-02-05 | 주성엔지니어링(주) | 웨이퍼 가공을 위한 클러스터 툴 |
KR100880863B1 (ko) * | 2002-12-20 | 2009-01-30 | 엘지디스플레이 주식회사 | 냉각시스템이 구비된 카세트 |
US20040141832A1 (en) * | 2003-01-10 | 2004-07-22 | Jang Geun-Ha | Cluster device having dual structure |
US20040226513A1 (en) * | 2003-05-12 | 2004-11-18 | Applied Materials, Inc. | Chamber for uniform heating of large area substrates |
KR20050038134A (ko) * | 2003-10-21 | 2005-04-27 | 삼성전자주식회사 | 기판 스토킹 시스템 |
CN1618716B (zh) * | 2003-11-12 | 2011-03-16 | 周星工程股份有限公司 | 装载锁及使用其的装载锁腔室 |
KR101131417B1 (ko) * | 2003-11-12 | 2012-04-03 | 주성엔지니어링(주) | 로드락 장치 및 이를 설치한 로드락 챔버 |
US20050217799A1 (en) * | 2004-03-31 | 2005-10-06 | Tokyo Electron Limited | Wafer heater assembly |
US20060045667A1 (en) * | 2004-07-14 | 2006-03-02 | Savas Stephen E | Substrate handling system and process for manufacturing large substrates |
US20060137609A1 (en) * | 2004-09-13 | 2006-06-29 | Puchacz Jerzy P | Multi-single wafer processing apparatus |
US20060182530A1 (en) * | 2005-01-05 | 2006-08-17 | Min-Hsu Wang | Wafer loadlock chamber and wafer holder |
JP4860167B2 (ja) * | 2005-03-30 | 2012-01-25 | 東京エレクトロン株式会社 | ロードロック装置,処理システム及び処理方法 |
US20070017445A1 (en) * | 2005-07-19 | 2007-01-25 | Takako Takehara | Hybrid PVD-CVD system |
US7432201B2 (en) | 2005-07-19 | 2008-10-07 | Applied Materials, Inc. | Hybrid PVD-CVD system |
US20070116873A1 (en) * | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
JP4849316B2 (ja) * | 2006-02-21 | 2012-01-11 | 株式会社Ihi | 真空成膜装置 |
US7665951B2 (en) * | 2006-06-02 | 2010-02-23 | Applied Materials, Inc. | Multiple slot load lock chamber and method of operation |
JP4801522B2 (ja) * | 2006-07-21 | 2011-10-26 | 株式会社日立ハイテクノロジーズ | 半導体製造装置及びプラズマ処理方法 |
JP2008034740A (ja) * | 2006-07-31 | 2008-02-14 | Dainippon Screen Mfg Co Ltd | ロードロック装置、それを備えた基板処理装置および基板処理システム |
US8113757B2 (en) * | 2006-08-01 | 2012-02-14 | Tokyo Electron Limited | Intermediate transfer chamber, substrate processing system, and exhaust method for the intermediate transfer chamber |
US20080045030A1 (en) * | 2006-08-15 | 2008-02-21 | Shigeru Tahara | Substrate processing method, substrate processing system and storage medium |
US8999103B2 (en) * | 2006-08-25 | 2015-04-07 | Tokyo Electron Limited | Substrate processing system, substrate processing method and storage medium |
US8920097B2 (en) * | 2006-11-02 | 2014-12-30 | Globalfoundries Singapore Pte. Ltd. | Wafer handling system for a loadlock |
KR100867191B1 (ko) * | 2006-11-02 | 2008-11-06 | 주식회사 유진테크 | 기판처리장치 및 기판처리방법 |
KR100809930B1 (ko) * | 2006-12-01 | 2008-03-06 | 엘지.필립스 엘시디 주식회사 | 증착원 |
US7378618B1 (en) | 2006-12-14 | 2008-05-27 | Applied Materials, Inc. | Rapid conductive cooling using a secondary process plane |
CN101210311B (zh) * | 2006-12-31 | 2010-06-16 | 中国科学院物理研究所 | 复合薄膜制备系统 |
US8272825B2 (en) * | 2007-05-18 | 2012-09-25 | Brooks Automation, Inc. | Load lock fast pump vent |
TWI455861B (zh) * | 2007-05-18 | 2014-10-11 | Brooks Automation Inc | 基板加工工具、半導體加工工具、及基板加工裝置 |
US10541157B2 (en) | 2007-05-18 | 2020-01-21 | Brooks Automation, Inc. | Load lock fast pump vent |
JP4913695B2 (ja) * | 2007-09-20 | 2012-04-11 | 東京エレクトロン株式会社 | 基板処理装置及びそれに用いる基板載置台 |
CN102246290B (zh) * | 2008-12-12 | 2014-03-05 | 芝浦机械电子株式会社 | 衬底冷却装置及衬底处理系统 |
DE102009016288B4 (de) * | 2009-01-02 | 2013-11-21 | Singulus Technologies Ag | Verfahren und Vorrichtung für die Ausrichtung von Substraten |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5511536B2 (ja) * | 2010-06-17 | 2014-06-04 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
KR101512132B1 (ko) * | 2010-10-27 | 2015-04-15 | 한국전자통신연구원 | 박막 증착 장치 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
CN103403852B (zh) | 2011-03-01 | 2016-06-08 | 应用材料公司 | 双负载闸配置的消除及剥离处理腔室 |
WO2012148568A1 (en) | 2011-03-01 | 2012-11-01 | Applied Materials, Inc. | Method and apparatus for substrate transfer and radical confinement |
US11171008B2 (en) | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US20120285621A1 (en) * | 2011-05-10 | 2012-11-15 | Applied Materials, Inc. | Semiconductor chamber apparatus for dielectric processing |
JP2013033963A (ja) * | 2011-07-29 | 2013-02-14 | Semes Co Ltd | 基板処理装置及び基板処理方法 |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013130191A1 (en) * | 2012-02-29 | 2013-09-06 | Applied Materials, Inc. | Abatement and strip process chamber in a load lock configuration |
US9337014B1 (en) | 2012-03-09 | 2016-05-10 | Alta Devices, Inc. | Processing system architecture with single load lock chamber |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9111971B2 (en) * | 2012-07-30 | 2015-08-18 | Applied Materials Israel, Ltd. | System and method for temperature control of a semiconductor wafer |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
JP5998925B2 (ja) * | 2012-12-28 | 2016-09-28 | 株式会社島津製作所 | 加熱装置 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
KR101463343B1 (ko) * | 2013-05-27 | 2014-11-19 | 영남대학교 산학협력단 | 유기금속 화학 증착기용 세정기 |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US10269541B2 (en) * | 2014-06-02 | 2019-04-23 | Applied Materials, Inc. | Workpiece processing chamber having a thermal controlled microwave window |
US10039157B2 (en) * | 2014-06-02 | 2018-07-31 | Applied Materials, Inc. | Workpiece processing chamber having a rotary microwave plasma source |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160203950A1 (en) * | 2015-01-13 | 2016-07-14 | Advanced Ion Beam Technology, Inc. | Method and ion implanter for low temperature implantation |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US20160217970A1 (en) * | 2015-01-28 | 2016-07-28 | Advanced Ion Beam Technology, Inc. | Ion implanter and method for ion implantation |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
JP6598242B2 (ja) * | 2015-08-19 | 2019-10-30 | 芝浦メカトロニクス株式会社 | 基板処理装置、および基板処理方法 |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
JP6506785B2 (ja) * | 2017-02-02 | 2019-04-24 | 株式会社Kokusai Electric | リソグラフィ用テンプレートの製造方法、プログラム及び基板処理装置 |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11222769B2 (en) | 2017-05-26 | 2022-01-11 | Applied Materials, Inc. | Monopole antenna array source with gas supply or grid filter for semiconductor process equipment |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10720348B2 (en) * | 2018-05-18 | 2020-07-21 | Applied Materials, Inc. | Dual load lock chamber |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110952079A (zh) * | 2018-09-26 | 2020-04-03 | 东泰高科装备科技有限公司 | 搁架、承载盘、托盘、缓冲腔、装载腔及基片传输系统 |
TWI844567B (zh) * | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US12014908B2 (en) * | 2020-03-24 | 2024-06-18 | Hitachi High-Tech Corporation | Vacuum processing apparatus |
CN111850518B (zh) * | 2020-07-21 | 2024-07-19 | 理想万里晖半导体设备(上海)股份有限公司 | 托盘预热腔及对应的pecvd设备 |
Family Cites Families (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US2412774A (en) * | 1943-09-10 | 1946-12-17 | Charles M E Hoffman | Condenser heated compartment |
US2853205A (en) * | 1956-04-12 | 1958-09-23 | Marjorie M Boyd | Bottled milk cooler and warmer and conveying means therefor |
US3808401A (en) * | 1972-11-28 | 1974-04-30 | R Wright | Electrically heated portable lunch box |
US4027727A (en) * | 1975-02-12 | 1977-06-07 | Gedell Pullens | Portable battery operated hot-cold storage unit |
US5187115A (en) | 1977-12-05 | 1993-02-16 | Plasma Physics Corp. | Method of forming semiconducting materials and barriers using a dual enclosure apparatus |
US5259881A (en) * | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
GB2194500B (en) * | 1986-07-04 | 1991-01-23 | Canon Kk | A wafer handling apparatus |
US4775281A (en) | 1986-12-02 | 1988-10-04 | Teradyne, Inc. | Apparatus and method for loading and unloading wafers |
JPS63141342A (ja) | 1986-12-04 | 1988-06-13 | Ushio Inc | 半導体ウエハ処理方法及びその装置 |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5292393A (en) * | 1986-12-19 | 1994-03-08 | Applied Materials, Inc. | Multichamber integrated process system |
US4913929A (en) | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
US4846102A (en) | 1987-06-24 | 1989-07-11 | Epsilon Technology, Inc. | Reaction chambers for CVD systems |
JPH0333058Y2 (ja) | 1987-06-26 | 1991-07-12 | ||
US5001327A (en) | 1987-09-11 | 1991-03-19 | Hitachi, Ltd. | Apparatus and method for performing heat treatment on semiconductor wafers |
FR2621930B1 (fr) | 1987-10-15 | 1990-02-02 | Solems Sa | Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique |
US5259883A (en) | 1988-02-16 | 1993-11-09 | Kabushiki Kaisha Toshiba | Method of thermally processing semiconductor wafers and an apparatus therefor |
US4857689A (en) | 1988-03-23 | 1989-08-15 | High Temperature Engineering Corporation | Rapid thermal furnace for semiconductor processing |
DE3941110A1 (de) | 1988-12-19 | 1990-06-28 | Rif O Z Mikroelektroniki | Vakuumeinrichtung zum aufdampfen von schichten |
US5254170A (en) * | 1989-08-07 | 1993-10-19 | Asm Vt, Inc. | Enhanced vertical thermal reactor system |
ES2130295T3 (es) | 1989-10-20 | 1999-07-01 | Applied Materials Inc | Aparato de tipo robot. |
US5227708A (en) | 1989-10-20 | 1993-07-13 | Applied Materials, Inc. | Two-axis magnetically coupled robot |
US5447409A (en) | 1989-10-20 | 1995-09-05 | Applied Materials, Inc. | Robot assembly |
JP2600399B2 (ja) | 1989-10-23 | 1997-04-16 | 富士電機株式会社 | 半導体ウエーハ処理装置 |
US5060354A (en) | 1990-07-02 | 1991-10-29 | George Chizinsky | Heated plate rapid thermal processor |
US5252807A (en) | 1990-07-02 | 1993-10-12 | George Chizinsky | Heated plate rapid thermal processor |
US5275709A (en) * | 1991-11-07 | 1994-01-04 | Leybold Aktiengesellschaft | Apparatus for coating substrates, preferably flat, more or less plate-like substrates |
JP2598353B2 (ja) | 1991-12-04 | 1997-04-09 | アネルバ株式会社 | 基板処理装置、基板搬送装置及び基板交換方法 |
EP0608620B1 (en) | 1993-01-28 | 1996-08-14 | Applied Materials, Inc. | Vacuum Processing apparatus having improved throughput |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
KR100261532B1 (ko) | 1993-03-14 | 2000-07-15 | 야마시타 히데나리 | 피처리체 반송장치를 가지는 멀티챔버 시스템 |
KR960002534A (ko) | 1994-06-07 | 1996-01-26 | 이노우에 아키라 | 감압·상압 처리장치 |
TW359849B (en) * | 1994-12-08 | 1999-06-01 | Tokyo Electron Ltd | Sputtering apparatus having an on board service module |
JP3288200B2 (ja) * | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
KR100238998B1 (ko) * | 1995-07-26 | 2000-01-15 | 우치가사키 기이치로 | 가열로 |
US5855681A (en) * | 1996-11-18 | 1999-01-05 | Applied Materials, Inc. | Ultra high throughput wafer vacuum processing system |
US5882413A (en) * | 1997-07-11 | 1999-03-16 | Brooks Automation, Inc. | Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer |
-
1997
- 1997-10-14 US US08/950,277 patent/US6688375B1/en not_active Expired - Lifetime
-
1998
- 1998-10-06 JP JP2000516077A patent/JP4237939B2/ja not_active Expired - Fee Related
- 1998-10-06 WO PCT/US1998/021070 patent/WO1999019530A1/en active Search and Examination
- 1998-10-06 KR KR1020007004001A patent/KR100614348B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
WO1999019530A1 (en) | 1999-04-22 |
JP2001526316A (ja) | 2001-12-18 |
US6688375B1 (en) | 2004-02-10 |
KR100614348B1 (ko) | 2006-08-18 |
KR20010031111A (ko) | 2001-04-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4237939B2 (ja) | 基板加熱冷却を改良した真空処理装置 | |
US5607009A (en) | Method of heating and cooling large area substrates and apparatus therefor | |
US6949143B1 (en) | Dual substrate loadlock process equipment | |
KR100614327B1 (ko) | 균일한 기판 가열을 위한 챔버 | |
US5512320A (en) | Vacuum processing apparatus having improved throughput | |
US6460369B2 (en) | Consecutive deposition system | |
US6780251B2 (en) | Substrate processing apparatus and method for fabricating semiconductor device | |
KR101002553B1 (ko) | 기판 처리 장치, 기판 처리 방법 및 기록 매체 | |
JP2010272875A (ja) | 大領域ガラス基板のコーティング及びアニーリング方法 | |
US8469346B2 (en) | Substrate mounting mechanism and substrate processing apparatus using same | |
KR20060126395A (ko) | 적층 어닐링 시스템 | |
US20170352562A1 (en) | Dodecadon transfer chamber and processing system having the same | |
JPH09143691A (ja) | 成膜・熱処理装置 | |
TWI770478B (zh) | 基板處理裝置、半導體裝置之製造方法、記錄媒體及基板處理程式 | |
JP4115331B2 (ja) | 基板処理装置 | |
JP3580916B2 (ja) | 基板加熱装置 | |
JP4167523B2 (ja) | 基板処理装置 | |
JP2001102374A (ja) | 膜形成システム | |
JP2006093585A (ja) | 基板処理装置 | |
WO2005069359A1 (ja) | 基板処理装置および半導体装置の製造方法 | |
JP2575285C (ja) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20050928 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20050928 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080924 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20081106 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20081202 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20081219 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111226 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111226 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20111226 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121226 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121226 Year of fee payment: 4 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131226 Year of fee payment: 5 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |