KR20060126395A - 적층 어닐링 시스템 - Google Patents

적층 어닐링 시스템 Download PDF

Info

Publication number
KR20060126395A
KR20060126395A KR1020060049807A KR20060049807A KR20060126395A KR 20060126395 A KR20060126395 A KR 20060126395A KR 1020060049807 A KR1020060049807 A KR 1020060049807A KR 20060049807 A KR20060049807 A KR 20060049807A KR 20060126395 A KR20060126395 A KR 20060126395A
Authority
KR
South Korea
Prior art keywords
wafer
wall
pivot
processing chamber
adjacent
Prior art date
Application number
KR1020060049807A
Other languages
English (en)
Other versions
KR100778958B1 (ko
Inventor
유우식
Original Assignee
웨이퍼마스터스, 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 웨이퍼마스터스, 인코퍼레이티드 filed Critical 웨이퍼마스터스, 인코퍼레이티드
Publication of KR20060126395A publication Critical patent/KR20060126395A/ko
Application granted granted Critical
Publication of KR100778958B1 publication Critical patent/KR100778958B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 반도체 웨이퍼 처리챔버에 관한 것으로서, 개구부, 상기 개구부에 인접한 2개 이상의 적층 콜드플레이드, 상기 콜드플레이트에 인접한 2개 이상의 핫플레이트 및 상기 웨이퍼 처리를 위해 콜드플레이트 사이 및 핫플레이트 사이로 상기 웨이퍼를 이동시킬 수 있는 회전가능한 웨이퍼 이송부를 포함하며, 웨이퍼는 핫플레이트 사이에서 빠르게 가열될 수 있으며, 웨이퍼 이송부는 피봇에 대한 수직 벽을 가지며, 웨이퍼가 콜드플레이트 사이 또는 핫플레이트 사이에 있을 때, 상기 벽 중의 하나는 콜드 및 핫 부분을 분리시키며 이에 의해 냉각 및 가열의 효율이 증가되는 것을 특징으로 한다.

Description

적층 어닐링 시스템{STACKED ANNEALING SYSTEM}
도 1a와 도 1b는 본 발명의 일실시예에 따른 처리챔버의 측면도 및 평면도,
도 2는 일실시예에 따른 도 1a 및 도 1b의 처리챔버내에서 사용된 웨이퍼 이송부의 벽 중의 하나를 도시하는 측면도,
도 3a 내지 도 3d는 일실시예에 따른 도 1a 및 도 1b의 처리챔버의 동작을 도시하는 평면도,
도 4는 일실시예에 다른 처리시스템의 반도체 웨이퍼의 일부를 도시하는 평면도,
도 5는 본 발명의 일실시예를 도 1a 내지 도 4의 처리챔버로 사용하기 위한 플로우차트,
도 6은 일실시예에 따른 적층 챔버를 구비한 다수의 웨이퍼 처리시스템을 도시하는 측면도 및
도 7은 일실시예에 따른 적층 플레이트를 구비한 다수의 웨이퍼 처리시스템을 도시하는 측면도이다.
*도면의 주요 부분에 대한 부호의 설명
100 : 처리챔버 102 : 콜드플레이트
104 : 핫플레이트 106 : 웨이퍼 이송부
110 : 웨이퍼 지지체 112 : 웨이퍼
114 : 개구부 116 : 벽
본 발명은 반도체 웨이퍼 처리시스템, 특히 반도체 웨이퍼를 처리챔버로 이송하기 위한 시스템에 관한 것이다.
실리콘 웨이퍼의 고온처리는 현대의 마이크로 전자장치를 제조하는데 있어 중요하다. 규화물 형성, 어닐링 임플란트, 산화, 확산 및 화학기상증착(CVD)을 포함하는 이러한 처리는 종래의 열처리 기술을 이용하여 고온에서 실행될 수 있다. 더욱이, 많은 마이크로 전자회로는 1 미크론 보다 작은 최소 배선폭과 수백 옹스트롬 미만의 접합 깊이를 요구한다. 도펀트의 측방 및 하향 확산 양쪽을 제한하고 또한 처리동안의 높은 정도의 제어를 제공하기 위해, 고온처리의 기간을 최소로 하는 것이 바람직하다.
반도체 웨이퍼, 평판패널 디스플레이 및 다른 유사한 기판은 전형적으로 장치 제조동안 기판상에 피착된 다수의 재료층을 가진다. 다소의 피착층(예컨대, 스핀-온 글래스(SOG)막)은 적절한 시간동안 적절한 온도에서 기판을 가열 또는 "어닐링" 하는 것에 의해 감소되거나 제거될 수 있는 오염물질, 결함 또는 바람직하지 않은 마이크로 구조체를 포함할 수 있다. 다른 피착층(예컨대, 구리막)은 시간에 따라 바람직하지 않게 변화하는 특성 또는 예측불가능한 피착층 특성(예컨대, 예측 불가능한 저항률, 응력, 결정 입도 및 경도)이 얻어지는 "셀프-어닐링"을 가질 수 있다. 오염물질, 결함 및 바람직하지 못한 마이크로 구조체와 같이, 피착층 특성은 제어된 어닐링 단계에 의해 종종 안정화될 수 있다. 어닐링 단계에 이어, 바람직하게는 기판은 어닐링처리를 중단시키기 위해 급랭되며, 처리량을 증가시키기 위해 기판성에 다른 처리가 실행될 수 있다.
종래에는, 어닐링은 소망 어닐링 온도로 램프에 의해 천천히 예비가열되어야 하는 석영 로(quartz furnace)내에서 실행되거나 또는 소망 어닐링 온도로 빠르게 가열될 수 있는 고속 열처리(RTP) 시스템내에서 실행되고 있다. 불행하게도, 종래의 램프-기반 RTP 시스템은 균일한 온도분포에 대해 상당한 결점을 가진다. 램프-기반 RTP 시스템에 대한 한가지 대안은 웨이퍼를 가열하기 위해 핫플레이트 어닐링을 사용하는 것이다. 이러한 시스템은 미국특허 제6,809,035호 및 제6,345,150호에 공통으로 개시되어 있으며, 이들 특허는 참조로 본 명세서에 편입한다. 핫플레이트를 사용하는 이들 시스템은 웨이퍼 아래 및/또는 위에 위치된 플레이트상에 또는 플레이트에 인접한 가열소자에 의해 가열될 수 있다. 핫플레이트는 웨이퍼가 어닐링을 위한 소망 온도로 빠르게 가열될 수 있도록 한다.
그 후, 어닐링된 기판은 냉각된 기판 지지체를 채용하는 개별 냉각 모듈로 이송되며, 열전도를 향상시키기 위해 헬륨과 같은 가스로 약하게 메워진다. 개별 냉각 모듈은 설비가격 및 복잡성 뿐만 아니라 설비공간을 증가시키며, 가열과 냉각 시스템 사이의 바람직하지 못한 기판 이송시간을 요구하는 것에 의해 기판 처리량을 감소시킨다. 다른 종래의 처리시스템은 핫플레이트가 개별 모듈에서 대향 상태 인 동일 챔버내에 냉각 메카니즘을 갖는다. 가열된 챔버를 냉각시키거나 또는 냉각된 챔버를 가열하는 것은 추가의 에너지와 시간을 요구한다.
따라서, RTP 시스템 또는 다른 처리에 대해 전술한 종래의 시스템의 결점없이 웨이퍼 가열 또는 냉각시킬 수 있는 시스템이 요구되고 있다.
본 발명의 한 관점에 따르면, 처리 챔버는 2개 이상의 적층 콜드플레이트와 2개 이상의 인접한 적층 핫플레이트를 포함한다. 회전가능한 웨이퍼 이송부는 처리 챔버의 측면 가까이에 위치된 핫플레이트와 콜드플레이트 사이의 지점을 중심으로 피봇된다. 웨이퍼 이송부는 피봇지점에 연결되며 서로에 대해 수직인 2개의 벽과 웨이퍼를 지지하게 위해 상기 벽의 적어도 하나로부터 연장하는 웨이퍼 지지체를 포함한다. 웨이퍼 지지체는 웨이퍼가 냉각 또는 가열되기 위해 2개의 콜드플레이드 또는 2개의 핫플레이트 사이에 각각 위치될 수 있도록 위치된다.
본 발명의 한 관점에 있어서, 웨이퍼는 로봇에 의해 처리 챔버내로 및 웨이퍼 이송부상에 반입된다. 웨이퍼 지지체는 웨이퍼가 2개의 콜드플레이트 사이에 있도록 위치되며, 이송 벽중의 하나는 콜드플레이트를 핫플레이트로부터 분리한다. 웨이퍼 이송부에 부착된 열전대에 의해 측정된 온도가 소망 온도에 달성되면, 웨이퍼 이송부는 90°회전된다. 그 결과, 웨이퍼는 콜드플레이트 사이에서 핫플레이트와 이송부의 벽 중의 하나 사이로 이동되며 콜드플레이트로부터 핫플레이트를 다시 분리시킨다. 그 후, 웨이퍼는 핫플레이트에 의해 소망 처리온도로 빠르게 가열될 수 있다. 처리가 완료되면, 웨이퍼 이송부는 냉각을 위해 2개의 콜드플레이트 사이로 웨이퍼를 이동시키기 위해 다시 90°회전된다. 그 후, 웨이퍼는 챔버로부터 반출되거나 또는 추가 처리를 위해 핫플레이트로 다시 회전될 수 있다.
2개의 인접하게 적층된 콜드 및 핫플레이트를 갖는 처리 챔버는 작은 공간을 갖는 저가격의 간단한 다수의 수직 웨이퍼 처리시스템을 창조하도록 적층될 수 있다. 다른 실시예에 있어서, N 적층 콜드플레이트와 N 적층 핫플레이트는 N-1 웨이퍼(여기에서, N은 2보다 큼)가 동시에 가열되고 냉각될 수 있도록 단일 처리챔버내로 인접하게 위치될 수 있다.
본 발명의 이점은 처리챔버내의 가열 영역과 냉각 영역이 웨이퍼 이송부상의 벽에 의해 분리되기 때문에 웨이퍼의 더욱 효율적인 냉각 및 가열을 포함한다. 콜드플레이트로부터의 냉각 환경이 핫플레이트로부터의 가열 환경과 완전하게 개방되어 있지 않기 때문에, 웨이퍼를 가열하고 냉각하는데 적은 시간과 에너지를 취할 수 있다. 또한, 냉각 메카니즘과 가열 메카니즘이 동일 챔버내에 있기 때문에, 단일 처리챔버가 가능하다.
본 발명의 이들 및 다른 특징과 이점은 첨부한 도면을 참조하여 이하에서 상세히 기술하는 바람직한 실시예에 의해 더욱 명백해질 것이다.
각 도면에 있어서 동일 참조부호는 동일 또는 유사한 구성요소를 나타낸다.
도 1a 및 도 1b는 본 발명의 일실시예에 따른 처리챔버(100)의 측면도 및 평면도를 도시한다. 도 1a를 참조하면, 챔버(100)는 일측에 2개의 적층 콜드플레이트(102)와 타측에 2개의 적층 핫플레이트(104)를 포함한다. 회전가능한 웨이퍼 이 송부(106)는 콜드플레이트(102)와 핫플레이트(104) 사이의 피봇 또는 축(108)을 중심으로 회전가능하다. 웨이퍼 이송부(106)는 웨이퍼(112)를 유지하기 위한 웨이퍼 지지체(110)를 포함한다. 웨이퍼(112)가 콜드플레이트(102) 사이에 위치되면, 웨이퍼는 냉각된다. 웨이퍼(112)가 핫플레이트(104) 사이로 회전되면, 웨이퍼는 RTP 또는 어닐링을 위한 소망 온도로 가열된다. 챔버(100)는 웨이퍼가 챔버(100)내로 위치되고 반출되는 것이 가능한 개구부(114)를 포함한다. 웨이퍼가 챔버로 반입 또는 반출되면, 본 발명의 속하는 기술분야에 알려진 종래의 메카니즘으로 개구부는 밀봉될 수 있다.
챔버(100)의 개구부(114)는 비교적 작은 개구부일 수 있지만, 약 0.5 내지 2 mm 사이의 두께와 최대 300 mm의 직경을 갖는 웨이퍼를 수용하고 로봇 아암 또는 다른 이송 메카니즘을 도입 및 조종하기에 충분한 큰 폭을 가진다. 일실시예에 있어서, 개구부(114)의 높이는 약 15 mm 내지 40 mm, 바람직하게는 20 mm 이하이다. 비교적 작은 개구부 크기는 처리챔버(100)로부터의 냉각 및/또는 가열 손실을 줄이는데 도움이 된다. 또한, 작은 개구부 크기는 처리챔버(100)로 도입되는 입자 수를 낮게 유지하며, 등온 환경의 유지를 쉽게 한다.
도 1b를 참조하면, 웨이퍼 지지체(106)는 축(108)으로부터 연장하는 수직 벽(116)을 포함한다. 개구부(114)는 웨이퍼가 웨이퍼 지지체(110)상에 위치되도록 하며, 이 실시예에 있어서 웨이퍼 지지체는 벽(116) 중의 하나로부터 연장하는 아암(120)에 연결된 2개의 평행 로드 또는 빔(beam)(118)이다. 또한, 웨이퍼 표면상에 접촉하는 양을 최소화하기 위해 돌출 핀 지지체를 구비한 얇은 교차 빔의 그리 드와 같은 다른 적절한 웨이퍼 지지체가 사용될 수 있다. 하나 또는 2이상의 지지체는 웨이퍼의 온도를 측정하기 위해 매설된 서모커플 또는 다른 온도감지장치를 포함할 수 있다. 일실시예에 있어서, 로드 또는 빔은 웨이퍼의 더욱 빠른 가열 및 냉각이 얻어지는 전도성 또는 비절연 재료로 제조된다. 벽(116)은 챔버의 가장자리로 연장하며, 일실시예에 있어서 절연재료로 제조된다. 또한, 벽(116)은 2개의 핫플레이트 또는 콜드플레이트 사이에 끼워지기에 충분하게 짧으며, 플레이트 사이, 플레이트 위 및 플레이트 아래의 부분(segment)과 같은 다수의 부분을 포함할 수 있다.
도 2는 축(108)으로부터 연장하는 3개 부분(200, 202, 204)을 갖는 일실시예에 따른 벽(116) 중의 하나를 도시하는 측면도이다. 웨이퍼는 중간 부분(202)에 부착된 웨이퍼 지지체상에 위치되어 있다. 하부 부분(200)은 하부 콜드플레이트 및 핫플레이트 아래에 위치되며, 상부 부분(204)은 상부 콜드플레이트 및 핫플레이트 위에 위치된다. 따라서, 부분 "200"과 "204" 및 부분 "202"와 "204" 사이의 갭은 콜드플레이트 및 핫플레이트가 그들 사이에서 안밖으로 회전가능하도록 한다.
도 3a 내지 도 3d는 일실시예에 따른 처리챔버(100)의 동작을 도시하는 평면도이다. 도 3a 내지 도 3d에는 간략화를 위해 핫플레이트 및 콜드플레이트는 도시되어 있지 않다. 도 3a에 있어서, 웨이퍼(112)는 개구부(114)를 통해 챔버(100)안으로 및 웨이퍼 지지체(110)상에 반입된다. 웨이퍼(112)는 프론트 오프닝 유니파이드 포드(Front Opening Unified Pod(FOUP)), 적재 스테이션 또는 다른 적절한 위치 또는 구성요소와 같은 웨이퍼 용기로부터 적재될 수 있다. 로봇 또는 다른 이 송 메카니즘이 웨이퍼를 회수하고 웨이퍼 지지체(110)상으로 웨이퍼를 반입하는데 사용될 수 있다. 이 위치에 있어서, 웨이퍼(112)는 2개의 콜드플레이드 사이에 직접 위치된다. 콜드플레이트의 온도 및 콜드플레이트 사이의 웨이퍼의 시간에 따라, 웨이퍼(112)는 소망 온도로 냉각될 수 있다. 다음에, 도 3b에 있어서, 웨이퍼 지지체(106)는 외부 모터로 축(108)을 중심으로 회전되어, 웨이퍼(112)를 콜드플레이트로부터 핫플레이트 쪽으로 이동시킨다. 도 3c에 있어서, 웨이퍼 이송부(106)는 웨이퍼(112)가 2개의 핫플레이트 사이에 위치되도록 90°회전된다. 그 후, 핫플레이트는 처리를 위한 소망 온도로 웨이퍼를 가열한다. 처리 후, 웨이퍼 이송부(106)는 콜드플레이트 쪽으로 다시 90°회전된다. 웨이퍼가 소망 온도로 냉각되면, 웨이퍼는 도 3d에 도시된 바와 같이 처리챔버(100)로부터 반출되거나 또는 추가 처리를 위해 핫플레이트로 다시 이송된다.
핫플레이트(104)는 웨이퍼(112)에 비해 큰 질량을 가지며, 탄화규소, 석영, 인코넬(inconel), 알루미늄, 강 또는 고온 처리온도에서 어떤 주위 가스 또는 웨이퍼(112)와 다른 반응하지 않는 임의의 다른 재료 등의 재료로 제조될 수 있다. 핫플레이트(104)는 임의의 기하학 형상, 바람직하게는 웨이퍼와 닮은 형상, 즉 원형 플레이트로 형성될 수 있다. 일실시예에 있어서, 핫플레이트는 처리되는 큰 웨이퍼, 즉 약 300 mm 웨이퍼 약간 보다 큰 반경을 갖는 원형이다.
핫플레이트(104)는 핫플레이트의 온도를 제어하기 위한 가열소자를 포함할 수 있다. 일실시예에 있어서, 하나 이상의 가열원(heat source)은 핫플레이트(104)의 주변부상에 위치된다. 가열원은 핫플레이트(104)의 주변부를 접촉하거나 또는 핫플레이트(104)내에 매설된 저항 가열소자 또는 다른 전도성/복사 열원일 수 있다. 저항 가열소자는 SiC, SiC코팅 흑연, 흑연, AlCr, AlNi 및 다른 합금과 같은 증강된 열반응성과 고온 안정성을 위한 고 질량재료로 제조되는 안정한 저항가열 와이어와 같은 임의의 고온 등급 재료로 제조될 수 있다. 핫플레이트(104)의 온도는 용도에 따라 약 50℃ 내지 약 1500℃, 바람직하게는 약 100℃ 내지 약 1200℃ 사이의 가변 온도를 제공하도록 제어될 수 있다.
콜드플레이트(102)는 핫플레이트(104)와 유사한 형상, 즉 가장 큰 웨이퍼 보다 약간 큰 직경을 갖는 원형일 수 있다. 콜드플레이트(102)는 전기, 액체 또는 가스 냉각부재와 같은 개별 냉각소자를 포함할 수 있다. 예를 들면, 콜드플레이트(102)는 웨이퍼에 냉각가스를 제공하기 위해 콜드플레이트내에 다수의 가스 포트를 포함할 수 있으며, 냉각가스는 외부 가스공급원으로부터 공급된다. 가스공급원은 콜드플레이트내의 다수의 구멍으로 하나 이상의 가스를 선택적으로 공급하기 위해 조정가능하며, 콜드플레이트에 공급된 가스의 양은 웨이퍼 또는 콜드플레이트의 소망 온도에 기초한 제어기에 의해 제어된다.
도 3a, 도 3c 및 도 3d에 도시된 바와 같이, 웨이퍼(112)가 콜드플레이트 사이에 있을 때(도 3a 및 도 3d), 벽(116)은 콜드플레이트와 핫플레이트를 분리하며, 핫플레이트로부터의 열량이 콜드플레이트로부터 차단되기 때문에 웨이퍼의 더욱 효율적인 냉각이 얻어진다. 유사하게, 가열동안(도 3c) 벽(116)은 핫플레이트를 콜드플레이트로부터 다시 분리시켜 가열 영역에 도입되는 냉기를 감소시키기 때문에 가열은 또한 더욱 효율적으로 된다. 비전도성 재료 또는 절연재료로 제조된 벽은 핫플레이트 영역으로부터의 냉기 및 콜드플레이트 영역으로부터의 열기를 방지한다.
본 발명의 일실시예에 따르면, 처리챔버(100)는 열 어닐링, 도펀트 확산, 열 산화, 질화, 화학기상증착 및 유사한 처리에 사용되는 RTP 챔버이다. 처리챔버(100)는 작은 내부 캐비티를 가진다. 작은 처리챔버 공간은 챔버(100)를 더 작게할 수 있으며, 그 결과 전체 시스템은 더 작은 클린룸 플로어 공간을 요구하는 더욱 콤팩트하게 제조될 수 있다. 미국특허 제6,345,150호에 개시된 바와 같은 로봇 웨이퍼 적재기가 처리챔버 내외로 웨이퍼를 이송하는데 사용된다면, 다수의 챔버는 수직으로 적층, 즉 바로 위에 적층될 수 있어 시스템에 의해 점유된 플로어 공간을 최소화할 수 있다. 로봇 웨이퍼 적재기는 상하로 이동, 회전 및 FOUP와 같은 저장 용기로부터 웨이퍼를 회수하며, 웨이퍼를 처리챔버내로 이송할 수 있다.
도 4는 처리챔버(100), FOUP(402, 404) 및 이송 스테이션(406)을 포함하는 반도체 웨이퍼 처리시스템(400)의 일부를 도시하는 평면도이다. FOUP(402, 404)는 웨이퍼를 FOUP로부터 이송 스테이션(406) 또는 처리챔버(100)로 이동시키는 이송 메카니즘(도시되지 않음)에 의해 접근될 수 있다. 이송 메카니즘은 웨이퍼를 소망 목적지로 이동시키기 위해 연장가능한 아암을 구비한 회전 및 승강가능한 로봇일 수 있다. 이송 메카니즘과 FOUP에 의해 실행된 동작 및 기능은 잘 얄려져 있으며, 본 발명이 속하는 기술분야의 당업자는 충분히 이해할 수 있다. 예를 들면, 이송 메카니즘은 로봇 아암과 말단 작동체(end-effector)를 포함할 수 있으며, 각각은 웨이퍼를 픽업하고 위치시키기 위해 석영과 같은 내열 재료로 제조될 수 있다. 말 단 작동체는 복수의 말단 작동체를 수용하는 로봇 아암의 단부상의 부착블록에 고정 부착될 수 있다. 예를 들면, 3-축 로봇에 대해, 로봇 아암은 S-모션(S-motion) 또는 스네이크 모션(snake motion)을 실행할 수 있는 멀티-링크로 제조될 수 있다. S-모션은 로봇이 처리시스템(400)의 고정 위치에 위치되도록 하며, 로봇 아암이 처리시스템의 각 모듈을 접근가능하게 한다. 4-축 로봇과 같은 다른 형태의 로봇에 대해, S-모션은 필요하지 않다. 본 발명이 속하는 기술분야의 당업자는 로봇의 적절한 구성은 로봇의 형태에 따른다는 것을 인식할 것이다. 또한, 이송 메카니즘은 웨이퍼를 처리챔버(100)내로 반입하기 전에 웨이퍼를 플립(flip)시키는 기능을 가질 수 있다. 이는 웨이퍼의 양 면이 처리챔버내에서 처리되는 것을 가능하게 한다.
도 5는 도 1a - 도 4의 처리챔버에서 사용하기 위한 본 발명의 일실시예를 도시하는 플로우차트이다. 동작(500)에서, 웨이퍼 이송부는 처리챔버내에서 회전되며, 웨이퍼 이송부의 2개의 아암 중 제 1 아암은 측벽에 평행(또는 개구부를 갖는 벽에 수직)하며, 제 2 아암은 핫플레이트와 콜드플레이트 사이에 있다. 이 위치에서, 웨이퍼는 웨이퍼 이송부상에 반입될 수 있으며, 콜드 영역 및 핫 영역은 서로 분리되어 있다. 다음에, 동작(502)에서, 웨이퍼가 FOUP로부터 처리챔버안으로 및 웨이퍼 이송부에 부착된 웨이퍼 지지체상에 반입된다. 동작(504)에서, 이 위치에서, 웨이퍼는 2개의 콜드플레이트 사이에 있으며, 필요에 따라 냉각된다. 동작(506)에서, 그 후, 웨이퍼 지지체는 90°회전되며, 웨이퍼는 콜드플레이트로부터 반출되어 2개의 핫플레이트 사이로 이동된다. 90°회전된 후, 제 1 아암은 콜 드플레이트와 핫플레이트를 분리시키며, 제 2 아암은 측벽에 평행하게 가까이 위치한다. 동작(508)에서, 그 후 웨이퍼는 2개의 핫플레이트 사이에서 빠르게 가열된다.
웨이퍼가 소망 온도에 도달된 후, 웨이퍼가 동작(510)에서 처리된다. 다음에, 동작(512)에서, 웨이퍼 이송부는 다시 90°회전되며, 웨이퍼는 콜드플레이트 사이로 이동된다. 동작(514)에서 추가 처리가 요구되면, 동작(506)에서 웨이퍼 이송부는 90°회전되어 동작(508)에서 웨이퍼를 가열하기 위해 핫플레이트 사이에 웨이퍼를 위치시킨다. 그러나, 처리가 완료되면, 동작(516)에서 웨이퍼는 처리챔버로부터 반출된다. 따라서, 본 발명은 간단하고 작은 시스템을 사용하여 웨이퍼의 고속 열처리가 가능하다.
다른 실시예에 있어서, 처리챔버(100)는 도 6에 도시된 바와 같이 적층될 수 있으며, 다수의 웨이퍼 처리시스템(600)을 형성한다. 도 6은 2개의 적층 챔버를 도시하지만, 소망에 따라 다른 갯수의 챔버가 시스템내에 적층될 수 있다. 시스템(100)은 4개의 콜드플레이트(602)와 4개의 핫플레이트(604)를 포함하며, 동시에 2개의 웨이퍼를 처리할 수 있다. 각 처리챔버(100)는 개개의 챔버로 웨이퍼를 반입 및 반출하기 위핸 개구부를 각각 갖는다.
도 7은 2개 이상의 콜드플레이트와 핫플레이트가 단일 처리챔버(700)내에 적층된 또 다른 실시예를 도시한다. 처리챔버(700)가 6개의 적층 콜드플레이트(702)와 6개의 적층 핫플레이트(704)를 갖는 것을 도시하며, 동시에 5개의 웨이퍼를 처리할 수 있다. 이 실시예는 도 6의 시스템보다 적은 콜드플레이트와 핫플레이트로 웨이퍼를 가열 및 냉각시키는 것이 가능하다. 단일 개구부(706)는 웨이퍼가 챔버(700)로 반입 및 이동되는 것을 허용한다. 챔버의 증가된 높이로 인해, 이송 메카니즘은 인접한 2개의 콜드플레이트(702) 사이에 웨이퍼를 적재하기 위한 큰 범위의 수직 모션을 가져야 한다.
전술한 본 발명의 실시예는 본 발명이 속하는 기술분야의 당업자에 의해 본 발명의 기술사상을 일탈하지 않는 범위내에서 다양한 변경이 가능하다. 따라서, 본 발명은 첨부한 청구범위에 의해서만 제한된다.
전술한 바와 같이, 본 발명에 따르면, RTP 시스템 또는 다른 처리에 대해 전술한 종래의 시스템의 결점없이 웨이퍼 가열 또는 냉각시킬 수 있는 시스템을 제공할 수 있다.

Claims (19)

  1. 반도체 웨이퍼 처리챔버에 있어서,
    상기 웨이퍼를 상기 처리챔버내로 반입 및 처리챔버로부터 반출하기 위해 처리챔버의 일측에 형성된 개구부;
    상기 개구부에 인접하며, 처리를 위해 상기 웨이퍼가 2개의 인접한 콜드플레이트 사이에 위치되는 다수의 적층 콜드플레이드;
    상기 콜드플레이트에 인접하며, 가열을 위해 상기 웨이퍼가 2개의 인접한 핫플레이트 사이에 위치되는 다수의 적층 핫플레이트; 및
    웨이퍼 이송부를 포함하며,
    상기 웨이퍼 이송부는
    상기 적층 콜드플레이트와 적층 핫플레이트 사이의 피봇;
    상기 피봇에 부착된 제 1 벽;
    상기 피봇에 부착되며, 상기 제 1 벽에 수직인 제 2 벽; 및
    상기 웨이퍼를 지지하기 위해 상기 제 1 벽으로부터 연장하는 웨이퍼 지지체를 포함하며,
    상기 웨이퍼 이송부는 상기 웨이퍼가 2개의 인접한 핫플레이트 사이 및 2개의 인접한 콜드플레이트 사이를 이동하도록 회전가능한 것을 특징으로 하는 웨이퍼 처리챔버.
  2. 제 1 항에 있어서,
    상기 다수의 플레이트는 2개인 것을 특징으로 하는 웨이퍼 처리챔버.
  3. 제 1 항에 있어서,
    상기 다수의 플레이트는 2개 보다 큰 것을 특징으로 하는 웨이퍼 처리챔버.
  4. 제 1 항에 있어서,
    상기 제 1 및 제 2 벽 각각은 상기 처리챔버의 측벽으로 연장하는 제 1 벽부를 포함하는 것을 특징으로 하는 웨이퍼 처리챔버.
  5. 제 4 항에 있어서,
    상기 제 1 및 제 2 벽 각각은 상기 처리챔버의 측벽으로 연장하는 제 2 벽부를 추가로 포함하는 것을 특징으로 하는 웨이퍼 처리챔버.
  6. 제 4 항에 있어서,
    상기 제 1 벽부는 인접한 콜드플레이트와 인접한 핫플레이트 사이에 위치되는 것을 특징으로 하는 웨이퍼 처리챔버.
  7. 제 5 항에 있어서,
    상기 제 2 벽부는 처리챔버의 측벽과 핫플레이트 또는 콜드플레이트 사이에 위치되는 것을 특징으로 하는 웨이퍼 처리챔버.
  8. 웨이퍼 어닐링 시스템에 있어서,
    웨이퍼를 반입 및 반출하기 위한 개구부를 갖는 처리챔버;
    다수의 적층 핫플레이트;
    상기 개구부와 상기 적층 핫플레이트 사이의 다수의 적층 콜드플레이트; 및
    상기 핫플레이트와 상기 콜드플레이트 사이의 피봇과 웨이퍼를 유지하기 위한 웨이퍼 지지체를 갖는 회전가능한 웨이퍼 이송부를 포함하며,
    상기 웨이퍼 이송부는 냉각을 위해 인접한 콜드플레이트 사이 및 가열을 위해 인접한 핫플레이트 사이로 상기 웨이퍼를 회전시키는 것을 특징으로 하는 웨이퍼 어닐링 시스템.
  9. 제 8 항에 있어서,
    상기 웨이퍼 이송부는 상기 피봇으로부터 연장하는 제 1 벽과 상기 피봇으로부터 연장하는 제 2 벽을 추가로 포함하며,
    상기 제 1 및 제 2 벽은 상기 피봇으로부터 90°로 형성된 것을 특징으로 하는 웨이퍼 어닐링 시스템.
  10. 제 9 항에 있어서,
    상기 웨이퍼 지지체는 상기 제 1 벽에 결합되는 것을 특징으로 하는 웨이퍼 어닐링 시스템.
  11. 반도체 웨이퍼 처리시스템에 사용하기 위한 웨이퍼 이송부에 있어서,
    피봇;
    상기 피봇으로부터 연장하는 제 1 벽;
    상기 피봇으로부터 연장하며, 상기 제 1 벽에 수직인 제 2 벽; 및
    상기 제 1 벽에 적어도 결합되며, 상기 제 2 벽에 평행하게 연장하는 웨이퍼 지지체를 포함하며,
    상기 웨이퍼 지지체는 웨이퍼를 처리챔버내의 제 1 위치로부터 처리챔버내의 제 2 위치로 이동시키도록 90°회전될 수 있으며, 상기 제 2 위치는 상기 제 1 위치로부터 일방향으로만 변위되는 것을 특징으로 하는 웨이퍼 이송부.
  12. 반도체 웨이퍼 처리방법에 있어서,
    처리챔버내의 2개의 콜드플레이트 사이의 웨이퍼 지지체상에 웨이퍼를 반입하는 단계;
    상기 처리챔버의 제 1 공간내에서 웨이퍼를 가열하기 위해 2개의 콜드플레이트 사이로부터 2개의 핫플레이트 사이로 웨이퍼를 이동시키도록 약 90°로 상기 웨이퍼 지지체를 회전시키는 단계;
    상기 웨이퍼를 2개의 콜드플레이트 사이로 다시 이동시키기 위해 약 90°로 상기 웨이퍼 지지체를 회전시키는 단계; 및
    상기 웨이퍼를 상기 웨이퍼 지지체로부터 반출하고 상기 처리챔버 외부로 반출하는 단계를 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  13. 제 12 항에 있어서,
    상기 제 1 공간을 상기 처리챔버의 다른 부분으로부터 적어도 부분적으로 분리시키는 단계를 추가로 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  14. 제 13 항에 있어서,
    상기 분리단계는 상기 콜드플레이트와 상기 핫플레이트 사이로 벽을 이동시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  15. 제 12 항에 있어서,
    다수의 웨이퍼를 처리챔버내에 반입하고, 각 웨이퍼를 2개의 인접한 콜드플레이트 사이에 위치시키는 단계를 추가로 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  16. 제 15 항에 있어서,
    상기 웨이퍼를 가열하기 위해 상기 다수의 웨이퍼의 각각을 2개의 인접한 핫플레이트 사이에 위치시키는 단계를 추가로 포함하는 것을 특징으로 하는 웨이퍼 처리방법.
  17. 반도체 웨이퍼 처리시스템에 있어서,
    적어도 2개의 적층 처리챔버를 포함하며,
    상기 각 챔버는
    다수의 적층 핫플레이트;
    개구부와 상기 적층 핫플레이트 사이의 다수의 적층 콜드플레이트; 및
    상기 핫플레이트와 상기 콜드플레이트 사이의 피봇과 웨이퍼를 유지하기 위한 웨이퍼 지지체를 갖는 회전가능한 웨이퍼 이송부를 포함하며,
    상기 웨이퍼 이송부는 냉각을 위해 인접한 콜드플레이트 사이 및 가열을 위해 인접한 핫플레이트 사이로 상기 웨이퍼를 회전시키는 것을 특징으로 하는 웨이퍼 처리시스템.
  18. 제 17 항에 있어서,
    상기 각 처리챔버는 상기 피봇으로부터 연장하는 제 1 벽과 상기 피봇으로부터 연장하는 제 2 벽을 추가로 포함하며,
    상기 제 1 및 제 2 벽은 상기 피봇으로부터 90°로 형성된 것을 특징으로 하는 웨이퍼 처리시스템.
  19. 제 17 항에 있어서,
    상기 다수의 플레이트는 2개인 것을 특징으로 하는 웨이퍼 처리시스템.
KR1020060049807A 2005-06-03 2006-06-02 적층 어닐링 시스템 KR100778958B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/144,359 US7194199B2 (en) 2005-06-03 2005-06-03 Stacked annealing system
US11/144,359 2005-06-03

Publications (2)

Publication Number Publication Date
KR20060126395A true KR20060126395A (ko) 2006-12-07
KR100778958B1 KR100778958B1 (ko) 2007-11-22

Family

ID=37563629

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060049807A KR100778958B1 (ko) 2005-06-03 2006-06-02 적층 어닐링 시스템

Country Status (5)

Country Link
US (3) US7194199B2 (ko)
JP (1) JP2006344949A (ko)
KR (1) KR100778958B1 (ko)
DE (1) DE102006025843B4 (ko)
NL (1) NL1031912C2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140018800A (ko) * 2012-08-02 2014-02-13 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 컴퓨터 기억 매체

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7194199B2 (en) * 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
TWI472882B (zh) * 2008-05-06 2015-02-11 Novellus Systems Inc 光阻剝離方法及設備
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9835388B2 (en) 2012-01-06 2017-12-05 Novellus Systems, Inc. Systems for uniform heat transfer including adaptive portions
DE102012202099A1 (de) * 2012-02-13 2013-08-14 Siltronic Ag Verfahren zum Abkühlen von Scheiben aus Halbleitermaterial
JP2014033042A (ja) * 2012-08-02 2014-02-20 Tokyo Electron Ltd 熱処理装置、熱処理方法、プログラム及びコンピュータ記憶媒体
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
WO2015112358A1 (en) * 2014-01-24 2015-07-30 Tokyo Electron Limited Method and system for performing post-etch annealing of a workpiece
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
CN109860071B (zh) * 2017-11-30 2021-03-26 上海新昇半导体科技有限公司 快速热处理装置及方法
CN108346598A (zh) * 2018-01-03 2018-07-31 佛山杰致信息科技有限公司 一种用于电子封装固化的加热装置
US10787739B2 (en) * 2018-10-29 2020-09-29 Applied Materials, Inc. Spatial wafer processing with improved temperature uniformity
CN114783908B (zh) * 2022-03-30 2022-10-04 深圳市冠禹半导体有限公司 一种用于半导体器件的热处理装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4169007A (en) * 1977-10-26 1979-09-25 Flynn Drying System, Inc. Dryer-cooling machine for producing corrugated doubleface corrugated board
JPH01211939A (ja) * 1988-02-18 1989-08-25 Nec Kyushu Ltd イオン注入装置
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
JP3380988B2 (ja) * 1993-04-21 2003-02-24 東京エレクトロン株式会社 熱処理装置
US5802856A (en) * 1996-07-31 1998-09-08 Stanford University Multizone bake/chill thermal cycling module
US6198074B1 (en) * 1996-09-06 2001-03-06 Mattson Technology, Inc. System and method for rapid thermal processing with transitional heater
JPH10139159A (ja) * 1996-11-13 1998-05-26 Tokyo Electron Ltd カセットチャンバ及びカセット搬入搬出機構
TW383414B (en) * 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
JPH1131642A (ja) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理装置の固定方法
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6359264B1 (en) * 1998-03-11 2002-03-19 Applied Materials, Inc. Thermal cycling module
US6228171B1 (en) 1999-01-29 2001-05-08 Tokyo Electron Ltd. Heat processing apparatus
US6353209B1 (en) * 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6500737B1 (en) * 2000-06-08 2002-12-31 Wafermasters, Inc. System and method for providing defect free rapid thermal processing
JP3500359B2 (ja) * 2001-01-30 2004-02-23 東京エレクトロン株式会社 熱処理装置および熱処理方法ならびに基板処理装置および基板処理方法
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
JP3916040B2 (ja) * 2001-07-25 2007-05-16 東京エレクトロン株式会社 反応管及び熱処理装置
US6575739B1 (en) * 2002-04-15 2003-06-10 Wafermasters, Inc. Configurable wafer furnace
US6809035B2 (en) * 2002-08-02 2004-10-26 Wafermasters, Inc. Hot plate annealing
KR100481548B1 (ko) * 2003-02-04 2005-04-08 동부아남반도체 주식회사 급속 열처리장치의 쿨다운 챔버
JP2005019725A (ja) * 2003-06-26 2005-01-20 Shinku Jikkenshitsu:Kk アニール装置及びアニール方法
US7194199B2 (en) * 2005-06-03 2007-03-20 Wafermasters, Inc. Stacked annealing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140018800A (ko) * 2012-08-02 2014-02-13 도쿄엘렉트론가부시키가이샤 열처리 장치, 열처리 방법 및 컴퓨터 기억 매체

Also Published As

Publication number Publication date
DE102006025843B4 (de) 2008-09-04
US20060291830A1 (en) 2006-12-28
DE102006025843A1 (de) 2007-01-18
US20070128890A1 (en) 2007-06-07
NL1031912A1 (nl) 2006-12-05
JP2006344949A (ja) 2006-12-21
NL1031912C2 (nl) 2008-11-11
US20070127898A1 (en) 2007-06-07
KR100778958B1 (ko) 2007-11-22
US7194199B2 (en) 2007-03-20

Similar Documents

Publication Publication Date Title
KR100778958B1 (ko) 적층 어닐링 시스템
US10586720B2 (en) Wafer processing systems including multi-position batch load lock apparatus with temperature control capability
JP4237939B2 (ja) 基板加熱冷却を改良した真空処理装置
US5674786A (en) Method of heating and cooling large area glass substrates
US6108937A (en) Method of cooling wafers
US6780251B2 (en) Substrate processing apparatus and method for fabricating semiconductor device
US6499777B1 (en) End-effector with integrated cooling mechanism
US7371998B2 (en) Thermal wafer processor
KR100658235B1 (ko) 대형 유리판의 코팅 및 어닐링 방법
JP7106681B2 (ja) デュアルロードロックチャンバ
US11437257B2 (en) Robot hand, wafer transfer robot, and wafer transfer apparatus
KR20230010799A (ko) 시스템 생산성을 개선하기 위한 플랫폼 아키텍처
US20020102859A1 (en) Method for ultra thin film formation
JPH10107124A (ja) 基板処理装置
KR100803562B1 (ko) 기판 처리 장치
US11127616B2 (en) Substrate accommodation device
JPH1050802A (ja) 基板処理装置
WO2020241599A1 (ja) 基板処理システム及び基板処理システムの制御方法
JP2004335684A (ja) 熱処理装置
JP2004356355A (ja) 熱処理方法、基板の製造方法、半導体装置の製造方法及び熱処理装置
JP2023001069A (ja) サセプタクリーニング
CN114586136A (zh) 用于cvd反应器的装载模块
JP2012069628A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee