TW202020590A - 側儲存倉、電子裝置處理系統、和處理基板的方法 - Google Patents

側儲存倉、電子裝置處理系統、和處理基板的方法 Download PDF

Info

Publication number
TW202020590A
TW202020590A TW108135021A TW108135021A TW202020590A TW 202020590 A TW202020590 A TW 202020590A TW 108135021 A TW108135021 A TW 108135021A TW 108135021 A TW108135021 A TW 108135021A TW 202020590 A TW202020590 A TW 202020590A
Authority
TW
Taiwan
Prior art keywords
side storage
chamber
storage bin
efem
removable door
Prior art date
Application number
TW108135021A
Other languages
English (en)
Other versions
TWI717034B (zh
Inventor
派翠克 帕內斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202020590A publication Critical patent/TW202020590A/zh
Application granted granted Critical
Publication of TWI717034B publication Critical patent/TWI717034B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

在一些實施例中,提供了一種設備前端模組的側儲存倉,包括:(1)外殼,其具有密封表面,密封表面經配置以耦接到設備前端模組;(2)側儲存倉腔室,其具有主體,主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板;和(3)索引器,其可操作以垂直地移動側儲存倉腔室,使得儲存構件的不同子群組可由設備前端模組中的裝載-卸載機器人存取。在其他實施例中,提供加熱的側儲存倉,使得能夠對儲存在其中的基板進行脫氣。描述了處理基板的方法,以及許多其他態樣。

Description

側儲存倉、電子裝置處理系統、和處理基板的方法
相關申請案 本申請案主張2017年6月23日提交的名為「INDEXABLE SIDE STORAGE POD APPARATUS, SYSTEMS, AND METHODS」(代理人案號24710/L)的美國臨時申請案第62/524,375號的優先權,其整體對所有目的而在此引入作為參考。
本申請案關於電子裝置製造,並且更具體地關於用於設備前端模組(EFEMs)的可索引的側儲存艙,以及包括其的系統和方法。
半導體元件製造中的基板的處理在多個處理工具中進行,其中基板在基板載體(例如,Front Opening Unified Pods或FOUPs)中的處理工具之間行進。FOUP可以對接至EFEM的前側,該EFEM包括裝載/卸載機器人,該機器人可操作以在各個FOUPs和處理工具的主機的一或多個裝載鎖之間傳送基板。在一些系統中,EFEM包括側儲存器,其用於儲存從處理工具中的處理返回的少量基板。然而,現有的側儲存器受到某些限制。
因此,追求改進的側儲存器、包括側儲存器和方法的系統。
在一些實施例中,提供了一種設備前端模組的側儲存倉,包括:(1)外殼,其具有密封表面,密封表面經配置以耦接到設備前端模組;(2)側儲存倉腔室,其具有主體,主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板;和(3)索引器,其可操作以垂直地移動側儲存倉腔室,使得儲存構件的不同子群組可由設備前端模組中的裝載-卸載機器人存取。
在一些實施例中,提供了一種電子裝置處理系統,包括:(1)設備前端模組,其包括設備前端模組腔室;(2)一或多個裝載埠,其耦接到設備前端模組的前部,每個裝載埠經配置以支撐基板載體;和(3)可索引側儲存倉,其耦接至設備前端模組的一側。可索引側儲存倉包括:(a)外殼,其具有密封表面,密封表面經配置以耦接到設備前端模組;(b)側儲存倉腔室,其具有主體,主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板;和(c)索引器,其可操作以垂直地移動側儲存倉腔室,使得儲存構件的不同子群組可由設備前端模組中的裝載-卸載機器人存取。
在一些實施例中,一種在電子裝置處理系統內處理基板的方法,該方法包括以下步驟:提供電子裝置處理系統,其具有:(1)設備前端模組,其包括設備前端模組腔室;(2)一或多個裝載埠,其耦接到設備前端模組的前部,每個裝載埠經配置以支撐基板載體;和(3)可索引側儲存倉,其耦接至設備前端模組的一側。可索引側儲存倉包括:(a)外殼,其具有密封表面,密封表面經配置以耦接到設備前端模組;(b)側儲存倉腔室,其具有主體,主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板;和(c)索引器,其可操作以垂直地移動側儲存倉腔室,使得儲存構件的不同子群組可由設備前端模組中的機器人存取。方法亦包括使用設備前端模組腔室內的機器人取回位於側儲存倉腔室的儲存構件中的一個儲存構件上的基板,和使用機器人將該基板從側儲存倉腔室轉移到設備前端模組腔室。
在一些實施例中,提供了一種電子裝置處理系統。電子裝置處理系統包括:設備前端模組,其包括設備前端模組腔室;一或多個裝載埠,其耦接到設備前端模組的前部,每個裝載埠經配置以支撐基板載體;和側儲存倉,其耦接至設備前端模組的一側,側儲存倉包括:側儲存倉腔室,其具有一主體,該主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板、和輸入埠;和加熱器,該加熱器耦接至側儲存倉腔室的輸入埠,加熱器經配置以提供加熱的非反應性氣體流通過側儲存倉腔室和流過儲存在其中的任意基板上。
在一些實施例中,提供了一種在電子裝置處理系統內處理基板的方法。方法包括以下步驟:提供電子裝置處理系統,其包括:設備前端模組,其包括設備前端模組腔室;一或多個裝載埠,其耦接到設備前端模組的前部,每個裝載埠經配置以支撐基板載體;側儲存倉,其耦接至設備前端模組的一側,側儲存倉進一步包括:側儲存倉腔室,其具有一主體,該主體具有複數個垂直間隔的儲存構件,每一個儲存構件經配置以支撐基板;和加熱器,其耦接至側儲存倉腔室,且加熱器經配置以向側儲存倉腔室提供加熱的非反應性氣體;和使加熱的非反應性氣體流過該側儲存倉腔室並流過儲存在其中的任意基板上。
根據本文的這些和其他實施例,提供了許多其他態樣。根據以下實施方式、申請專利範圍、和隨附圖式,本文的實施例的其他特徵和態樣將變得更加明顯。
現在將詳細參照在隨附圖式中示出的範例實施例。只要可能,在整個圖式中將使用相同的元件符號來指示相同或相似的部分。除非另外特別說明,否則本文描述的各種實施例的特徵可彼此組合。
在現有的電子裝置製造系統中處理的基板,在暴露於相對較高濕度或其他環境因素(例如,過高的氧氣(O2 )位準)或相對高位準的其他化學污染物時,可能會遇到問題。特別是,在一些實施例中,將基板暴露於相對高的濕度位準、相對高的O2 位準、或其他污染物,可能會不利地影響基板性質。
根據本揭示的一或多個實施例,提供了適於提供改進的基板處理的電子裝置處理系統。本文所述的系統和方法可以透過控制基板在工具之間傳輸時以及在與設備前端模組(EFEM)接口時基板所暴露的環境條件,而來提供基板處理的效率和/或處理改進。
EFEM從對接到其壁的一或多個基板載體(例如,對接到其前表面)接收基板,並且裝載/卸載機器人將基板遞送到耦合在EFEM的另一個表面上的一或多個裝載鎖(例如,其後表面)。在一些實施例中,監測和控制一或多個環境參數(例如,相對濕度、溫度、O2 的量、惰性氣體的量、或其他化學污染物的量),並且除非關於EFEM的EFEM腔室中的環境的某些預定條件滿足,否則沒有對接到EFEM的FOUP可以打開。
在本文的一或多個實施例中,提供了一種側儲存倉,其可以在環境上受到控制,並且可以包括用於EFEM的補充及/或可索引的基板儲存。例如,在一些實施例中,側儲存倉可包括側儲存倉腔室,其允許儲存50個或更多個(例如,52個或更多個),並且在一些實施例是75個或更多個的基板。在一或多個實施例中,可以將加熱的非反應性氣體(例如氮氣)提供至側儲存倉腔室,使得儲存在其中的任何基板可以暴露於非反應性環境和/或脫氣。側儲存倉腔室可以包括其自己的輸入和排出埠,使得加熱的非反應性氣體可以流過側儲存倉腔室,流過儲存於其中的任何基板上,並且流出側儲存倉腔室。這允許存在於側儲存倉腔室內或位於以側儲存倉腔室來儲存的基板上的任何揮發性的副產物被使用一或多個泵/淨化循環而移除,並且可以減少側儲存倉腔室和EFEM之間在基板在其中轉移的期間的交叉污染。
在一些實施例中,側儲存倉腔室可以被密封並從側儲存倉移除以在另一個處理位置使用。另一個側儲存倉腔室可接著裝載到側儲存倉中並用以將基板傳送到EFEM和/或從EFEM接收基板。以這種方式,可以透過使用額外的側儲存倉腔室向EFEM提供無限量的基板儲存。
也可以控制EFEM內的環境。簡而言之,基板暴露的環境受到控制,從頭到尾(cradle to grave)地,一直沿著他們的傳輸路徑和/或在基板儲存期間。
參照以下第1A圖至第4圖描述本文提供的範例設備、系統、和方法的實施例的進一步細節。
第1A圖是根據本文的一或多個實施例的電子裝置處理系統100的示例實施例的示意圖。電子裝置處理系統100可以包括主機殼體101,主機殼體101具有界定傳送腔室102的外殼壁。傳送機器人103(顯示為虛線圓圈)可以至少部分地容納在傳送腔室102內。傳送機器人103可被配置為透過傳送機器人103的臂(未示出)的操作來將基板放置到目的地和從目的地提取基板。這裡使用的基板包括用以製造電子裝置或電路元件的製品,例如半導體晶片、含矽晶片、圖案化晶片、玻璃板等。
可以透過適當的命令至驅動組件(未示出)來控制傳送機器人103的各種臂元件的運動,該驅動組件包括從控制器106命令的傳送機器人103的複數個驅動馬達。來自控制器106的信號可以引起傳送機器人103的各種元件的運動。可以透過各種感測器(例如位置編碼器)等來為一或多個元件提供合適的反饋機構。
傳送機器人103可包括可圍繞肩部軸線旋轉的臂,該肩部軸線可大致居中地位於傳送腔室102中。傳送機器人103可包括基座(未示出),該基座被配置為附接到形成傳送腔室102的下部的外殼壁(例如,地板)。然而,在一些實施例中,傳送機器人103可以附接到天花板。傳送機器人103可以是雙型機器人,其配置成當處理工具包括雙處理腔室(twinned-process chambers)(如圖所示)時,服務雙腔室(例如,並排(side-by-side)腔室)。可以使用其他類型的處理腔室定向,以及其他類型的傳送機器人。
例如,所描繪的實施例中的傳送腔室102可以是方形或略呈矩形的形狀,並且可包括第一面102A、與第一面102A相對的第二面102B、第三面102C、和與第三面102C相對的第四面102D。傳送機器人103可擅於將雙基板同時傳送和/或收回到腔室組中。例如,第一面102A、第二面102B、第三面102C、和第四面102D可以是平面的,並且進入腔室組的入口可以沿著相應的面放置。然而,主機殼體101的其他合適形狀以及面和處理腔室的其他合適數量也是可能的。
傳送機器人103的目的地可以是第一處理腔室組108A、108B,其耦接到第一面102A並且可被配置並可操作以對傳送到其上的基板實行處理。該處理可以是任何合適的處理,例如電漿氣相沉積(PVD)或化學氣相沉積(CVD)、蝕刻、退火、預清潔、金屬或金屬氧化物去除等。可以在其中的基板上實行其他處理。
傳送機器人103的目的地也可以是第二處理腔室組108C、108D,其可以與第一處理腔室組108A、108B相對。第二處理腔室組108C、108D可以耦接到第二面102B,並且可以配置成在基板上實行任何合適的處理,例如上述的任何處理。同樣地,傳送機器人103的目的地也可以是第三處理腔室組108E、108F,其可以與耦接到第三面102C的裝載鎖設備112相對。第三處理腔室組108E、108F可以配置成在基板上實行任何合適的處理,例如上述的任何處理。
基板可以從EFEM 114接收到傳送腔室102中,並且還透過耦接到EFEM 114的表面(例如,後壁)的裝載鎖設備112離開傳送腔室102,到達EFEM 114。裝載鎖設備112可包括一或多個裝載鎖腔室(例如,裝載鎖腔室112A、112B,舉例而言)。包括在裝載鎖設備112中的裝載鎖腔室112A、112B可以是單晶片裝載鎖(single wafer load locks, SWLL)腔室、多晶片腔室、或其組合。
EFEM 114可以是具有形成EFEM腔室114C的側壁表面(例如,前壁、後壁、和側壁,頂部和底部,舉例而言)的任何外殼。一或多個裝載埠115可以設置在EFEM 114的表面(例如,前表面)上,並且可以配置成在那裡接收一或多個基板載體116(例如,FOUP)。示出了三個基板載體116,但可以是更多或更少數量的基板載體116與EFEM 114對接。
EFEM 114可包括在其EFEM腔室114C內的傳統結構的合適的裝載/卸載機器人117(示出為點線)。一旦打開基板載體116的門,裝載/卸載機器人117可以被配置和操作以從基板載體116提取基板並將基板供給通過EFEM腔室114C並進入裝載鎖設備112的一或多個裝載鎖腔室112A、112B。可選地,一旦打開基板載體116的門,裝載/卸載機器人117可以被配置和操作以從基板載體116提取基板並將基板供給到側儲存倉120中,同時基板處於空閒狀態等待處理。側儲存倉120耦接到EFEM 114的側壁。裝載/卸載機器人117可進一步配置成在處理腔室108A-108F中的一或多個處理之前和之後,從側儲存倉120提取基板和將基板裝載到側儲存倉120中。
側儲存倉120可以是受環境控制的,並且包括用於EFEM 114的補充和/或可索引的基板儲存。例如,在一些實施例中,側儲存倉120可包括側儲存倉腔室121,其允許儲存50個或更多個(例如,52個或更多個),並且在一些實施例是75個或更多個的基板。透過打開側儲存倉腔室121的可密封門122以提供對側儲存倉腔室121的存取,基板可以從側儲存倉腔室121轉移到EFEM 114,反之亦然。在一或多個實施例中,可以經由加熱器123,將加熱的非反應性氣體(例如氮氣)供應到,例如,側儲存倉腔室121,使得儲存於其中的任何基板可以暴露於非反應性環境並且/或脫氣。側儲存倉腔室121可以包括其自己的輸入和排出埠(以下描述),使得加熱的非反應性氣體可以流過側儲存倉腔室121,流過儲存於其中的任何基板上,並且流出側儲存倉腔室121至排氣出口。以下參照第2A圖至第2F圖進一步描述側儲存倉120和側儲存倉腔室121的範例實施例。
可以使用允許在傳送腔室102和EFEM腔室114C之間傳送基板的任何合適的裝載鎖設備112的構造。
在所描繪的實施例中,EFEM腔室114C可以設置有環境控制,該環境控制在其中提供環境控制的空氣。特別是,環境控制系統118耦接到EFEM 114並且可操作以監視和/或控制EFEM腔室114C內的環境條件。在一些實施例中,且在某些時候,EFEM腔室114C可以從惰性氣體供應118A接收惰性和/或非反應性氣體,例如氬氣(Ar)、氮氣(N2 )、或氦氣(He) 。在其他實施例中,或在其他時間,可以從空氣供應118B提供空氣(例如,乾燥的過濾空氣)。在以下參照第2A圖至第2F圖描述的一些實施例中,EFEM腔室114C內的環境條件可以存在於側儲存倉120的內部,因為EFEM腔室114C和側儲存倉120可以彼此打開和/或以其他方式流體連通。側儲存倉腔室121可具有由惰性氣體供應118A或另一氣體源(未示出)供應的單獨控制的環境。
更詳細地,環境控制系統118可以控制以下中的至少一個:1)相對濕度(RH),2)溫度(T),3)O2 的量,或4)在EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121內的惰性和/或非反應性氣體的量。可以監視和/或控制EFEM 114、側儲存倉120和/或側儲存倉腔室121的其他環境條件,例如進入EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121的氣體流速、或是EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121或兩者中的壓力。
在一些實施例中,環境控制系統118包括控制器106。控制器106可包括合適的處理器、記憶體、和/或電子元件,其用於接收來自各種感測器的輸入並控制一或多個閥以控制EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121內的環境條件。在一或多個實施例中,環境控制系統118可以透過利用配置以感測相對濕度(RH)的相對濕度感測器130感測EFEM 114C中的RH來監測相對濕度(RH)。可以使用任何合適類型的相對濕度感測器130,例如電容式感測器。還可以監視側儲存倉120和/或側儲存倉腔室121中的相對濕度。可以透過使適當量的惰性和/或非反應性氣體從環境控制系統118的惰性氣體供應118A流入EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121,而來降低RH。如本文所述,來自惰性氣體供應118A的惰性和/或非反應性氣體可以是氬氣、N2 、氦氣、其他非反應性氣體或其混合物。例如,具有低H2 O位準(例如,純度>= 99.9995%,H2 O>5ppm)的壓縮大宗惰性氣體(compressed bulk inert gasses)可用作為環境控制系統118中的惰性氣體供應118A。可以使用其他H2 O位準。
在一或多個實施例中,預定義的參考相對濕度值可以是小於約1000ppm水分(moisture)、小於約500ppm水分、或甚至小於約100ppm水分,這取決於對於在電子裝置處理系統100中實行的特定處理或暴露於EFEM 114、側儲存倉120和/或側儲存倉腔室121的環境的特定基板所可以容忍的水分含量。
環境控制系統118可以包括氧感測器132,氧感測器132被配置並適於感測EFEM 114內的氧氣(O2 )位準。還可以監視側儲存倉120和/或側儲存倉腔室121中的氧氣位準。在一些實施例中,啟動將適當量的惰性和/或非反應性氣體從惰性氣體供應118A流入EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121的從控制器106到環境控制系統118的控制信號,可以發生以將氧氣(O2 )的位準控制到低於O2 的閾值。在一或多個實施例中,O2 的閾值可以是小於約50ppm的O2 、小於約10ppm的O2 、或甚至小於約5ppm的O2 ,這取決於對於在電子裝置處理系統100中實行的特定處理或暴露於EFEM 114、側儲存倉120和/或側儲存倉腔室121的環境的特定基板所可以容忍(不影響品質)的O2 位準。可以使用其他O2 的閾值。
環境控制系統118可進一步包括壓力感測器133,其測量EFEM 114內的絕對壓力或相對壓力。還可以監視側儲存倉120和/或側儲存倉腔室121中的壓力位準。在一些實施例中,控制器106可以控制從惰性氣體供應118A進入EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121的惰性和/或非反應性氣體的流量,以控制EFEM腔室114C、側儲存倉120和/或側儲存倉腔室121中的壓力。在一些實施例中,氧感測器132可以感測EFEM腔室114C中的氧氣位準以確保其高於安全閾值位準以允許進入EFEM腔室114C(例如,在維護期間)。
在本文描繪的實施例中,控制器106可以是具有合適的處理器、記憶體、和周邊元件的任何合適的控制器,其適於接收來自各種感測器(例如,相對濕度感測器130和/或氧感測器132)的控制輸入和執行封閉迴路或其他合適的控制方案。在一個實施例中,控制方案可以改變被引入EFEM 114、側儲存倉120和/或側儲存倉腔室121中的氣體的流速,以在其中實現預定的環境條件。在另一個實施例中,控制方案可以判定何時將基板傳送到EFEM 114和/或側儲存倉腔室121中。在一些實施例中,側儲存倉腔室121可以使用單獨的控制器和/或感測器來監視和控制側儲存倉腔室121內的環境。
附接到EFEM 114的側儲存倉120可以在特定環境條件下儲存基板。例如,側儲存倉腔室121可以在與EFEM腔室114C中存在的相同環境條件下儲存基板。或者,側儲存倉腔室121可以採用與EFEM腔室114C不同的環境條件來用於基板儲存(例如,較低的氧氣和/或污染位準、較高的溫度、較高的壓力等)。
側儲存倉120可以流體地耦接到EFEM腔室114C,並且可以從EFEM 114接收惰性和/或非反應性氣體。側儲存倉120可包括排氣管道(未示出)以從側儲存倉120排出氣體。
在所描繪的實施例中,環境控制系統118可以向側儲存倉腔室121提供惰性和/或非反應性氣體流。如上所述,惰性氣體供應118A可以通過一或多個供應管道125和與其耦接的閥(未示出)來向側儲存倉腔室121供應惰性和/或非反應性氣體。一或多個供應管道125和閥回應於來自控制器106(或單獨專用的控制器)的控制信號而在某些時間向側儲存倉腔室121供應惰性和/或非反應性氣體。例如,在打開側儲存倉腔室121的可密封的門122之前,可以向側儲存倉腔室121提供惰性和/或非反應性氣體的供應,以便淨化側儲存倉腔室121內的環境,以符合某些環境先決條件。在打開門122允許經由EFEM腔室114C將基板傳送到側儲存倉腔室121或從側儲存倉腔室121傳送之前,這樣的環境先決條件可被滿足。同樣地,在側儲存倉腔室121內的基板儲存期間,可以向側儲存倉腔室121提供低氧氣體、加熱氣體等。如上所述,在一些實施例中,儲存在側儲存倉腔室121中的基板可以暴露於與EFEM 114中存在的環境不同的環境。
第1B圖是具有裝載埠115和耦接至其上的側儲存倉120的EFEM 114的透視圖。側儲存倉120可以密封地耦接到EFEM 114的側壁面板160,以在EFEM 114和側儲存倉120之間形成氣密和/或真空密封。可以使用任何合適的密封(例如,O形環、矩形密封、擠壓球形密封(extruded bulb seals)、乙烯丙烯二烯單體密封(ethylene propylene diene monomer seals),含氟彈性體密封(fluoroelastomer seals)等)。
如第1B圖所示,側儲存倉120可以包括上部區域162,側儲存倉腔室121可裝載到上部區域162中或從上部區域162移除(例如,通過側儲存倉存取門164)。側儲存倉120亦包括下部區域166,下部區域166支撐側儲存倉120的上部區域162,並且可包括用於側儲存倉120和/或側儲存倉腔室121的各種閥、管道、排氣出口等,如以下所進一步描述。側儲存倉120可包括密封表面168,密封表面168經配置以密封EFEM 114的側壁面板160。
第2A圖是根據本文提供的一或多個實施例的側儲存倉120的側視部分剖視圖。側儲存倉120包括外殼202,其具有密封表面168,密封表面168經配置以密封EFEM 114的側壁面板160。在一些實施例中,密封表面168可具有後表面204,後表面204具有圍繞密封表面168的一部分和/或周邊延伸的凹槽206。當側儲存倉120和EFEM 114耦接在一起時,密封件207可安置於凹槽206中並密封側儲存倉120和EFEM 114之間的接口。可以使用任何合適的密封(例如,O形環、矩形密封、擠壓球形密封(extruded bulb seals)、乙烯丙烯二烯單體密封(ethylene propylene diene monomer seals),含氟彈性體密封(fluoroelastomer seals)等)。
側儲存倉腔室121定位於外殼202內並且耦接到索引器208。在一些實施例中,側儲存倉腔室121可以定位在基座210上或耦接到索引器208的其他支撐結構上。或者,側儲存倉腔室121可以直接地耦接到索引器208。索引器208可以是任何合適的升降機構,用於提供側儲存倉腔室121的垂直運動。例如,索引器208可包括線性軸承組件212,其包括軸承滑動件、導軌等(未示出)。線性軸承組件212可以耦接到垂直致動器214。垂直致動器214的致動引起側儲存倉腔室121的升高或降低。垂直致動器214可以是任何合適的致動器類型,例如液壓、氣動、電動等。
側儲存倉腔室121包括主體216,主體216具有複數個垂直間隔的儲存構件218,每個儲存構件218經配置以支撐基板220(第2B圖)。垂直間隔的儲存構件218可以是架子或其他支撐結構,其耦接到側儲存倉腔室121的主體216,用於支撐基板。垂直間隔的儲存構件218可以間隔足夠的距離以允許EFEM 114的機器人117(第1A圖)的機器人刀片222(第2A圖)將基板裝載到垂直間隔的儲存構件218上或從垂直間隔的儲存構件218移除基板。
在一些實施例中,側儲存倉腔室121可包括至少50個基板儲存構件218,在一些實施例中至少52個基板儲存構件218,並且在一些實施例中,至少75個或更多個基板儲存構件218。可以使用其他數量的基板儲存構件。在一些實施例中,儲存構件218可包括25或26個基板儲存構件218的多個子群組,並且索引器208可操作以垂直地移動側儲存倉腔室121,使得儲存構件的不同的25或26個基板的子群組可由EFEM 114的機器人117的機器人刀片222存取。例如,如第2B、2E、和2F圖所示,儲存構件218的第一子群組218a可定位供EFEM 114的機器人117的機器人刀片222存取,並且稍後,索引器208可降低側儲存倉腔室121以允許EFEM 114的機器人117的機器人刀片222存取儲存構件218的第二子群組218b,反之亦然。
側儲存倉腔室121包括可移除的門122,當可移除的門122被移除時,門122允許EFEM 114的機器人117存取複數個儲存構件218。如第2B圖所示,可移除的門122(和/或側儲存倉腔室121的主體216)可包括密封表面226,密封表面226具有凹槽228和密封構件230,密封構件230允許門122相對於側儲存倉腔室121的主體216而密封。這允許側儲存倉腔室121內的環境與側儲存倉120的外殼202的環境和/或EFEM 114的環境隔離。
在一些實施例中,側儲存倉腔室121可包括淨化氣體供應入口232和排氣出口234,其允許惰性和/或非反應性氣體(例如加熱的非反應性氣體)流通過側儲存倉腔室121,流在其中儲存的任何基板上,以及流出側儲存倉腔室121。這允許存在於側儲存倉腔室121內或位於以側儲存倉腔室121來儲存的基板上的任何揮發性的副產物被使用一或多個泵/淨化循環而移除,並且可以減少側儲存倉腔室121和EFEM 114之間在基板在其中轉移的期間的交叉污染。惰性和/或非反應性氣體的範例包括氬氣、N2 、氦氣、任何其他合適的氣體或其混合物。例如,加熱器123(第1A圖)可用以在進入側儲存倉腔室121之前加熱氣體。在一些實施例中,排氣出口234可以在EFEM 114外部排氣(例如,見第2A圖)。
如第2B圖和第2C圖所示,在一或多個實施例中,側儲存倉腔室121可包括氣體分配系統236,例如風管(plenum)、氣體分配板、在每個儲存構件218處具有開口或噴嘴的中空管,或類似物等,其允許供應到淨化氣體供應入口232的惰性和/或非反應性氣體被分散,以便在離開排氣出口234之前穿過垂直間隔的儲存構件218。在第2C圖中,箭頭示出了通過淨化氣體供應入口232的範例氣流,流過儲存構件218(第2C圖中未標記)朝向側儲存倉腔室的門122和流出排氣出口234。這樣的佈置可以改善側儲存倉腔室121內的泵/淨化循環期間的污染物去除。而且,當門122被移除時,氣體可以流過側儲存倉腔室121,以阻止EFEM腔室114C內的氣體進入側儲存倉腔室121。
由於側儲存倉腔室121可以被密封並且提供與EFEM腔室114C分離的其自身環境,因此在一些實施例中,側儲存倉腔室121可以被密封並從側儲存倉120移除以用於另一處理位置(例如,透過第1B圖的存取門164)。另一側儲存倉腔室接著可以裝載到側儲存倉120中並用以將基板傳送到EFEM 114和/或從EFEM 114接收基板。以這種方式,可以透過使用額外的側儲存倉腔室向EFEM 114提供無限量的基板儲存。
如第2A圖所示,開口238設置在EFEM 114和側儲存倉120的外殼202之間的面板160中。當耦接到EFEM 114時,開口238允許側儲存倉120內的側儲存倉腔室121周圍的區域與EFEM 114共享環境。在一些實施例中,外殼202可包括單獨的排氣出口(未示出)。在一或多個實施例中,可提供另外的門(未示出)以密封開口238並將側儲存倉120與EFEM 114隔離。
在第2A圖的實施例中,側儲存倉120和/或EFEM 114包括側儲存倉腔室門開啟器240,其可移除側儲存倉腔室121的門122以提供對儲存構件218和/或儲存於其上的任何基板220的存取。側儲存倉腔室門開啟器240可以具有一或多個連接器(未示出),該連接器連接到連接器板242,連接器板242配置以接觸並連接到側儲存倉腔室121的門122。連接器可以是,例如,抽吸型裝置、真空裝置等。可以使用能夠連接到並保持側儲存倉腔室門122的其他合適類型的連接器裝置。鎖定機構(未示出)可用以鎖定門122,因此門122不會不經意地打開,並且連接器板242可包括合適的解鎖機構(未示出)。
門開啟器240可包括,例如,線性滑動件244和驅動系統246,其允許連接器板242和門122從側儲存倉腔室121縮回或者連接器板242和門122朝向側儲存倉腔室121移動。驅動系統246可包括合適的馬達和傳動機構,以引起朝向和遠離側儲存倉腔室121的運動。可以使用任何合適類型的門解鎖和抓握機構來抓住和打開側儲存倉腔室的門122。
門122的下降可以透過升降機248完成。升降機248可包括用於提供連接器板242和側儲存倉腔室的門122的垂直運動的任何合適的機構。例如,升降機248可包括線性軸承組件250,其包括軸承滑動件、導軌等(未示出)。例如,線性軸承組件250可以耦接到位於驅動系統246內的垂直致動器(未單獨示出)。如果門122已經透過門開啟器240從側儲存倉腔室盒室121移除,則垂直致動器的致動導致連接器板242和側面存儲盒室121的門122升高或降低。可以使用任何合適的垂直致動器類型,例如液壓、氣動、電動等。
在操作中,側儲存倉腔室121可以通過存取門164(第1圖)裝載到儲存倉120中。在第2A圖的實施例中,側儲存倉腔室121定位在基座210上,在一些實施例中,基座可具有一或多個對準(registration)特徵(未示出),其提供側儲存倉120內的側儲存倉腔室121的對準。
一旦裝載在側儲存倉120內,可以使用惰性和/或非反應性氣體(例如N2 、Ar等)以在側儲存倉腔室121內提供非反應性環境,來泵/淨化側儲存倉腔室121等。例如,諸如N2 的熱氣體可以流過側儲存倉腔室121的入口232、流過儲存於其中的任何基板上、並流出側儲存倉腔室121的排氣出口234。在一些實施例中,側儲存倉腔室121內的環境可具有與EFEM 114內的環境不同(例如,更高)位準的純度。
門開啟器240可用以打開側儲存倉腔室121以存取儲存構件218和/或儲存在其上的任何基板。例如,連接器板242可以接觸、接合、解鎖和/或移除側儲存倉腔室121的門122,並使用線性滑動件244和驅動系統246將門122從側儲存倉腔室121縮回,如第2D圖所示。之後,可以使用線性軸承組件250和驅動系統246降低門122,例如,如第2E圖所示。
在門122不影響(out of the way)開啟器238的情況下,EFEM 114的機器人117的機器人刀片222可將基板儲存在側儲存倉腔室121中或從側儲存倉腔室121移除基板。在第2E圖所示的實施例中,機器人刀片222可以存取儲存構件218的下方子群組218b。或者,索引器208可以降下側儲存倉腔室121,如第2F圖所示,以存取儲存構件218的上方子群組218a。
在一些實施例中,在側儲存倉腔室121的門122打開期間和/或在將基板裝載到側儲存倉腔室121中或從側儲存倉腔室121移除基板期間,淨化氣體,例如惰性和/或非反應性氣體,可以使用氣體分配系統236流過側儲存倉腔室121,以防止EFEM 114的環境進入側儲存倉腔室121並可能潛在地污染儲存在其中的任何基板。例如,在一些實施例中,可以在側儲存倉腔室121中保持比在EFEM 114中更高的壓力。
當多個基板裝載到側儲存倉腔室121中或從側儲存倉腔室121移除時,側儲存倉腔室121可以保持打開,或者可以在任何基板傳送操作進入側儲存倉腔室121或自側儲存倉腔室121的基板傳送操作之後關閉側儲存倉腔室121。
在一些實施例中,EFEM 114的機器人117的機器人刀片222可以不使用垂直運動來將基板傳送到側儲存倉腔室121和從側儲存倉腔室121傳送基板。用於基板傳送的任何垂直運動可以由索引器208提供。或者,EFEM 114的機器人刀片222可以提供一些垂直運動。在其他實施例中,機器人刀片222可以透過使用機器人刀片222的垂直運動而不使用索引器208來存取側儲存倉腔室121的所有儲存構件218。
如上所述,側儲存倉腔室121可以從側儲存倉120移除。這允許容易維護和清潔側儲存倉腔室121並且能夠快速地向EFEM 114添加更多儲存。
第3圖示出了根據本文提供的實施例的處理電子裝置處理系統內的基板的方法300。參照至第3圖,在方塊302中,方法300包括提供電子裝置處理系統,該系統包括具有設備前端模組腔室的設備前端模組、耦接到設備前端模組的前部的一或多個裝載埠,每個裝載埠被配置以支撐基板載體、以及耦接到設備前端模組的側面的可索引側儲存倉。例如,第1A圖示出電子裝置處理系統100,其包括具有EFEM腔室114C的EFEM 114、支撐基板載體116的裝載埠115、以及可索引側儲存倉120(例如,側儲存倉120內的側儲存倉腔室121可以升高或降低)。在一些實施例中,側儲存倉120包括外殼202,外殼202具有密封表面168,密封表面168配置成耦接到EFEM 114。具有主體216的側儲存倉腔室121可以設置在側儲存倉120內,該主體216具有複數個垂直間隔的儲存構件218(每一個經配置以支撐基板)。側儲存倉120可包括索引器208,其可操作以垂直地移動側儲存倉腔室121,使得EFEM 114中的裝載-卸載機器人117可存取儲存構件218的不同子群組。
在方塊304中,方法300包括使用設備前端模組內的機器人取出位於側儲存倉腔室的儲存構件中的一個儲存構件上的基板。例如,側儲存倉腔室121的門122可以使用門開啟器240移除並降低以提供對儲存構件218的存取。可以接著使用EFEM 114內的機器人117的機器人刀片222來取出位於側儲存倉腔室121的儲存構件218中的一個儲存構件上的基板(例如,第2B圖中的基板220)。在一或多個實施例中,當門122被移除時,淨化氣體可以流過側儲存倉腔室121。
在一些實施例中,儲存構件218可包括25或26個基板儲存構件的多個子群組,並且索引器208可操作以垂直地移動側儲存倉腔室121,使得儲存構件的不同的25或26個基板的子群組可由EFEM 114的機器人刀片222存取。
在方塊306中,方法300包括使用機器人將基板從側儲存倉腔室轉移到設備前端模組腔室。例如,可以使用EFEM 114的機器人117的機器人刀片222從側儲存倉腔室121移除基板220,並且將基板傳送到EFEM腔室114C(第2E圖)。例如,取出基板220可以包括使用索引器208將側儲存倉腔室121定位在機器人刀片222的適當高度處。
在一些實施例中,在方塊308中,方法300包括在從側儲存倉腔室移除基板之後密封側儲存倉腔室。例如,在將基板從側儲存倉腔室121轉移到EFEM腔室114C之後,門開啟器240可以將門122抬起並移回到側儲存倉腔室121。
可以使用類似的方法將基板儲存在側儲存倉120內。例如,第4圖示出了將基板儲存在側儲存倉中的方法400。參照至第4圖,方塊402包括提供電子裝置處理系統,其具有EFEM、一或多個裝載埠和可索引側儲存倉,該側儲存倉包括側儲存倉腔室。方塊404包括移除側儲存倉腔室的門以提供對EFEM機器人的存取。方塊406包括使用EFEM機器人將基板從EFEM腔室轉移到側儲存倉腔室。這可以包括在移除側儲存倉腔室的門的期間和/或在基板傳送操作期間,使淨化氣體流過側儲存倉腔室,和/或索引側儲存倉腔室(例如,升高或降低側儲存倉腔室)。在一些實施例中,可在將基板儲存在其中之後密封側儲存倉腔室(方塊408)。
控制器106(第1A圖)可以經由環境控制系統118控制環境條件以滿足環境先決條件。例如,在打開側儲存倉腔室121的一個或多個基板載體的門或門122中的任何一個之前,可以發生控制環境條件以滿足基板載體和/或側儲存倉腔室121內的環境先決條件。在允許打開一或多個基板載體的門、側儲存倉腔室121的門122、或一或多個裝載鎖腔室中的任何一個裝載鎖腔室中之任何一者之前,也可控制EFEM 114中的環境條件以滿足環境先決條件。。
以上描述提供了本文的範例實施例。因此,雖然已結合範例實施例來提供本文,但應理解,其他實施例和均等可落入由以下申請專利範圍所界定之本文的範疇之中。
100:電子裝置處理系統 101:主機殼體 102:傳送腔室 102A:第一面 102B:第二面 102C:第三面 102D:第四面 103:傳送機器人 106:控制器 112:裝載鎖設備 112A:裝載鎖腔室 112B:裝載鎖腔室 114:EFEM 114C:EFEM腔室 115:裝載埠 116:基板載體 117:裝載/卸載機器人 118:環境控制系統 118A:惰性氣體供應 118B:空氣供應 120:側儲存倉 121:側儲存倉腔室 122:門 123:加熱器 125:供應管道 132:氧感測器 160:側壁面板 162:上部區域 164:門 166:下部區域 168:密封表面 180A:第一處理腔室組 180B:第一處理腔室組 180C:第二處理腔室組 180D:第二處理腔室組 180E:第三處理腔室組 180F:第三處理腔室組 202:外殼 204:後表面 206:凹槽 207:密封件 208:索引器 210:基座 212:線性軸承組件 214:致動器 216:主體 218:儲存構件 218a:儲存構件子群組 218b:儲存構件子群組 222:機器人刀片 226:密封表面 230:密封構件 232:淨化氣體供應入口 234:排氣出口 236:氣體分配系統 238:開口 240:開啟器 242:連接器板 244:線性滑動件 246:驅動系統 248:升降機 250:線性軸承組件 300:方法 302:方塊 304:方塊 306:方塊 308:方塊 400:方法 402:方塊 404:方塊 406:方塊 408:方塊
下面描述的圖式是出於說明性的目的,且不一定按比例繪製。圖式並不旨在以任何方式限制本文的範疇。
第1A圖示出根據一或多個實施例的包括可索引側儲存倉設備的電子裝置處理系統的示意性頂視圖。
第1B圖示出根據一或多個實施例的包括可索引的側儲存倉設備的設備前端模組的前透視圖。
第2A圖-第2F圖示出根據一或多個實施例的可索引的側儲存倉設備的局部側視圖。
第3圖圖示描繪了根據一或多個實施例的從電子裝置處理系統內的側儲存倉卸載基板的方法的流程圖。
第4圖圖示描繪了根據一或多個實施例的將基板裝載到電子裝置處理系統內的側儲存倉中的方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
114:EFEM
118A:惰性氣體供應
121:側儲存倉腔室
122:門
125:供應管道
160:側壁面板
168:密封表面
202:外殼
204:後表面
206:凹槽
207:密封件
208:索引器
210:基座
212:線性軸承組件
214:致動器
216:主體
222:機器人刀片
232:淨化氣體供應入口
234:排氣出口
238:開口
240:開啟器
242:連接器板
244:線性滑動件
246:驅動系統
248:升降機
250:線性軸承組件

Claims (20)

  1. 一種一設備前端模組(EFEM)的一側儲存倉,該側儲存倉包括: 一外殼,其具有一密封表面,該密封表面經配置以耦接到該EFEM; 一側儲存倉腔室,其具有一主體,該主體耦接至複數個垂直間隔的儲存構件,其中該複數個垂直間隔的儲存構件中的每一個儲存構件經配置以支撐該主體內的一相對應基板;及 一可移除的門,其中該可移除的門和該主體回應於該可移除的門處於一關閉位置而相對於彼此密封,其中該EFEM的一裝載-卸載機器人回應於該可移除的門處於一開啟位置而存取該複數個垂直間隔的儲存構件,其中該側儲存倉腔室內的一環境被控制為如以下一或多者:回應於該可移除的門處於該關閉位置而處於第一環境條件;或回應於該可移除的門處於該開啟位置而處於第二環境條件。
  2. 如請求項1所述之側儲存倉,其中在該可移除的門處於該開啟位置之前,向該側儲存倉腔室提供氣體的一供應以淨化該側儲存倉腔室。
  3. 如請求項1所述之側儲存倉,其中回應於該可移除的門處於該開啟位置,向該側儲存倉腔室提供氣體的一供應以阻止氣體從該EFEM內進入該側儲存倉腔室。
  4. 如請求項1所述之側儲存倉,其中控制該側儲存倉腔室內的該環境包括控制以下之一或多者:相對濕度、溫度、氧氣的量、惰性氣體的量、或非反應性氣體的量。
  5. 如請求項1所述之側儲存倉,其中控制該側儲存倉腔室內的該環境包括控制以下之一或多者:進入該側儲存倉腔室內的氣體流率或該側儲存倉腔室內的壓力。
  6. 如請求項1所述之側儲存倉,其中該側儲存倉包括一控制器和感測器,以監視和控制該側儲存倉腔室內的該環境。
  7. 如請求項1所述之側儲存倉,其中回應於該可移除的門處於該開啟位置,該裝載-卸載機器人的一機器人刀片垂直地移動以存取該複數個垂直間隔的儲存構件。
  8. 一種電子裝置處理系統,包括: 一設備前端模組(EFEM),其包括一EFEM腔室; 一或多個裝載埠,其耦接到該EFEM的一前部,其中該一或多個裝載埠的每個裝載埠經配置以支撐一基板載體;及 一側儲存倉,其耦接至該EFEM的一側,該側儲存倉包括: 一外殼,其具有一密封表面,該密封表面經配置以耦接到該EFEM; 一側儲存倉腔室,其具有一主體,該主體耦接至複數個垂直間隔的儲存構件,其中該複數個垂直間隔的儲存構件中的每一個儲存構件經配置以支撐該主體內的一相對應基板;及 一可移除的門,其中該可移除的門和該主體回應於該可移除的門處於一關閉位置而相對於彼此密封,其中該EFEM的一裝載-卸載機器人回應於該可移除的門處於一開啟位置而存取該複數個垂直間隔的儲存構件,其中該側儲存倉腔室內的一環境被控制為如以下一或多者:回應於該可移除的門處於該關閉位置而處於第一環境條件;或回應於該可移除的門處於該開啟位置而處於第二環境條件。
  9. 如請求項8所述之電子裝置處理系統,其中在該可移除的門處於該開啟位置之前,向該側儲存倉腔室提供氣體的一供應以淨化該側儲存倉腔室。
  10. 如請求項8所述之電子裝置處理系統,其中回應於該可移除的門處於該開啟位置,向該側儲存倉腔室提供氣體的一供應以阻止氣體從該EFEM內進入該側儲存倉腔室。
  11. 如請求項8所述之電子裝置處理系統,其中控制該側儲存倉腔室內的該環境包括控制以下之一或多者:相對濕度、溫度、氧氣的量、惰性氣體的量、或非反應性氣體的量。
  12. 如請求項8所述之電子裝置處理系統,其中控制該側儲存倉腔室內的該環境包括控制以下之一或多者:進入該側儲存倉腔室內的氣體流率或該側儲存倉腔室內的壓力。
  13. 如請求項8所述之電子裝置處理系統,其中該側儲存倉包括一控制器和感測器,以監視和控制該側儲存倉腔室內的該環境。
  14. 如請求項8所述之電子裝置處理系統,其中回應於該可移除的門處於該開啟位置,該裝載-卸載機器人的一機器人刀片垂直地移動以存取該複數個垂直間隔的儲存構件。
  15. 一種方法,該方法包括以下步驟: 使得一側儲存倉的一可移除的門處於一開啟位置,其中該側儲存倉耦接至一設備前端模組(EFEM)的一側,其中一或多個裝載埠耦接至該EFEM的一前部,其中該一或多個裝載埠的每個裝載埠經配置以支撐一基板載體,其中該側儲存倉包括一外殼,該外殼具有一密封表面,該密封表面經配置以耦接到該EFEM,其中該側儲存倉包括一側儲存倉腔室,該側儲存倉腔室具有一主體,該主體耦接至複數個垂直間隔的儲存構件,其中該複數個垂直間隔的儲存構件中的每一個儲存構件經配置以支撐該主體內的一相對應基板; 控制該側儲存倉腔室內的一環境為如以下一或多者:回應於該可移除的門處於一關閉位置而處於第一環境條件;或回應於該可移除的門處於該開啟位置而處於第二環境條件; 回應於該可移除的門處於該開啟位置,使用該EFEM的一裝載-卸載機器人取回位於該側儲存倉腔室的該複數個垂直間隔的儲存構件的一第一垂直間隔的儲存構件上的一基板,其中該可移除的門和該側儲存倉的該主體回應於該可移除的門處於該關閉位置而相對於彼此密封;及 使用該裝載-卸載機器人,將該基板從該側儲存倉腔室傳送至該EFEM的一EFEM腔室。
  16. 如請求項15所述之方法,其中對該環境的該控制之步驟包括以下步驟:在該可移除的門處於該開啟位置之前,向該側儲存倉腔室提供氣體的一供應以淨化該側儲存倉腔室。
  17. 如請求項15所述之方法,其中對該環境的該控制之步驟包括以下步驟:回應於該可移除的門處於該開啟位置,向該側儲存倉腔室提供氣體的一供應以阻止氣體從該EFEM內進入該側儲存倉腔室。
  18. 如請求項15所述之方法,其中對該環境的該控制之步驟包括以下步驟:控制以下之一或多者:相對濕度、溫度、氧氣的量、惰性氣體的量、或非反應性氣體的量。
  19. 如請求項15所述之方法,其中對該環境的該控制之步驟包括以下步驟:控制以下之一或多者:進入該側儲存倉腔室內的氣體流率或該側儲存倉腔室內的壓力。
  20. 如請求項15所述之方法,進一步包括以下步驟:使用一控制器和感測器來監視和控制該側儲存倉腔室內的該環境。
TW108135021A 2017-06-23 2018-05-25 側儲存倉、電子裝置處理系統、和處理基板的方法 TWI717034B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762524375P 2017-06-23 2017-06-23
US62/524,375 2017-06-23

Publications (2)

Publication Number Publication Date
TW202020590A true TW202020590A (zh) 2020-06-01
TWI717034B TWI717034B (zh) 2021-01-21

Family

ID=64693458

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107117831A TWI676089B (zh) 2017-06-23 2018-05-25 側儲存倉、電子裝置處理系統、和處理基板的方法
TW108135021A TWI717034B (zh) 2017-06-23 2018-05-25 側儲存倉、電子裝置處理系統、和處理基板的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107117831A TWI676089B (zh) 2017-06-23 2018-05-25 側儲存倉、電子裝置處理系統、和處理基板的方法

Country Status (6)

Country Link
US (2) US11171028B2 (zh)
JP (2) JP7125430B2 (zh)
KR (2) KR102423761B1 (zh)
CN (2) CN117276150A (zh)
TW (2) TWI676089B (zh)
WO (1) WO2018236544A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016205597B4 (de) * 2016-04-05 2022-06-23 Fabmatics Gmbh Purge-Messsystem für FOUPs
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
US10403514B1 (en) * 2018-04-12 2019-09-03 Asm Ip Holding B.V. Substrate transporting system, storage medium and substrate transporting method
KR102592920B1 (ko) * 2018-07-16 2023-10-23 삼성전자주식회사 로드락 모듈 및 이를 포함하는 반도체 제조 장치
US11024523B2 (en) * 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11380564B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Processing system having a front opening unified pod (FOUP) load lock
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11508593B2 (en) * 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
CN110931406B (zh) * 2019-11-18 2022-10-21 北京北方华创微电子装备有限公司 升降门及槽式清洗机
US11569102B2 (en) * 2020-02-14 2023-01-31 Applied Materials, Inc. Oxidation inhibiting gas in a manufacturing system

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3500455B2 (ja) 1993-12-10 2004-02-23 東京エレクトロン株式会社 処理装置
JP3167970B2 (ja) * 1997-10-13 2001-05-21 ティーディーケイ株式会社 クリーンボックス、クリーン搬送方法及び装置
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
KR20040022770A (ko) * 2002-09-07 2004-03-18 엘지.필립스 엘시디 주식회사 액정표시소자의 제조방법
WO2005015613A2 (en) 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
JP4516966B2 (ja) 2004-09-15 2010-08-04 株式会社日立国際電気 半導体製造装置、基板の装填脱装方法および半導体装置の製造方法
JP2006261608A (ja) 2005-03-18 2006-09-28 Canon Inc デバイス製造装置及び制御方法
JP4904995B2 (ja) 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
US20080206023A1 (en) * 2007-02-27 2008-08-28 Smith John M Semiconductor substrate processing apparatus with horizontally clustered vertical stacks
JP4816545B2 (ja) 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
JP4697192B2 (ja) 2007-06-12 2011-06-08 東京エレクトロン株式会社 位置ずれ検出装置及びこれを用いた処理システム
JP2009087972A (ja) 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
JP5524093B2 (ja) 2008-03-13 2014-06-18 インテグリス・インコーポレーテッド 管状制御要素を有するウエハーコンテナ
JP5338335B2 (ja) 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
CN102326244B (zh) 2009-01-11 2014-12-17 应用材料公司 用于在电子器件制造中传输基板的机械手系统、装置及方法
US20100182586A1 (en) 2009-01-19 2010-07-22 Canon Kabushiki Kaisha Lithography apparatus, and method of manufacturing device using same
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
FR2961946B1 (fr) * 2010-06-29 2012-08-03 Alcatel Lucent Dispositif de traitement pour boites de transport et de stockage
JP2012094822A (ja) 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
JP5617708B2 (ja) 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP2012204645A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
JP6003011B2 (ja) * 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR101252742B1 (ko) 2011-08-02 2013-04-09 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR101271246B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR20140023807A (ko) 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
TW201413780A (zh) 2012-09-24 2014-04-01 Eugene Technology Co Ltd 煙氣移除設備及基板處理設備
KR101444241B1 (ko) 2013-01-14 2014-09-26 우범제 웨이퍼 처리장치의 배기시스템
CN105453246A (zh) 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
KR101682473B1 (ko) * 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
TWI784799B (zh) 2013-12-13 2022-11-21 日商昕芙旎雅股份有限公司 設備前端模組(efem)系統
KR102162366B1 (ko) 2014-01-21 2020-10-06 우범제 퓸 제거 장치
JP6291878B2 (ja) * 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
JP2015162532A (ja) 2014-02-27 2015-09-07 Tdk株式会社 ポッド、及び該ポッドを用いたパージシステム
US20150311100A1 (en) * 2014-04-23 2015-10-29 Tdk Corporation Load port unit and efem system
US9349620B2 (en) 2014-07-09 2016-05-24 Asm Ip Holdings B.V. Apparatus and method for pre-baking substrate upstream of process chamber
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9287153B2 (en) * 2014-08-15 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor baking apparatus and operation method thereof
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9881826B2 (en) 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
US10510570B2 (en) 2014-10-24 2019-12-17 Applied Materials, Inc. Systems, apparatus, and methods for purging a substrate carrier at a factory interface
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
KR101637498B1 (ko) 2015-03-24 2016-07-07 피코앤테라(주) 웨이퍼 수납용기
KR101688621B1 (ko) * 2015-06-09 2016-12-21 피코앤테라(주) 퓸 제거 장치
JP6450653B2 (ja) * 2015-06-24 2019-01-09 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
JP6582676B2 (ja) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
KR101758214B1 (ko) * 2015-09-25 2017-07-14 주식회사 싸이맥스 웨이퍼 처리장치의 배기장치
KR20180045316A (ko) 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10453727B2 (en) 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10262884B2 (en) 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port
US10453726B2 (en) 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10541165B2 (en) 2016-11-10 2020-01-21 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port backplane
US10741432B2 (en) 2017-02-06 2020-08-11 Applied Materials, Inc. Systems, apparatus, and methods for a load port door opener
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
US10763134B2 (en) 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge

Also Published As

Publication number Publication date
US20180374733A1 (en) 2018-12-27
CN117276150A (zh) 2023-12-22
JP2022180349A (ja) 2022-12-06
TWI717034B (zh) 2021-01-21
CN110770890B (zh) 2023-09-08
KR102423761B1 (ko) 2022-07-20
KR20200010615A (ko) 2020-01-30
JP7125430B2 (ja) 2022-08-24
JP7305857B2 (ja) 2023-07-10
US11823933B2 (en) 2023-11-21
CN110770890A (zh) 2020-02-07
JP2020524900A (ja) 2020-08-20
KR20220021026A (ko) 2022-02-21
US11171028B2 (en) 2021-11-09
TW201905616A (zh) 2019-02-01
KR102360219B1 (ko) 2022-02-08
US20220068686A1 (en) 2022-03-03
WO2018236544A1 (en) 2018-12-27
TWI676089B (zh) 2019-11-01

Similar Documents

Publication Publication Date Title
TWI676089B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
TWI757936B (zh) 用於處理基板的設備、系統和方法
KR102234464B1 (ko) 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
US11373891B2 (en) Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
US10784138B2 (en) Substrate processing system and substrate transfer method
US20210398824A1 (en) Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
JP2004023032A (ja) 半導体製造装置
JP2002043389A (ja) 基板処理装置