KR100278154B1 - 마이크로회로 웨이퍼들을 처리하기 위한 장치 - Google Patents

마이크로회로 웨이퍼들을 처리하기 위한 장치 Download PDF

Info

Publication number
KR100278154B1
KR100278154B1 KR1019930003544A KR930003544A KR100278154B1 KR 100278154 B1 KR100278154 B1 KR 100278154B1 KR 1019930003544 A KR1019930003544 A KR 1019930003544A KR 930003544 A KR930003544 A KR 930003544A KR 100278154 B1 KR100278154 B1 KR 100278154B1
Authority
KR
South Korea
Prior art keywords
wafers
processing
gas
wafer
unit
Prior art date
Application number
KR1019930003544A
Other languages
English (en)
Other versions
KR930020627A (ko
Inventor
잔 진저
Original Assignee
존 엘. 베노이스트
어드밴스드 세미컨덕터 매터리얼스 인터내쇼날 엔. 브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 존 엘. 베노이스트, 어드밴스드 세미컨덕터 매터리얼스 인터내쇼날 엔. 브이. filed Critical 존 엘. 베노이스트
Publication of KR930020627A publication Critical patent/KR930020627A/ko
Application granted granted Critical
Publication of KR100278154B1 publication Critical patent/KR100278154B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Weting (AREA)
  • Manipulator (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

본 발명은 마이크로회로 웨이퍼들을 처리하기 위한 장치에 관한 것으로, 웨이퍼들을 구비한 카셋트용의 공급부와, 웨이퍼캐리어들과 처리수단들이 함께 배치되어진 적어도 하나의 처리부 및, 카셋트로부터 웨이퍼들을 인출하여 웨이퍼캐리어에 놓으며 처리 후에는 다시 웨이퍼들을 웨이퍼캐리어로부터 인출하여 카셋트에 놓기 위한 이송부를 구비하고, 여기에서 상기 적어도 하나의 공급부와 처리부는 단면이 적어도 부분적으로는 정다각형 둘레부를 갖는 별개의 연결가능한 유니트들로 이루어지며, 이들 유니트들은 정다각형 둘레부의 변들의 위치에서 다른 유니트들과 연결되는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치이다.

Description

마이크로회로 웨이퍼들을 처리하기 위한 장치
본 발명은 마이크로회로 웨이퍼들을 처리하기 위한 장치에 관한 것으로서, 웨이퍼들을 구비한 카셋트용의 공급부와, 웨이퍼캐리어들과 처리수단들이 배치된 적어도 하나의 처리부 및, 웨이퍼들을 카셋트에서 인출하여 웨이퍼캐리어에 놓으며 처리후에는 웨이퍼캐리어에서 웨이퍼들을 인출하여 카셋트에 놓기 위한 이송수단들을 포함하는 이송부를 구비한다.
이러한 마이크로회로 웨이퍼처리용 장치는 공지되어 있으며, 예컨대 집적회로를 생산함에 있어서 실리콘웨이퍼들 상에 확산공정이나 저압화학기상증착(LPCVD)공정을 수행하는데 사용된다. 이러한 유형의 공지된 장치들은 특정한 처리를 위하여 구성되며 이를 위하여 모든 필요한 장치부들과 보조수단들을 포함하고 있다.
본 발명은 효율적으로 그리고 경제적으로 제조될 수 있는 마이크로회로 웨이퍼의 처리장치를 제공하는데 그 목적이 있다.
제1도는 본 발명의 제 1실시예에 따른 장치의 개략적인 수평단면도.
제2도는 본 발명의 다른 실시예에 따른 장치의 부분절개사시도.
제3도는 본 발명에 따른 공급부의 부분절개사시도.
제4도는 본 발명에 따른 처리부의 부분절개사시도이다.
* 도면의 주요부분에 대한 부호의 설명
1, 20 : 장치 또는 전체유니트 2, 21, 35, 48 : 공급부
3 : 청정룸벽 4, 14, 28, 36 : 회전테이블
5 : 입구 6 : 출구
7 : 측면 8, 22 : 이송부
9 : 카셋트 10, 11, 12, 23, 32 : 처리부
13, 27 : 웨이퍼 15 : 칸막이
16, 27 : 웨이퍼캐리어 17 : 인양장치
30 : 안내부 31 : 실린더
37, 46 : 구동장치 39, 52 : 필터
이러한 목적은 제1항에 기재된 특징을 갖춘 본 발명의 장치에 의해 달성된다. 여기에서, 본 발명에 따른 장치는 서로 연결될 수 있는 유니트들에 의해 특정한 조립체로 형성될 수 있다. 특정장치부가 각 유니트의 많은 측면들에 연결될 수 있다. 따라서, 다수의 여러 처리들이 본 발명의 장치 내에서 연속적으로 수행 될 수 있도록 예컨대 하나의 공급부에 다수의 처리부가 조합가능하다. 처리부들은 별개의 유니트들이므로, 이 처리부들을 임의로 조립하는 것이 가능하다.
제2항에 의해 본 발명의 다른 실시예가 특징지워진다. 여기에서, 각 연결가능한 유니트 내부의 기압은 정밀하게 조정되어 특정한 조건에 적합하게 된다.
제3항에 따른 바람직한 실시예에 의하면, 유니트들을 매우 효과적으로 결합시킬 수 있는 가능성이 얻어진다.
본 발명의 다른 특징들은 도면에 도시된 여러 실시예들을 참조하면서 언급되는 다음의 설명에 의해 명백해질 것이다.
제1도에 도시된 장치(1)는 통상 웨이퍼로 불리는 마이크로회로 디스크들을 처리하기 위한 것이다. 이 웨이퍼들은 그 표면에 다수의 집적회로를 형성하기 위하여 여러단계의 처리공정을 거치게 되는데, 이 집적회로들은 나중에 웨이퍼로부터 절단되어 가공된다.
상기 장치(1)를 사용하여 3가지 다른 그리고 선택적으로는 연속적인 처리공정이 상기 웨이퍼 상에 수행될 수 있다.
웨이퍼들은 대개 제1도의 공급부(2)에 도시된 다수의 카셋트(9)에 담겨 이송된다. 공급부(2)는 6개의 카셋트(9)들이 각기 3단으로 놓여져 총 18개의 카셋트(9)를 수용할 수 있도록 된 회전테이블(4)을 구비한다.
공급부(2)는 먼지의 함유량이 매우 낮게 유지되는 기압 상태의 청정룸벽(3)과 연결되어 있다. 입구(5)를 통해 카셋트(9)들이 유입되어 회전테이블(4)상에 놓일 수 있다. 상기 입구(5)는 배출구를 구비하는 특정 공급부(미도시)에 연결되어 카셋트(9)들이 삽입될 때 특히 산소와 같은 바람직하지 못한 가스들이 장치(1)의 내부로 확실히 유입되지 않도록 한다.
도시된 바와 같이, 공급부(2)는 단면형상이 적어도 부분적으로는 정다각형인 둘레부들을 가지는데, 즉 제1도의 우측의 3개의 벽들은 정8각형 단면의 일부분을 형성한다. 이하에서 상세히 설명될 처리부(10, 11, 12)들도 단면이 적어도 부분적으로는 정다각형인 둘레부를 가진다. 공급부와 처리부들(2, 10, 11, 12)은 별개의 유니트로 이루어지는데, 이 별개의 유니트들은 예컨대 정다각형 둘레부의 측면(7)과 같은 측면 지점에서 다른 유니트들에 연결된다.
본 장치(1)에 있어서, 중앙유니트는 후술하는 로봇 유니트가 배치된 이송부(8)에 의해 형성된다. 이 이송부(8)는 카셋트(9)들로부터 웨이퍼(13)를 인출하여 처리부들(10, 11, 12) 내의 웨이퍼캐리어들(16) 내에 위치시킬 수 있다. 처리 후에는 다시 이송부(8)가 처리된 웨이퍼(13)들을 처리부들(10, 11, 12)의 웨이퍼캐리어(16)에서 인출하여 다음 차례의 처리부나 또는 회전테이블(4)에 의해 소정위치 내로 회전된 빈 카셋트(9)에 위치시키게 된다. 처리된 웨이퍼들(13)을 담고 있는 카셋트(9)들은 상기 입구(5)와 동일한 방식으로 예컨대 배출구를 가지는 별개의 배출부를 구비할 수 있는 출구(6)를 통해 공급부(22)로부터 인출될 수 있다.
제4도를 참조하면서 상세히 설명될 바와 같이, 제1도에 도시된 처리부들(10, 11, 12) 각각은 본 발명의 바람직한 실시예에 따라 3개의 칸막이들(15)이 구획된 회전테이블(14)을 구비한다. 이들 칸막이들(15) 각각은 웨이퍼캐리어(16)를 구비한다. 회전테이블(14)은 3개의 회전가능한 위치에서 이동 또는 정지될 수 있다. 이 위치들 각각에 있어서, 웨이퍼캐리어들중의 하나는 이송부(8)의 로봇에 접근가능하다. 3개의 칸막이들(15)중 하나에 웨이퍼캐리어(16)가 로딩된 후, 회전테이블(14)은 1/3회전만큼 시계방향으로 회전된다. 막 충전된 웨이퍼캐리어는 본 명세서에서는 인양장치(17) 상부로 오븐 아래에 위치된다. 인양장치(17)에 의해서, 충전된 웨이퍼 캐리어는 웨이퍼들이 열처리되는 오븐 내로 끌어 올려진다. 처리가 완료되면, 웨이퍼캐리어는 다시 1/3회전을 하게 되는 회전테이블(14) 내로 아래쪽으로 이동된다. 한편, 뒤따르는 웨이퍼캐리어(16)가 다시 충전되어 인양장치(17)에 의해 오븐 내에 놓여질 수 있다. 방금 처리된 웨이퍼들은 잠시동안 냉각될 수 있다. 처리주기가 다시 완료된 후에, 회전테이블은 다시 1/3회전되고, 처리된 후 냉각된 웨이퍼들이 담겨진 웨이퍼캐리어(16)는 이송부(8)와 인접된 출발지점으로 복귀된다. 이어서, 처리된 웨이퍼들은 웨이퍼캐리어로부터 인출되어 예컨대 처리부(11)의 대기중인 웨이퍼캐리어나 또는 대기중인 카셋트(9)에 놓여진다. 처리부(11, 12)의 작동은 이 경우에 동일하다.
제1도에 명확하게 도시된 바와 같이, 공급부들(10, 11, 12)은 대체로 동일하다. 따라서, 전체 유니트(1)는 독립적으로 제조될 수 있는 다수의 작은 유니트들로부터 조립 된다.
또 다른 응용의 경우, 공급부(2)는 처리유니트들(10, 11, 12)중 2개 또는 1개와만 조합될 수 있다. 물론 또 다른 처리부들이 처리부들(10, 11, 12) 대신에 사용될 수 있다.
단면이 적어도 부분적으로는 정다각형인 둘레부를 가지는 별개의 연결가능한 유니트들로서 이 유니트들이 정다각형 둘레부의 측면의 위치에서 다른 유니트들에 연결되도록 함으로써, 원하는 장치에 따라 장치를 구성하는 자유도가 커질 수 있다.
더욱이 제1도에 도시된 바와 같이, 이송유니트(8)는 사각형 둘레부로 이루어지며 공급부와 처리부들(2, 10, 11, 12)은 각각 부분적으로는 각도가 135°이고 이송부(8)의 면과 길이가 동일한 면을 갖는 정8각형 둘레부를 가진다. 이에 따라 4개의 유니트들이 1개의 이송부(8)와 조합하여 연결될 수 있다.
그러나 본 발명에 따른 구조에 의하면 다른 조립체도 가능하다. 제2도는 예컨대 제1도에 단면으로 도시된 것에 대응하는 하나의 공급부(21)와 그리고 각각 처리부들(10, 11, 12)에 대응할 수 있는 2개의 처리부들(23, 32)로 구성되는 장치를 나타낸다. 또한 여기서 이송부(22)가 배치되는데, 이 이송부(22)는 상술한 바와 같이 카셋트(9)로부터 웨이퍼(13)를 인출하여 처리부의 웨이퍼 캐리어(27)에 옮겨 놓거나 또는 그 역과정을 할 수 있는 로봇(25)을 구비한다. 로봇(25)은 주지된 로봇일 수 있으며, 그 작동암이 하부카셋트 내의 제일 아래쪽 웨이퍼와 상부카셋트(9) 내의 제일 위쪽 웨이퍼 사이의 높이차이를 가교할 수 있도록 인양장치 위에 장착된다. 웨이퍼들의 이동은 제일 아래쪽으로부터 제일 위쪽 위치까지 연속적으로 발생하는바, 즉 웨이퍼들(13)은 제일 아래쪽 것으로부터 시작하여 제일 위쪽의 것까지 카셋트(9)나 웨이퍼캐리어(16, 27)들로부터 인출되며, 이들 웨이퍼들은 제일 위쪽으로부터 시작하여 제일 아래쪽까지 웨이퍼 캐리어나 카세트에 다시 되돌려지는 것이 통상적이다. 이렇게 하면 하부에 놓인 웨이퍼들 위에 파티를(particule)이 떨어질 가능성이 방지된다.
제2도에 명확히 도시된 것과 같이, 본 실시예에서는 각기 연결가능한 유니트들이 부분적으로는 정다각형 둘레부를 이루는 변들중 적어도 하나에 밀폐가능한 통로개구를 갖는 밀폐케이싱을 갖는다. 이 실시예에서, 처리부들(23, 32)은 각각 1개의 통로개구(26)를 가진다. 공급부(21)는 3개의 통로개구들(24)을 구비한다. 이 통로개구들은 안내부들(30)에 수용됨과 더불어 공압실린더(31)에 의해 상승 및 하강할 수 있도록 된 뚜껑(29)에 의해서 개방 또는 폐쇄될 수 있다. 팽창성 밀봉부재는 상기 안내부(30)에 합체되는 것이 바람직하다. 뚜껑(29)이 닫혀져 있는 경우에, 이 밀봉부재는 팽창되고 따라서 밀착밀봉접촉이 얻어진다. 뚜껑(29)이 이동되어야 할 때에는 팽창성 밀봉부재가 압력을 받지 않게 되어 뚜껑(29)으로부터 떨어지게 된다. 결과적으로, 뚜껑(29)이 개방될 때에는 미끄럼접촉되지 않거나 또는 최소한의 미끄럼 접촉만이 발생되어, 본 발명에 따른 장치의 주변환경에 특히 바람직스럽지 못한 파티클들이 형성될 위험이 크게 줄어들게 된다.
또한 제2도에 개략적으로 도시된 것은 회전테이블(28)내에 수용되는 웨이퍼 캐리어(27)이다.
제3도는 본 발명에 따른 장치를 위한 연결가능한 공급부의 다른 실시예를 도시한다. 이 공급부(35)는 단면에 있어서 전체적으로 동일변을 갖는 정8각형 형상이며, 제2도에 도시된 공급부(21)의 통로개구(24)와 유사하게 4개의 통로개구들(38)을 구비한다. 공급부(35)는 상호작용하는 유니트들과 모든 면들상에서 결합될 수 있도록 8개의 통로개구를 구비할 수도 있는데, 이에 의해 본 발명에 따라 원하는 장치에 유니트들을 조립함에 있어서 최대의 융통성이 얻어진다.
공급부(35)는 웨이퍼(13)용의 8개의 카셋트(9)가 3단중 각단에 놓여질 수 있는 회전테이블(36)을 또한 구비한다. 회전테이블(36)은 회전구동되며 공급부(35)의 밀폐된 칸막이내에 수용된 구동장치(37)를 사용하여 여러 다른 회전위치로 고정될 수 있다.
공급부(35)는 자체의 가스순환장치를 구비한다. 이 가스순환장치는 중앙에 배치된 원통형 필터(39)의 내부공간으로 인도되는 회전테이블(36)의 축(40)을 경유하는 중앙가스공급부를 구비한다. 상기 축(40)을 경유해서 공급되는 가스는 상기 필터(39)를 통과하여 수평방사방향으로 카세트(9)를 통해 층류로 흐른다. 가스는 외측둘레부 가까이에서 위쪽으로 흘러 공급부(35)의 상부에 배치된 유출구(41)를 지나 배출된다. 이와 같은 가스의 중앙공급부로 인하여 공급부(35)의 모든 변들이 다른 유니트들과 연결가능하다. 공지된 바와 같이 가스순환은 장치 내의 파티클 수준을 매우 낮게 유지하는데 필요하다.
제4도에 도시된 것은 앞서 설명한 웨이퍼(3)용의 처리부를 갖춘 유니트(10)이다. 통로개구(44)는 이 개구 앞쪽에 위치된 웨이퍼캐리어(16)에 접근을 허용한다. 상술한 바와 같이, 충전된 웨이퍼캐리어는 열처리를 위해 인양장치(17)를 사용하여 오븐(45) 내로 인양된다. 회전테이블(14)은 회전가능하게 처리부(10)에 장착되며 구동장치(46)에 의해 원하는 3개의 회전가능한 위치에 놓일 수 있다.
이 처리부(10)는 자체의 가스순환장치를 구비한다. 가스는 중심축(47)을 경유하여 가스공급부(48)로 공급된다. 각기 웨이퍼캐리어(16)를 포함하고 있는 3개의 칸막이(15) 사이의 중앙공간(51)으로 가스가 유입된다. 이러한 중앙공간 (51)을 갖춘 각 칸막이(15)의 분할벽은 필터부재(52)를 구비한다. 공급된 가스는 이 필터부재(52)를 통해 칸막이(15) 내부로 흘러 웨이퍼캐리어에 수용된 웨이퍼들 사이에서 층류유동을 이룬다. 필터(52) 반대쪽에 놓인 각 칸막이(15)의 벽면상에는 개구(53)가 회전테이블(14) 둘레부면에 밀착되게 형성되는데, 이 개구는 회전테이블(14)이 배치된 공간의 바닥부(49)에 형성된 개구(50)와 일치한다. 웨이퍼들(13) 사이로 흐르는 가스는 상기 개구(50)를 통해 회전테이블(14)의 하부공간으로 배출된다. 이 공간으로부터, 팬을 사용하여 가스가 축(47)을 매개로 가스공급부(48)까지 복귀된다. 원하지 않는 가스가 장치의 내부공간으로부터 분출되어야 하는 경우, 예컨대 산소가 질소로 대체되어야 하는 경우에는 순수한 질소가 가스공급부(48)에 공급되어 바람직하지 못한 가스가 충분히 낮은 값으로 감소할 때까지 배출개구(50, 53)를 통해 배출되는 가스혼합물이 본 장치로부터 제거된다. 이 때부터 팬유니트를 통한 배출이 공급부(48)에 연결되도록 재순환이 설정될 수 있다.
본 장치는 여러 연결된 유니트들로 조립될 수 있다. 상기 설명에 있어서, 수직형 오븐유니트가 처리부로서 상세히 묘사되고 있지만 본 발명은 처리부로서 오븐유니트를 갖춘 장치에 한정되는 것은 아니며, 다른 처리부들이 상기 방식으로 실현될 수 있고 본 발명에 따른 장치와 조합될 수 있다.
본 발명에 따른 장치는 서로 연결될 수 있는 유니트들에 의해 특정한 조립체로 형성될 수 있다. 특정장치부가 각 유니트의 많은 측면들에 연결될 수 있다. 따라서, 다수의 여러 처리들이 본 발명의 장치 내에서 연속적으로 수행될 수 있도록 예컨대 하나의 공급부에 다수의 처리부가 조합가능하다. 처리부들은 별개의 유니트들이므로, 이 처리부들을 임의로 조립하는 것이 가능하다.

Claims (10)

  1. 웨이퍼들을 구비한 카셋트용의 공급부와, 웨이퍼캐리어들과 처리수단들이 함께 배치된 적어도 하나의 처리부 및, 카셋트로부터 웨이퍼들을 인출하여 웨이퍼캐리어에 놓으며 처리후에는 웨이퍼들을 웨이퍼캐리어로부터 인출하여 카셋트에 놓기 위한 이송수단을 포함하는 이송부를 구비하고, 여기에서 상기 적어도 공급부와 처리부는 단면이 적어도 부분적으로는 정다각형 둘레부를 갖는 별개의 연결가능한 유니트들로 이루어지며, 이들 유니트들은 정다각형 둘레부의 변들의 위치에서 다른 유니트들과 연결되는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  2. 제1항에 있어서, 상기 각 연결가능한 유니트는 수평단면이 적어도 부분적으로는 정다각형 둘레부를 갖는 밀폐된 케이싱을 구비하고 정다각형 둘레부의 변들중 적어도 하나에는 밀폐가능한 통로개구가 구비된 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  3. 제1항 또는 제2항에 있어서, 상기 이송부는 4각형 둘레부를 가지며, 공급부와 처리부는 각각 부분적으로는 각도가 135° 인 정8각형 둘레부를 가지며 이송부의 변길이와 동일한 변길이를 가지면서 상기 이송부에 연결되는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  4. 제1항에 있어서, 상기 각 유니트는 자체 가스순환장치를 구비하는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  5. 제4항에 있어서, 상기 가스순환장치는 중앙가스확산수단과 둘레부 가까이에 있는 가스배출수단을 구비하며 그리고 웨이퍼들을 따라 가스확산수단으로부터 가스배출수단까지 가스를 층류로 안내할 수 있는 가스안내수단을 구비하는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  6. 제5항에 있어서, 상기 공급부는 다수의 웨이퍼카세트들을 지지하기 위한 회전테이블을 구비하고, 상기 가스안내수단은 회전테이블의 회전측에 동심상으로 배치된 관형상의 필터부재를 구비하며, 상기 가스확산수단은 관형상의 필터부재의 내부에 연결되는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  7. 제5항에 있어서, 상기 처리부들중 적어도 하나는 열처리부이고, 웨이퍼캐리어들은 회전테이블위에 배치되며 가스안내수단들은 각 웨이퍼캐리어와 평행하게 인접배치된 필터부재와 중앙확산수단으로부터 웨이퍼캐리어에서 멀리 떨어진 필터부재의 측면까지 가스를 안내하기 위한 안내 벽들을 구비하는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  8. 제7항에 있어서, 상기 웨이퍼캐리어 각각은 회전테이블의 격리된 칸막이내에 배치되는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  9. 제7항에 있어서, 상기 회전테이블은 3개의 웨이퍼캐리어들을 구비하고, 회전테이블을 3개의 회전위치로 이동 및 정지시키는 구동 및 정지수단이 구비되고, 상기 회전 위치들에 있는 웨이퍼캐리어들중 하나의 위치에 대응하는 위치 위에는 관형상의 오븐이 배치되며, 인양수단들이 웨이퍼캐리어를 회전테이블과 연관되는 오븐내로 인양하도록 된 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
  10. 제1항에 있어서, 상기 이송부는 인양장치위에 장착된 주지의 로봇유니트를 구비하는 것을 특징으로 하는 마이크로회로 웨이퍼들을 처리하기 위한 장치.
KR1019930003544A 1992-03-10 1993-03-10 마이크로회로 웨이퍼들을 처리하기 위한 장치 KR100278154B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL9200446A NL9200446A (nl) 1992-03-10 1992-03-10 Inrichting voor het behandelen van microschakeling-schijven (wafers).
NL9200446 1992-03-10

Publications (2)

Publication Number Publication Date
KR930020627A KR930020627A (ko) 1993-10-20
KR100278154B1 true KR100278154B1 (ko) 2001-02-01

Family

ID=19860542

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930003544A KR100278154B1 (ko) 1992-03-10 1993-03-10 마이크로회로 웨이퍼들을 처리하기 위한 장치

Country Status (9)

Country Link
US (1) US5407449A (ko)
EP (1) EP0560439B1 (ko)
JP (1) JP3408278B2 (ko)
KR (1) KR100278154B1 (ko)
AT (1) ATE175052T1 (ko)
DE (1) DE69322671T2 (ko)
NL (1) NL9200446A (ko)
SG (1) SG49224A1 (ko)
TW (1) TW228601B (ko)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447232B1 (en) 1994-04-28 2002-09-10 Semitool, Inc. Semiconductor wafer processing apparatus having improved wafer input/output handling system
US6833035B1 (en) 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5664337A (en) * 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5733024A (en) * 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5768125A (en) 1995-12-08 1998-06-16 Asm International N.V. Apparatus for transferring a substantially circular article
US6279724B1 (en) 1997-12-19 2001-08-28 Semitoll Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US5668452A (en) * 1996-05-09 1997-09-16 Vlsi Technology, Inc. Magnetic sensing robotics for automated semiconductor wafer processing systems
WO1998002910A1 (en) * 1996-07-15 1998-01-22 Semitool, Inc. Automated semiconductor processing systems
NL1005102C2 (nl) 1997-01-27 1998-07-29 Advanced Semiconductor Mat Inrichting voor het behandelen van halfgeleiderschijven.
USD410438S (en) * 1997-01-31 1999-06-01 Tokyo Electron Limited Heat retaining tube for use in a semiconductor wafer heat processing apparatus
USD406113S (en) * 1997-01-31 1999-02-23 Tokyo Electron Limited Processing tube for use in a semiconductor wafer heat processing apparatus
NL1005410C2 (nl) 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
USD405062S (en) * 1997-08-20 1999-02-02 Tokyo Electron Ltd. Processing tube for use in a semiconductor wafer heat processing apparatus
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6672358B2 (en) 1998-11-06 2004-01-06 Canon Kabushiki Kaisha Sample processing system
JP2000150836A (ja) * 1998-11-06 2000-05-30 Canon Inc 試料の処理システム
TW484184B (en) 1998-11-06 2002-04-21 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
US6616394B1 (en) 1998-12-30 2003-09-09 Silicon Valley Group Apparatus for processing wafers
NL1011487C2 (nl) * 1999-03-08 2000-09-18 Koninkl Philips Electronics Nv Werkwijze en inrichting voor het roteren van een wafer.
NL1013984C2 (nl) 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
US6420864B1 (en) * 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
JP2004524673A (ja) 2000-07-07 2004-08-12 セミトゥール・インコーポレイテッド 自動処理システム
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
KR100414469B1 (ko) * 2001-01-31 2004-01-07 박웅기 전자부품의 열처리장치
TW522127B (en) * 2001-02-21 2003-03-01 Daifuku Kk Cargo storage facility
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
KR100376963B1 (ko) * 2001-03-15 2003-03-26 주성엔지니어링(주) 배치방식 웨이퍼 이송장치
US6629813B2 (en) * 2001-04-18 2003-10-07 Taiwan Semiconductor Manufacturing Co., Ltd Microelectronic fabrication tool loading method providing enhanced microelectronic fabrication tool operating efficiency
JP3832295B2 (ja) * 2001-08-31 2006-10-11 株式会社ダイフク 荷取り扱い設備
US6573198B2 (en) 2001-10-10 2003-06-03 Asm International N.V. Earthquake protection for semiconductor processing equipment
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
US6835039B2 (en) * 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
DE10238165B3 (de) * 2002-08-15 2004-03-25 Hans-Heinz Helge Langgestrecktes Rolladenprofil aus Kunststoff oder Metall für Schwimmbadabdeckungen
US7256375B2 (en) * 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
US6860710B1 (en) * 2002-08-30 2005-03-01 Novellus Systems, Inc. Lifting mechanism for integrated circuit fabrication systems
JP2004297040A (ja) * 2003-03-12 2004-10-21 Seiko Epson Corp 移載装置、搬送装置及び移載方法
US7033126B2 (en) * 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
JP4667376B2 (ja) * 2003-07-02 2011-04-13 クック インコーポレイテッド 小ゲージ針カテーテル挿入器具
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6883250B1 (en) * 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
KR100972255B1 (ko) * 2005-08-05 2010-07-23 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드 아시아 반도체 공작물 처리 시스템 및 처리 방법
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
DE102007058457B4 (de) 2006-12-08 2018-06-07 Cascade Microtech, Inc. Anordnung und Verfahren zur Testung von Halbleitersubstraten unter definierter Atmosphäre
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US9059223B2 (en) * 2007-12-12 2015-06-16 Intermolecular, Inc. Modular flow cell and adjustment system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP4665037B2 (ja) * 2009-02-06 2011-04-06 東京エレクトロン株式会社 基板処理システム
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102010048909A1 (de) * 2010-10-11 2012-04-12 Ekra Automatisierungssysteme Gmbh Prozessmaschine, insbesondere zum Bearbeiten und/oder Inspizieren von Substraten
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9048271B2 (en) 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9991139B2 (en) 2012-12-03 2018-06-05 Asm Ip Holding B.V. Modular vertical furnace processing system
JP5557170B2 (ja) * 2013-01-23 2014-07-23 株式会社ニコン ウエハ張り合わせ装置及びウエハ張り合わせ方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) * 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10858738B2 (en) * 2018-03-29 2020-12-08 Asm International N.V. Wafer boat cooldown device
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) * 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) * 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11915960B2 (en) * 2019-07-31 2024-02-27 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4715764A (en) * 1986-04-28 1987-12-29 Varian Associates, Inc. Gate valve for wafer processing system
US4926793A (en) * 1986-12-15 1990-05-22 Shin-Etsu Handotai Co., Ltd. Method of forming thin film and apparatus therefor
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
DE3827343A1 (de) * 1988-08-12 1990-02-15 Leybold Ag Vorrichtung nach dem karussel-prinzip zum beschichten von substraten
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US4923584A (en) * 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2683933B2 (ja) * 1989-01-20 1997-12-03 信越半導体株式会社 半導体ウエーハの表裏および方位判定検査装置
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법

Also Published As

Publication number Publication date
JPH0621197A (ja) 1994-01-28
JP3408278B2 (ja) 2003-05-19
TW228601B (ko) 1994-08-21
ATE175052T1 (de) 1999-01-15
EP0560439A1 (en) 1993-09-15
DE69322671T2 (de) 1999-06-17
KR930020627A (ko) 1993-10-20
US5407449A (en) 1995-04-18
NL9200446A (nl) 1993-10-01
SG49224A1 (en) 1998-05-18
EP0560439B1 (en) 1998-12-23
DE69322671D1 (de) 1999-02-04

Similar Documents

Publication Publication Date Title
KR100278154B1 (ko) 마이크로회로 웨이퍼들을 처리하기 위한 장치
EP1303729B1 (en) Item storage and retrieval system
KR100340235B1 (ko) 처리 장치
EP1213746B1 (en) Single wafer type substrate cleaning method and apparatus
KR100406337B1 (ko) 기판이송및처리시스템
US5679059A (en) Polishing aparatus and method
US6036582A (en) Polishing apparatus
KR100497299B1 (ko) 기판처리장치
JP3225344B2 (ja) 処理装置
KR101989204B1 (ko) 웨이퍼 형상 물체를 프로세싱하는 디바이스 및 방법
JP2003282514A (ja) 基板処理装置及び基板処理方法
JP7337037B2 (ja) 基板処理装置
JPH09320915A (ja) 処理装置
JP3638393B2 (ja) 基板処理装置
KR102143914B1 (ko) 세정 지그 및 기판 처리 장치
JPH04233747A (ja) キャリアストッカ
KR101817213B1 (ko) 기판 처리 장치
JPS62172127A (ja) 清浄保管装置
KR102108312B1 (ko) 기판 처리 장치 및 방법
JP2007027780A (ja) 基板処理装置
KR101914479B1 (ko) 기판 처리 장치 및 방법
JPH10247676A (ja) 基板処理装置
JP2002246292A (ja) 液処理方法及び液処理装置
TW202036753A (zh) 用於處理基材之基材處理設備
JPS63134180A (ja) クリ−ンル−ム用ストツカ−

Legal Events

Date Code Title Description
N231 Notification of change of applicant
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20091007

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee