JPS63252439A - 多チャンバの統合処理システム - Google Patents

多チャンバの統合処理システム

Info

Publication number
JPS63252439A
JPS63252439A JP62321180A JP32118087A JPS63252439A JP S63252439 A JPS63252439 A JP S63252439A JP 62321180 A JP62321180 A JP 62321180A JP 32118087 A JP32118087 A JP 32118087A JP S63252439 A JPS63252439 A JP S63252439A
Authority
JP
Japan
Prior art keywords
wafer
blade
chamber
lock chamber
load lock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP62321180A
Other languages
English (en)
Other versions
JPH0322057B2 (ja
Inventor
メイダン ダン
サッソン サメク
ディヴィッド ニン クー ワン
チャン ディヴィッド
マサト トシマ
アイザック ハラリ
ピーター ディー ホップ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPS63252439A publication Critical patent/JPS63252439A/ja
Publication of JPH0322057B2 publication Critical patent/JPH0322057B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Threshing Machine Elements (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 産業上の利用分野 本発明は、共通の装填ロック・ウェハ交換ロボットと、
絶縁体層、半導体層及び導体層の付着及び/又は乾式エ
ツチングのような種々の処理段階を順次に及び同時に実
行するのに適した多数の処理チャンバとを備えた多チャ
ンバ式のシリコンウェハVLS I処理システムに関す
る。又、本発明は、システムが閉じていて真空状態にあ
る間に種々の処理チャンバ間で半導体ウェハを移送する
ことにより多数の統合的な処理段階を連続的なシーケン
スとして実行するための装置にも係る。
従来の技術 現在、典型的に利用できるVLSI処理炉システムは、
プラズマエツチング又は化学蒸着のような単一形式の処
理のみにチャンバを使用するような単一チャンバのバッ
チ式システムである。これらの処理専用のバッチ式の炉
チャンバは、例えば、シリコンや二酸化シリコンや他の
絶縁材の化学的蒸着又はそのような層のエツチングとい
った単一処理段階に対して高い処理容量を発揮するよう
に設計されている。
我々の知る限り、とりわけ2つ以上の処理段階を実行す
ることのできるシステムは非常に僅かしか利用できない
。幾つかある例外の1つがメイダン側aydan)氏等
の名前で1985年12月30日に出願された「マグネ
トロン促進式のプラズマエツチングプロセス(阿agn
etron −EnhancedPlasma Etc
hingProcess)Jと題する米国特許出願筒8
14,638号に開示されたマグネトロン促進式のガス
化学プラズマ炉である。メイダン氏等の特許出願に開示
されたマグネトロンRIEモードプラズマエツチング炉
は、フオスタ(Foster)氏等の名前で1984年
10月25日に出願された「マグネトロン促進プラズマ
助成式の化学蒸着を行なう装置及び方法(Appara
tus and Methodfor Magnetr
on−Enhanced Plasma −Assis
tedChemical Vapor Deposit
ion)Jと題する米国特許出願筒664,657号に
開示されたプラズマシステムの変形である。特に、フオ
スタ氏等の特許出願には、半導体ウェハ上に層をとりわ
け順次に又は同時に付着したりエツチングしたりするこ
とが開示されている。メイダン氏及びフオスタ氏の特許
出願は、参考としてここに開示する。
第2に、単一チャンバのエツチングシステムとしては、
前又は後処理のために使用される関連真空装填ロック機
構を有するものが利用できる。
第3に、セミコンダクタ・インターナショナル・マガジ
ンの1985年10月版の第48ないし60頁に掲載さ
れた「乾式エツチングシステム:大型ウェハの連結(D
ry Etching Systems:Gearin
gup for Larger l1afars)Jと
題する論文には、共通の装填ロック機構を用いてウェハ
を個々のエツチングチャンバに転送する4チヤンバの乾
式エツチングシステムが概略的に示されている。
発明の構成 上記の現状に鑑み、本発明の1つの目的は。
システムがその雰囲気に対して閉じられている間に別々
のプロセスを別々のウェハ上で同時に実行したり及び/
又は同じウェハ上で順次に実行したりすることのできる
コンパクトな多処理チャンバシステムを提供することで
ある。
本発明の更に別の関連した目的は、統合的な処理能力を
有する多チャンバの半導体処理システムを提供すること
である。即ち、プラズマエツチングや、CVD付着や、
物理的なスパッタリングや、急速な熱アニーリングとい
った別々の種々の形式のプロセスを伴う多数の別々の処
理段階を、システムが真空状態に閉じられている間に、
1つ以上のウェハに対して同時に又は順次に実行するこ
とができる。
本発明の更に別の目的は、上記の利点を有しているのに
加えて、関連する装填ロックチャンバにおいて前又は後
処理調整段階を実行する機能を有した半導体集積回路ウ
ェハの処理システムを提供することである。
本発明の更に別の目的は、上記利点及び特徴を有するの
に加えて、簡単な2軸(R−θ)ロボット装填ロックウ
ェハ交換システムと、1軸のロボットウェハ交換システ
ムを個々のチャンバ内に組み込んだVLSI半導体ウェ
ハ処理システムを提供することである。これらのロボッ
トシステムは、互いに協働して、粒子の発生量が本来少
なく且つウェハから摩擦面が分離されるという付加的な
特徴を有する全体的に非常にコンパクトで比較的簡単な
ウェハ取扱システムを提供する。
上記の目的を達成する本発明は、その1つの特徴におい
て、真空装填ロックチャンバを備えた統合的な真空処理
システムであって、上記真空装填ロックチャンバの外部
に少なくとも1つそして好ましくは複数又は多数の真空
処理チャンバが取り付けられていて、該処理チャンバが
これら装填ロックチャンバ及び処理チャンバに設けられ
た選択的に閉じることのできるスリットを介して装填ロ
ックチャンバの内部に連通ずるように構成された真空処
理システムによって実施される。各々の処理チャンバは
、例えば、チャンバ内に配置された1つ以上のウェハに
対するガス化学エツチング、ガス化学付着、物理的なス
パッタリング及び急速な熱アニーリングから選択された
1つ以上のプロセスを実行できるようにされる。各々の
処理チャンバは、処理チャンバの開口付近の選択された
内部位置から内部のウェハ支持体ヘウェハを可逆に移送
するためのロボット手段を備えている。装填ロックチャ
ンバは、ウェハを装填ロックチャンバの入口付近に位置
設定するための第1の外部ウェハエレベータと、ウェハ
を入口付近の内部位置へ移動するための第2の内部エレ
ベータとを組み込んでいる。装填ロックチャンバ内には
R−θウェハ取扱ロボットが取り付けられており、この
ロボットは、水平のウェハ保持ブレードと、このブレー
ドを取り付ける二重の4バーリンク機構とを備えている
のが好ましい。同心的な駆動シャフトがこの4バーリン
ク機構を介してブレードを回転させて、ブレードを処理
チャンバ及びエレベータに選択的に位置設定すると共に
、4バーリンク機構を介してブレードを延ばしたり引っ
込めたりしてブレードをエレベータ及び処理チャンバ内
の選択された内部位置に位置設定して、ウェハの装填及
び取外しを行なう。
別の特徴において、上記処理チャンバの少なくとも1つ
は、ウェハ支持電極を備えている。処理チャンバのロボ
ット手段は、一群の垂直に向けられたピンと、これらの
ピンを円形の配列に取り付ける支持手段と、選択された
内部位置においてブレードからウェハを取り外してウェ
ハを支持電極へ移送したりそして選択された内部位置に
おいてブレードにウェハを戻したりするように支持手段
を垂直に移動する手段とを備えている。このロボットは
、ウェハを支持電極にクランプするためにウェハ支持ピ
ン上で支持リングに取り付けられたウェハクランプリン
グを備えている。
更に別の特徴において、少なくとも1つの処理チャンバ
のロボットは、ウェハを保持するためのフィンガの第1
の一般的に円形の水平配列体と、これら第1フィンガの
間に挟まれるようにされて一般的に円形のサセプタを水
平の向きに保持するためのフィンガの第2の一般的に円
形の水平配列体と、上記第1フィンガを取り付けていて
、これら第1フィンガを(a)上方に移動してウェハを
ブレードから持ち上げ第2フィンガを処理位置へ持ち上
げ移動する準備を行なうと共に(b)下方に移動してウ
ェハを選択された内部位置及び装填ロックブレードに戻
すための第1エレベータと、上記第2フィンガを取り付
けていて、これら第2フィンガを(Q)上記第1フィン
ガを通り越して上方に移動してそこからウェハをサセプ
タ及び処理位置に向かって持ち上げると共に(d)下方
に移動して処理済みのウェハを第1フィンガにのせ、第
1フィンガによって上記選択された内部位置及び装填ロ
ックブレードに戻す準備を行なうための第2の垂直に移
動できるエレベータ機構とを備えている。
上記した第1のシステムと本質的に同じであるが外部の
カセットエレベータをもたない第2の統合的な真空処理
システムを、上記第1のシステムにおいて、1つ、多数
又は全ての処理チャンバ取付位置に取り付けて、処理容
量及びスループットを増加させることができる。
上記の装填ロックチャンバは、ウェハを処理及び調整す
るのに用いることができ、ウェハ位置の上部グループと
下部グループとの中間にプレートを備えて、上部グルー
プを装填ロックチャンバ内にシールすると共に、上部グ
ループの取扱又は処理中に下部グループを処理チャンバ
から分離することができる。
実施例 本発明の上記及び他の特徴及び効果を、添付図面を参照
して以下に詳細に説明する。
第1図は、本発明の多チャンバ統合処理システム10の
好ましい実施例の上面図である。第2図は、このシステ
ム10の縦断面図である。
第1図及び第2図を参照すれば、本発明の多チャンバ統
合処理システム10(「多チャンバシステムjとも称す
る)は、包囲された一般に五角形のメインフレーム即ち
ハウジング12を含んでいる。このハウジング12は、
包囲された真空装填ロックエンクロージャ即ちチャンバ
14を形成する5つの側壁13−13を備えている。
ここに示す例ではチャンバ16.18.20及び22で
ある多数の真空処理炉(即ちチャンバ)が、装填ロック
ハウジングの関連する側壁に各々1つづつ取り付けられ
ている。
外部のカセットエレベータ24は、ここに示す例ではカ
セット26及び28である多数のカセットを、ウェハ1
5−15が水平になるように垂直位置に保持する。この
外部力セントエレベータ組立体24は、第1の水平ベー
スプレート30を含んでおり、このベースプレート30
は、矢印29(第1図)で示すように水平方向に往復イ
ンデックス移動して各カセットを装填ロックチャンバの
入ロスリット即ち開口36に真向いに整列させるように
選択的に位置設定するようガイドシャフト32及び34
に取り付けられている。例えば、ベースプレート30は
、コンピュータ70によって制御される2位置の空気シ
リンダ作動のベルクランクに取り付けられてこれによっ
て駆動される。
又、カセットエレベータ24は、インデックスシステム
40によって矢印31(第2図)で示すように垂直方向
に往復インデックス移動されてカセット内の各ウェハを
装填ロックスリット36に隣接するように選択的に配置
する。垂直カセットインデックスシステム40は、リー
ドネジ42を含み、これは、ベースプレート30に取り
付けられた駆動ナツト48を変位させるようにモータ4
4で駆動されるギアセット46によって回転される。
処理チャンバ16−22と、それに関連するメインフレ
ーム壁13−13も、装填ロックスリット36と類似或
いは同一の連通スリット36−36を備えている。これ
らのアクセススリット36をシールするためにドア即ち
スリットバルブ38が設けられている。第1図を参照す
れば、各ドア36は、37に枢着されており、例えば、
コンピュータ70により制御される空気シリンダ69に
よって開けたり閉じたりすることができる。換言すれば
、シリンダ69への空気の供給を制御するための電気駆
動バルブ等の手段は、コンピュータ70によって制御さ
れる。
装填ロックチャンバは、内部の保管エレベータ組立体5
0を備えており、このエレベータ組立体50は、ここに
示す例では8つまでである多数のウェハ15−15を水
平支持プレート54に保持する。このエレベータ50は
、後述するように装填ロックロボットブレード組立体8
4によってウェハの装填及び取外しを行なうためにウェ
ハを垂直位置56に配置するようにウェハを垂直にイン
デックスさせる。
典型的に、内部の保管エレベータカセット組立体50は
、ベースプレート58とスロット付きの垂直フロントプ
レート59とを備えており、この垂直フロントプレート
59には水平のウェハ支持プレート54−54が取り付
けられている。ここに示す実施例において、1対のガイ
ドシャフト60−60と駆動シャフト61は、エレベー
タ組立体50を案内して移動させるように、ベースプレ
ート58から装填ロックチャンバの底壁62を通じてシ
ール63−63を経て下方に延びることができる。エレ
ベータ組立体50は、垂直インデックスシステム64に
よって上下させることができ、このシステム64は、垂
直シャフト61に取り付けられている駆動ナツト69を
変位させるためにモータ66で駆動されるギアセット6
8によって回転されるリードネジ65を有する。エレベ
ータの垂直駆動モータ66とカセットの水平駆動モータ
44(好ましくはステップモータ)の動作は、通常の制
御器、小型の汎用コンピュータ或いはパーソナルコンピ
ュータ70によって制御される。
ロボット式ウェハ移送システム80は、ウェハ15−1
5を外部エレベータ24と内部エレベータ50との間と
、内部エレベータ50と各処理チャンバ16−22との
間と、各処理チャンバ16−22間とに移送するために
、装填ロックチャシバ12内に取り付けられている。ロ
ボット80は、同心シャフト回転駆動システム82を含
んでおり、この駆動システム82は、二重の4バーリン
ク機構86を通じて、ブレード組立体64へ可逆的なR
−θ運動を与えて、カセット−エレベータ、エレベータ
−チャンバ及びチャンバーチャンバの希望のウェハの移
送を行う。R運動(直線的に延ばしたり引っ込めたりす
る)は、第1図の矢印72によって示され、一方、枢軸
的なθ運動は、矢印74によって示されている。
ロボット80 第2図を更に参照すれば、ロボット組立体80は、装填
ロックハウジング12の底壁90に取り付けられた取外
し可能なベースプレート88を含んでいる。O−リング
92は、2つの結合された部材をシールする。固定の中
空外部シャフト94は、ベースプレート88に取り付け
られている。
中空の中間シャフト96は、ジャーナル軸受97−97
によって外部シャフト94内で回転するように取り付け
られている。内部シャフト98は、ジャーナル軸受99
−99によって中間シャフト96内に取り付けられてい
る。同心的な中間シャフト96と内部シャフト98は、
典型的に、それぞれ、ステップモータ制御ケーブル機構
100とドラム駆動機構102とによって、別々に回転
される。ステップモータ150及び152の動作は、制
御器/コンピュータ70によって制御される。
後述するように、内部シャフト98と外部シャフト96
の回転は、二重の4バーリンク機構86によりロボット
ブレード組立体84の各々正確なR運動と0運動とに変
換される。
駆動機構100は、ドラム101を含んでおり、このド
ラム101は、中間シャフト96に固定関係で結合され
、ケーブル103によって回転される。このケーブル1
03は、ドラム105に取り付けられ、このドラム10
5に巻き付けられたりそこから解かれたりする。このド
ラム105は、モータ150で駆動されるベルト/プー
リシステム107によって回転される。ドラム105と
ベルト/プーリシステム107とモータ150は、支持
プレート109に取り付けられており。
この支持プレート109は、固定の外部シャフト94に
取り付けられている。このように構成した結果、モータ
150の回転は、ケーブル103によって、ディスク1
01と中間シャフト96の回転に変換される。
同様に、駆動機構102はディスク又はドラム111を
含んでおり、このドラム111は、内部シャフト98に
結合されていてケーブル113によって回転される。ケ
ーブル113は、ドラム115に取り付けられており、
このドラム115は、モータ152で駆動されるベルト
/プーリシステム117によって回転される。ドラム1
15と、駆動ベルト/プーリシステム117と駆動モー
タ152は、支持プレート組立体119に取り付けられ
ており、このプレート組立体119自体は部材121に
取り付けられているか或いはこの部材121の一部分で
ある。そして、部材121は、ディスク又はドラム10
1に取り付けられるか或いはその一部分である。上記し
たように、ディスク101は、中間シャフト96に取り
付けられており、この中間シャフト96を回転させる。
これにより、駆動システム100がそこに接続されたデ
ィスク101を回転させることによって中間シャフト9
6を回転させたときには、ディスク101は、駆動シス
テム102をも回転させ、それによって、中間シャフト
96に対するシャフト98の角度位置を維持する。
第1図と第3図を参照すれば、ブレード組立体84は、
アーム104を含むと共に、そのアームに取り付けられ
た交換可能な金属ブレード即ち端部エフェクタ106も
含んでおり、この端部エフェクタ106は、所与の寸法
のウェハ15を受は入れるための円形のポケット108
を備えている。異なる寸法の各ポケット106を備えた
交換可能なブレード106は、異なる寸法のウェハを保
持するために使用することができる。ブレード106は
、外端部の近くに穴110−110を備えている。これ
らの穴は、中空内部シャフト98(第2図)を通じた真
空ライン112によって真空ポンプ(図示せず)に接続
されている。これにより、ブレード106は、真空ピッ
クとして作用することができ、この場合、ウェハは、外
部大気圧環境においてブレードの端部でカセット26及
び28から取り上げられるか或いはカセットに配置され
る。
或いは又、ポケット108は、装填ロックの真空雰囲気
において内部装填ロックエレベータ50と処理チャンバ
16−22との間又は処理チャンバ間でウェハを移送す
る間にウェハを保持するのに使用できる。簡単に言えば
、ブレードは、大気圧或いは真空中においてウェハを取
り上げることができ、更に、高温ウェハを取り上げるこ
とができる。
第3図を更に参照すれば、容量性センサ114−114
は、真空中の取り上げ端上或いはポケット108内にお
けるウェハ15の有無を感知するのに用いるために、真
空穴110−110のすぐ後ろでブレード106の前端
に取り付けられている。センサ用の電気リード線115
は、内部シャフト98を通じてコンピュータ70に接続
することができ、ここで、センサ114−114からの
出力信号を用いて、ブレード上のウェハの有無が判断さ
れる。更に、複数(3つ)のLED光学センサ121の
出力は、ウェハの装填ロックチャンバへの挿入を検出す
るために、リード線115と同一の経路に沿ってコンピ
ュータへ接続することができる。
二重の4バーリンク機構84は、第1の4バーリンク1
15を含んでいる。このリンク115自体は、第1及び
第2の平行アーム116及び117を有しており、これ
らのアームは、接続リンク/ポケット118に沿って間
隙をあけた点に枢着される。これらのアームの第2の端
部は、第2の接続リンク119に沿って間隙をあけた点
に取り付けられている。
第2の4バーリンク125は、第1及び第2のアーム1
26及び127を含んでおり、これらのアームは、それ
ぞれ、アーム116及び117と共通に、リンク119
に枢着されている。アーム126は、その第2の端部に
おいてピボットビン130を通じてブラケット129に
取り付けられている。ブラケット129自体は、ネジ1
32−132によってディスク即ちカラー134にしっ
かりと取り付けられ、このカラー134は、中間シャフ
ト96の上端に取り付けられている。アーム127は、
ネジ136−136によって内部シャフト98の上端に
しっかりと取り付けられており、このシャフト98は、
カラー134を通じて延びる。
共通リンク119を用いたこの共働取付けにより、内部
シャフト98の可逆的な回転が、駆動アーム127を回
転させ、それによってシャフトの回転をリンク118及
びアーム組立体84の両方向移動に変換する。リンク1
19上のピボット点120及び122と、リンク129
上のピボット点138及び140と、リンク118上の
ピボット点との相対位置を固定した結果、2つの4バー
リンク115及び125が、回転中にそれらのリンクの
平行四辺形彫状を維持する。従って、リンク118とブ
レード組立体84の移動は、リンク118及びリンク1
29に関連するピボット点を通る軸136に(沿って)
平行となる。
第4図、第5図及び第6図は、シャフト98と駆動アー
ム127の回転中の4バーリンク115及び125の回
転を示すものである。最初は。
第4図に示すように、リンク組立体115及び125は
、外部カセット26又は28からウェハを取り上げるか
或いはそこにウェハを配置するようにブレード106の
真空取り上げ端を位置設定するために完全に延ばされて
いる。
第5図を参照すれば、内部シャフト98と駆動アーム1
27の回転により、リンク118が装填ロックチャンバ
19へ向かって内側に動かされ、それにより、内部エレ
ベータ52からウェハを取り上げたり、その内部エレベ
ータにウェハを配置したりするようにブレード106を
内部エレベータ52上の位置へ引っ込める(R運n)。
更に回転すると、第6図に示すように、4バーリンク組
立体115は組立体125上を横切り。
υンク118とブレード106とが完全に引っ込められ
てブレードがフランジ134上へ至り、非常にコンパク
トな形状をとるようにする。このような引っ込められた
コンパクトな形状においては、ブレード組立体84と4
バーリンク115は最小限の領域しか占有せず、それに
より、回転中に装填ロックチャンバの比較的小さい領域
を横切るだけである。経路74に沿った望ましい回転(
θ運動)は、中間シャフト96とフランジ134とを回
転させることによって行われる。この回転により、ピボ
ット点138と4バーリンク機構86が、内部シャフト
軸140(第2図)の周りを回転する。
ロボット80のR−θ運動により、ブレード106は、
スリットバルブ30を通じて選択された処理チャンバ(
例えば、第11図参照)に挿入されて、そのチャンバ内
のウェハ支持サセプタ即ち電極上に配置される。チャン
バ内で、好ましくは、共働する1軸の両方向垂直移動ロ
ボットがウェハをブレード106から持ち上げ、そのウ
ェハを処理のために関連する電極即ちサセプタに移送し
、そして、処理後に、そのウェハを再挿入されたブレー
ド106へ戻す。ジョン・A・アダミック(John 
A、 Adamik)氏、ケネスーS−コリンズ(Ke
nneth S、 Co1L1ns)氏、イリャ・ベル
ロフ(Ilya Perlov)氏、サルーp−ユーモ
トイ(Sal P。
IJmotoy)氏、シシー・ルン(Cissy Le
ung)氏、ジョン・M−ホワイト(John M、 
White)氏、ディピッド・N−に・ワン(Davi
d N、 K、 Vang)氏、ダン・メイダン(Da
n Maydan)氏及びカム参ロー(Kam Laり
氏の名前で現在出願されている「熱CVD/PECVD
炉と、二酸化シリコンの熱蒸着及びその場での多段階平
面化プロセスへのその使用(ThermalCVD/P
ECVD Reactor and Use for 
Thermal VaporDeposition o
f 5ilicon Deoxide and In−
5ituMulIn−5itu Planarized
 Process) Jと題する出願中の共通に譲渡さ
れた米国特許出願第号(A−44976)では、熱化学
蒸着(CVD)と、プラズマ促進式化学蒸着(PECV
D)と、プラズマエッチバックと、炉の自己清掃と、ス
パッタリングフィルムの地形変更に採用される化学的蒸
着/プラズマ促進式化学蒸着炉システム(「基準CVD
炉jとも称する)について述べている。この参考のCV
D炉は、このような炉において上記の内部ウェハ移送を
行うために独自に適応された1軸の両方向ロボットシス
テムを有している。更に、ダナ・L・アンドリューズ(
Dana L、 Andrews)氏、ディピッド・チ
ェノ(David Cheng)氏、ダン・メイダン(
Dan Maydan)氏、サソン・ソメク(Sass
on Somekh)氏、ケネス・R−スタルダー(K
anneth R* 5taider)氏、ジョン・阿
・ホワイト(John M、 White)氏、シェリ
ー−Y−ウォン(Jerry Y、 Wang)氏、ウ
ラシミールーJ−ゼイトリン(Vladimir J、
 Zeitlin)氏及びディピッド・N−に−ウォン
(David N、 K、 Vang)氏の名前で現在
出願されている[磁界促進式プラズマエツチング炉(M
agnetic Field −Enhanced P
lasma EtchReactor) Jと題する出
願中の共通に譲渡された米国特許出願第      号
(A−44976)では、エツチング炉のために独自に
設計された単一軸ロボットを有する多処理エツチング炉
について述べている。上で参照したCVD炉に関する特
許出願とエツチング炉に関する特許出願は1両方共、参
照としてここに取り上げる。
ロボット80の一作 ロボット80の全動作シーケンスを示す1つの例として
、第7図ないし第11図は、ウェハ15(第7図)を外
部カセットエレベータ24から内部エレベータ50へ移
動させ、次いで処理チャンバ20(第11図)へ移動さ
せる際のロボットのR−θ運動を示している。
最初に、第3図にも示すように、シャフト98による駆
動アーム127の回転により、ブレード106がカセッ
ト28へ延ばされ、次いで、このカセット28の下方の
インデックス動作によってウェハ15がブレードの真空
取り上げ端にのせられる。
第8図に示すように、シャフト98と駆動アーム127
(第2図)の逆方向の回転によって、ブレード組立体8
4が装填ロックチャンバに引っ込められ、ブレード10
6が内部エレベータ52に位置設定される。そこで、エ
レベータ52の上方へのインデックス動作により、ウェ
ハ15は、のエレベータ支持プレート54−54の協働
する離間対の1つにのせられる。
ブレード組立体84は、次いで、内部エレベータ50を
越えるように更に引っ込めら、即ち、このエレベータ5
0は、ブレードを延ばすための間隙を設けるように下方
にインデックスされ(第2図参照)、エレベータ24は
、ブレード106によって取外しを行うために次に選択
されたウェハを位置設定するようにインデックスされる
。次いで、ブレード106はカセット28まで延ばされ
て上記の取外しサイクルの繰返しが開始される。
取外し/装填サイクルは、内部装填ロックエレベータ5
0が装填されるまで繰り返される。
次に、装填ロックスリットバルブ36がドア38によっ
て閉じられ、装填ロックチャンバ14と処理チャンバが
コンピュータ70の制御のもとで真空にされる。エレベ
ータ50は、選択されたウェハを取外すための位置設定
を行うようにインデックスされ、アーム組立体84は、
第8図に示す位置まで延ばされて、ブレード106をエ
レベータ50に位hffiff定し、エレベータの下方
への短いインデックス動作により選択されたウェハ15
をブレードに配置する。駆動アーム127は、次いで、
内部シャフト98によって回転され、ブレード組立体8
4を9矢印72で示すように、第9図に示す完全に引っ
込められた位置へ移動させる。
中間のシャフト96とフランジ134(第2図)の回転
により、ブレード組立体84が第10図の矢印74の方
向に反時計回りに回転されて、ブレード106が、ここ
ではチャンバ2oである選択されたチャンバに挿入され
るように配置される。
次いで、第11図に示すように、駆動アーム127が内
部シャフト98によって回転されて、ブレード106と
その上のウェハ15を処理チャンバ20へと延ばし、こ
れにより、チャンバのウェハ交換ロボットは、ウェハ1
5をブレード1゜6から取外してチャンバ支持体即ち軸
受l\と取り外すことができる。
外部エレベータ24と、内部エレベータ50と、参照番
号20等の処理チャンバとの間のウェハ移送シーケンス
が説明のために示されているが、明らかなように、コン
ピュータ70は、ウェハ15−15をチャンバ16−2
2から取外して装填ロックエレベータ50に配置し、エ
レベータ50内のウェハを取外してカセット26又は2
8に配置し、そして、ウェハを参照番号20等の1つの
処理チャンバから別の処理チャンバ18.20又は22
へ移送して別の処理ステップを実行するようにプログラ
ムされている。
例示・な処理チャンバロボットの動 前述したように、上記の装填ロックチャンバロボット8
0は、各チャンバ16−22内の専用のロボットと共働
するのが好ましい。装填ロックチャンバロボット80は
、ウェハ15−15を。
処理チャンバロボットへ移送するためにチャンバ16−
22内の関連するウェハ支持電極即ちサセプタ上の選択
された移送点に配置し、次いで、処理されたウェハ15
を、チャンバから取外すために好ましくは同一の移送点
において処理チャンバロボットから回収する。
1、CVD/PECVD 第12図ないし第16図は、基準CVD炉に関する特許
出願に使用されている1つの適当な処理チャンバロボッ
トウェハ移送機構140の動作を示すものである。例示
的なCVD炉チャンバは。
典型的にアルミニウム製である円形ハウジング142(
「チャンバjとも称する)を含んでおり、このハウジン
グ142は、処理領域/プラズマ処理領域146(第1
4図)を有する内部真空チャンバ144を形成する。こ
のCVD炉は、ウェハ保持サセプタ148も含んでいる
。処理/パージガスマニホルド150は、処理ガスと付
着ガスをチャンバ144に供給する。RF電源/マツチ
ング回路網151(第12図)は、(PECVD動作用
として)導入ガスから処理ガスプラズマを発生してそれ
を維持するために使用され、円形の近赤外線ランプ加熱
システム(図示せず)がサセプタ148の下に取り付け
られていて、サセプタ148とその上に配置されたウェ
ハ15を加熱し、処理ガスからウェハ15への付着を行
う(熱CvDとPECVDの動作中に)、好ましくは、
周波数13.56MHzの高周波RF電力が使用される
が、低い周波数も使用されている。
第12図を更に参照すれば、専用のウェハ移送システム
140は、複数の放射状に延びるウェハ支持フィンガ1
52−152を含んでおり、このフィンガ152−15
2は、サセプタ148の周りに間隔をおいてこれと整列
されている。これらのフィンガは、半円形の取付バー即
ちブラケット154に取り付けられている。同様に、放
射状に延びるサセプタ支持フィンガ156−156の配
列体は、サセプタ148の回りに離間されていて、ウェ
ハ支持フィンガ152−152の間に挟まれるようにさ
れ、バー154のちょうど内側に配置された半円形のバ
ー158に取り付けられている。隣接するフィンガ15
2−152間及び隣接するフィンガ156−156間の
間隔が狭いので、第12回ないし第16図の断面図には
1つのフィンガ152と1.つのフィンガ156しか示
されていない。弧状の取付バー154及び158は。
例えば、コンピュータ70の制御のもとで適当なギア駆
動機構又は空気シリンダを通じてステップモータによっ
て駆動される簡単な垂直移動シャフト等の標準的な垂直
移動エレベータ組立体(図示せず)にハウジング154
内において取り付けられる。
第12図を更に参照すれば、運転時には、外部装填ロッ
クブレード106(処理すべきウェハがその上に支持さ
れた)は、開口36を通じてチャンバ144に挿入され
、サセプタ148上の位置に配置される。この開始位置
において、ウェハフィンガ152−152がサセプタ1
48とブレード106との間に配置される。
次いで、第13図に示すように、ウェハエレベータ機構
がコンピュータ70によって駆動され、ウェハ15を取
り上げるためにウェハ支持フィンガ152−152が装
填ロックブレードの上に持ち上げられる。ブレード10
6は、次いで、チャンバ142から取り出される。
第14図に示すように、ブレード106の引っ込めを行
った後に、コンピュータ70は、ブレードアクセススロ
ット36に対してドア38を閉じてチャンバ142をシ
ールする。次に、サセプタエレベータ機構がコンピュー
タ70によって駆動され、サセプタ148がフィンガ1
52−152からウェハ15を持ち上げて、ガス分配マ
ニホルド150のすぐ隣の処理領域146において付着
を行うための位置に配置するように、サセプタ支持フィ
ンガ156−156とその上にあるサセプタ148を持
ち上げる。
第15図を参照すれば、処理後に、コンピュータ70は
、サセプタエレベータ機構を作動させて、サセプタフィ
ンガ156−156とその上にあるサセプタ148を下
げ、ウェハ15をウェハ支持フィンガ152−152に
のせる。次いで、ドア38が開けられ、ブレード106
はハウジング142を通じてチャンバ144に再び挿入
される。次いで、第16図に示すように、ウェハエレベ
ータ機構がコンピュータ70によって作動されて、ウェ
ハ支持フィンガ152−152が下げられ、それによっ
てウェハ15を装填ロックブレード106上に配置する
。ブレード106を越えるようにフィンガ152−15
2を下方に移動させた後に、ブレード106は、コンピ
ュータ70によって再び引っ込められ、フィンガ152
−152及び156−156を第12図に示す位置のま
ま残して、別のウェハ挿入処理/取外しサイクルを行な
う1!!備をする。
2、エツチング炉 第17図ないし第19図は、上で参照したエツチング炉
に関する特許出願で述べられているエツチング炉に使用
される別の内部ウェハ移送システム160を示すもので
ある。
エツチング炉チャンバは、典型的にはアルミニウム等の
非磁性材料によって形成されたハウジング162を含ん
でおり、このハウジングは、内部エツチングチャンバ1
64を形成する。
炉システムは、液冷カソード166も含んでいる。ウェ
ハ15が電極16G(第19図参照)に配置されたとき
に、ヘリウム等のガスをウェハ15と電極166の上面
との間に供給するための機構が設けられている。ガスは
、ウェハ15と液冷電極166との間の熱伝導を促進す
るために、例えば約4 torrの圧力で供給される。
電気的エネルギは、RF電源168(第19図)から、
好ましくは高い周波数で、通電されるウェハ支持電極1
66に加えられるが、低い周波数を用いることもできる
処理ガスは、1つ以上のガス蓄積源により成るガス供給
内部システムからガスマニホルド(図示せず)によって
チャンバ164に供給される。
ガスマニホルドは、処理ガスを処理チャンバ170(第
19図)に供給するために、電極166上に至近離間さ
れて配置される。
エツチング炉は、ウェハ15の面に平行な回転可能な磁
界を形成するために、チャンバ162の対向する壁に取
り付けられた2対の垂直磁気コイルも含んでいる。磁界
は、エツチングの速度と均一性を含む炉のエツチング効
率を増大させる。
磁気コイルは、数組のコイルへの電流を順次反転させる
簡単な手段によって好ましくは数サイクル7分で回転さ
れる正確に制御された磁界を形成するように、コンピュ
ータ70によって制御される。
ウェハ交換システム160は、多数の垂直に延びるウェ
ハ支持ピン174−174を一体的に組み込んでいる。
これらのピン174−174は。
円形に配列され、電極166の周囲の穴を通じて延びる
。ウェハ交換システム160は、ウェハクランプリング
172も含、んでいる。ウェハ支持フィンガ174−1
74とウェハクランプリング172は、両方共、支持ア
ーム手段に取り付けられている。この支持アーム手段は
、シャフト176(第18図)を持ち上げて垂直方向の
変位を与えるように取り付けられた水平に延びるアーム
178−178 (第17図ないし第19図の断面図に
は1つの半径方向の支持アームのみを示す)を含んでい
る。シャフト176、クランプリング172及びウェハ
支持ピン174−174の垂直方向の移動は、空気シリ
ンダによって行われる。この空気シリンダの動作は、コ
ンピュータ70によって制御される。
第17図を更に参照すれば、動作の際には、最初は、ド
ア36とハウジング162とを通じて装填ロックブレー
ド106を処理チャンバ170(第19図)に挿入でき
るようにするために組立体160が僅かに持ち上げられ
る。ウェハ支持ピン174−174の上部とクランプリ
ング172との間の一定の分離部分は、ブレード106
がピン174−174とクランプリング172との間を
通過して電極166上のプラズマエツチング領域170
に進むことができるように、この位置においてスリット
36と水平に整列されることに注意されたい。
次に、第18図に示すように、シャフト176がコンピ
ュータ70によって作動され、ウェハ移送機構160が
持ち上げられ、ウェハ支持ピン174−174がウェハ
15をブレード106から持ち上げる。
ブレード106を引っ込めた後に、ドア38(第1図)
がスリット36に対して閉じられ1次いで、コンピュー
タ70は、シャフト176とそれに関連するウェハ移送
機構160の下降を行い、それによってクランプリング
172を下げて、ウェハ15をこのリングと電極166
との間にクランプする。
処理の後に、シャフト176は、ピン174−174を
持ち上げるためにコンピュータ70の制御のもとで持ち
上げられ、それによってウェハ15がドア36の上に持
ち上げられる。これにより、ブレード106は、チャン
バ164のウェハ15の下に挿入することができる。次
いで、シャゞ− フト176は、ピン174−174とクランプを第17
図に示す位置に配置するために僅かに下げられる。これ
により、ブレード106は、ピンとクランプとの間の空
間を通じてチャンバから引っ込められる。新たなウェハ
をブレード106上に配置して、チャンバ164のクラ
ンプ172とピン174−174との間に挿入して、別
のウェハ交換サイクルを開始することができる。
前述したように、ウェハ交換システム160は、外部の
装填ロックブレード106へのウェハの移送とこのブレ
ード106からのウェハの移送を行い、ウェハ15をク
ランプし、そして、垂直の点及び周辺接触のみを用いて
ウェハを電極166から取り外す。通常のスライド及び
/又は回転摩擦接触は、ここに開示するエツチング炉シ
ステム及びそのウェハ交換システム160には存在せず
、又、ここに開示するCvD炉システム及びそのウェハ
交換システム140にも存在しない。これによって、チ
ャンバ内に微粒子を発生する傾向を減少させると共に、
支持/並進移動装置を処理チャンバの下に配置すること
ができる。
更に、装填ロックチャンバ14は、レジストの表皮除去
、レジストのアッシング及び不動態化等の大気圧以下で
の乾燥処理に使用することができる。更に、エレベータ
50は、上部ウェハ部分と下部ウェハ部分との間にセパ
レータプレート180を組み込むこともできるが、これ
に限定されるものではない。第2図に示すように配置さ
れたときには、プレート180は、装填ロックチャンバ
14とプレートの上のウェハとをそれらの下にあるもの
から効果的にシールする。これによって、装填ロツタチ
ャンパ内の上にあるウェハの前処理と後処理を下にある
ウェハに影響を及ぼすことなく行うことができる。自明
なことであるが、プレート180は、全てのウェハにこ
の装填ロックチャンバの処理段階を受けさせるか或いは
可変数の上部ウェハに処理を受けさせるように、除去す
ることもできるし或いは別の位置に移動させることもで
きる。又、未処理のウェハを、処理の前にプレート18
0の上に保管し、処理されたウェハを外部のカセット2
6.28に戻す準備としてプレートの下に保管すること
もできるし、又、この逆の処置を行うこともできる。
第1図のシステム10は、このシステム10と同一のも
のであるか或いはそれと異なるものである別の処理シス
テムヘウェハを移送できるようにする装填ロックチャン
バ或いは他のチャンバと一体化することができる。第2
0図を参照すれば。
外部のエレベータ24が使用されていないことを除いて
はシステム10と本質的に同一ものである別のシステム
(実際には、2つのシステム)の1つの例が示されてい
る。このため、これらの2つの追加システムは、一般に
参照番号10Aで示される。システムIOAは、2つの
チャンバ18及び20の代りにシステム1oに取り付け
られている。更に、ここに示すシステムIOAはシステ
ム10と同一の寸法を有しいるため隣接する壁において
チャンバを除去することが必要であるが、この制限は図
示を容易にすることに関するものでありシステムに本来
課せられる制限ではない。例えば、チャンバ40Aの側
壁の寸法は、首状の入口25を長くして4つのチャンバ
全部を使用できるようにすることによって変えることが
できる。更に、チャンバ10及びIOAは、ここに示す
五角形の形状に限定されず、側面の数を多くすることも
少なくすることもでき、それ伴って、チャンバを多くし
たり少なくしたりすることができる。ここに示すシステ
ムIOAは、装填ロック機構14Aとロボット80A(
これらは、基礎システム10の対応する素子と本質的に
同一のものとすることができる)を使用してウェハ15
−15を保管エレベータ50Aとそれに関連するチャン
バ16A−22Aとの間に移送することができる。メイ
ンの基礎システムの装填ロック機構14とロボット80
は、ウェハを追加システムIOAの保管エレベータ50
Aと基礎システムとの間で移送するために使用される。
別の例示的な解決策では、システムIOAのようなの「
他の」システムを(イオンインプランテーション、エピ
タキシャル処理等のための)バッチシステムとすること
ができる。他のシステム(及びシステム10)は、大気
圧以下の圧力で前処理又は後処理を行うか或いは湿式ク
リーニング等の大気圧処理を行う装填ロックチャンバを
組み込むことができる。
これも明確に明らかなことであるが、ここに述べた多チ
ャンバ処理システムは、チャンバ16ないし22の全て
に1つの形式の処理能力(エツチング又は化学蒸着等)
を与えることも、エツチングチャンバと付着チャンバを
混合することもできる。更に、スパッタリング及び高速
熱アニーリング等の他の形式の処理を行うためのチャン
バは、単独で使用することも他の処理チャンバと混合さ
せて使用することもできる。例えば、前述のCVD炉に
関する特許出願に述べられている炉チャンバをスパッタ
エツチングに使用することができる。
更に、参考用としてここに取り上げられる1985年1
2月31日付のレイク(Raicu)氏の米国特許第4
,561,907号には、適用できる単一ウェハ高速熱
アニーリング技術が述べられている。
従って、システム10は、非常に多数の異なるチャンバ
の組合せと、エツチング、付着、スパッタリング、高速
熱アニーリング及び他の処理段階をその場で用いた処理
シーケンスに、システムの真空状態を破壊することなく
適用できる。
以上、本発明の多チャンバ式の総合的な処理システムの
好ましい実施例を詳細に説明した。
【図面の簡単な説明】
第1図は、装填ロックとチャンバのカバーを取り外して
示した本発明の多チャンバ式統合処理システムの簡単な
部分概略上面図、 第2図は、第1図を線2−2に沿って切った部分概略縦
断面図。 第3図は、第1図に示したロボット式ウェハ処理ブレー
ド組立体の拡大部分上面図、第4図、第5図及び第6図
は、ウェハ処理ブレード組立体の二重の4バーリンク取
付けシステムの動作を概略的に示す図、 第7図ないし第11図は、外部のカセットエレベータと
内部の装填ロックエレベータと真空処理チャンバとの間
でウェハを移送する際の装填ロックロボットのR−θ運
動を概略的に示す非常に概略的な上面図、・ 第12図ないし第16図は、ここに参考として取り上げ
るCVD炉に関する特許出願に述べられている専用の内
部ウェハ移送システムを示す図で、ウェハを外部の装填
ロックブレードから炉のサセプタへ移送しそのウェハを
炉のサセプタから装填ロックブレードへ戻す段階を示す
連続的な概略断面図。 第17図ないし第19図は、ここに参考として取り上げ
るエツチング炉に関する特許出願に述べられている専用
の内部ウェハ移送システムを示す図で、ウェハを外部の
装填ロックブレードから炉のウェハ支持′al極へ移送
しそのウェハを炉のウェハ支持電極から装填ロックブレ
ードへ戻す段階中を示す連続的な概略断面図、そして、
第20図は、本発明の多チャンバ式統合処理システムの
追加の一対の多チャンバ処理システムを含む別の実施例
を示す部分概略上面図である。 10、IOA、、、多チャンバ統合処理システム12、
、、ハウジング 13.、、側壁14、、、装填ロック
チャンバ 15、、、ウェハ 16.18.20.22.、、チャンバ24、、、外部
のカセットエレベータ組立体26.28.、、カセット 30.58.88.、、ベースプレート32.34.6
0.、、ガイドシャフト36、、、開口 40、、、インデックスシステム 42、、、  リードネジ 44、、、水平駆動モータ 46.68.、、ギアセット 48、、、駆動ナツト 50.50A、、。 内部の保管エレベータカセット組立体 54、、、ウェハ支持プレート 59、、、垂直フロントプレート 61、、、駆動シャフト 62.90.、、底壁 63.、、シール64、、、垂
直インデックスシステム 66、、、垂直駆動モータ 70、、、コンピュータ 80.80A、、、ロボット 82、、、同心シャフト回転駆動システム86.、、二
重の4バーリンク機構 94、、、中空外部シャフト 96、、、中間シャフト 98、、、内部シャフト 100、、、ケーブル駆動機構 101、、、ディスク 102、、、ドラム駆動機構 103.113.、、ケーブル 104、、、アーム 105.111.115.、、 ドラム106、、、ブ
レード 107.117.、、ベルト/プーリシステム108.
118.、、ポケット 109.119.、、支持プレート 112、、、真空ライン 114、、、容量性センサ 115、、、  リード線 116.117.126.127.17800.アーム 121、部材 125、、、バーリンク 129.154.、、ブラケット 130、、、 ピボットビン 132.136.、、ネジ 134、、、カラー 138.140.、、  ピボット点 142、、、円形ハウジング 144、、、内部真空チャンバ 146、、、処理領域/プラズマ処理領域148、、、
サセプタ 150、、、処理/パージガスマニホルド151、、、
外部電源/マツチング回路網152、、、ウェハ支持フ
ィンガ 156、、、サセプタ支持フィンガ 158、、、取付けバー 160、、、ウェハ移送チャンバ 164、、、内部エツチングチャンバ 166、、、電極   168.、 、RF電源170
、、、処理チャンバ 172、、、クランプリング 174、、、ウェハ支持ピン 176、、、シャフト

Claims (26)

    【特許請求の範囲】
  1. (1)半導体ウェハのような被加工片のための統合真空
    処理システムにおいて、 閉じることのできる入口を有した真空装填ロックチャン
    バと、 上記装填ロックチャンバに取り付けられた少なくとも1
    つの真空処理チャンバであって、隣接するチャンバの開
    口を通して上記装填ロックチャンバと連通するようにさ
    れた真空処理チャンバとを具備し、 各々の上記処理チャンバは、ウェハ支持手段を備えてい
    て、この支持手段上に配置された少なくとも1つのウェ
    ハに対するガス化学エッチング、ガス化学付着、物理的
    なスパッタリング及び急速なアニーリングの少なくとも
    1つから選択されたプロセスを実行するようにされ、そ
    して更に、上記処理チャンバの開口付近の選択された内
    部位置から上記ウェハ支持手段に向かって及び該手段上
    へウェハを可逆的に移動するためのロボット手段を備え
    ていることを特徴とする統合真空処理手段。
  2. (2)上記装填ロックチャンバ内に取り付けられた第1
    エレベータを更に備え、この第1エレベータは、多数の
    ウェハ取り付け位置を有していて、上記装填ロックチャ
    ンバの入口付近でその中にある第1の選択された装填ロ
    ック位置へウェハを選択的に移動するようにされ、 更に、上記装填ロックチャンバ内に取り付けられたウェ
    ハ取扱ロボットを備え、このロボットは、延長可能なウ
    ェハ支持ブレードと、このブレードを上記処理チャンバ
    及びエレベータに選択的に向けるようにブレードを回転
    させる手段と、ウェハを往復移送するために上記処理チ
    ャンバ内の選択された内部位置及び少なくとも選択され
    た装填ロック位置にブレードを位置設定するように上記
    の向き定めされたブレードを延ばしたり引っ込めたりす
    る手段とを備えている特許請求の範囲第1項に記載の処
    理システム。
  3. (3)更に、上記装填ロックチャンバに対して外部に取
    り付けられた第2のカセットエレベータを備え、この第
    2のエレベータは、多数のウェハ取り付け位置を有して
    いて、上記装填ロックチャンバに隣接してその中にある
    第2の選択された装填ロック位置へ上記ウェハ取り付け
    位置を選択的に移動させるよう構成された特許請求の範
    囲第1項又は第2項に記載の処理システム。
  4. (4)少なくとも1つの処理チャンバは、ガス化学付着
    に用いられる特許請求の範囲第1項に記載の統合的な真
    空処理システム。
  5. (5)少なくとも1つの処理チャンバは,ガス化学エッ
    チングに用いられる特許請求の範囲第1項に記載の統合
    的な真空処理システム。
  6. (6)少なくとも1つの処理チャンバは、更に、ウェハ
    を支持するための水平上面を有する電極組立体を備え、
    この電極の面は、上記の選択された内部位置と一般的に
    整列され、そして上記ロボット手段は、一群の一般的に
    垂直の向きにされたピンと、これらピンを円形配列に取
    り付ける支持手段と、この支持手段を上方及び下方に順
    次に移動して、上記処理チャンバの開口付近の選択され
    た内部位置においてブレードからウェハ支持電極に向か
    ってウェハを取外しそして上記選択された内部位置にお
    いてウェハをブレードに戻すようにする移動手段とを備
    えている特許請求の範囲第1項又は第2項に記載の統合
    真空処理システム。
  7. (7)上記処理チャンバは、ガス化学エッチングに用い
    られる特許請求の範囲第6項に記載の統合的な真空処理
    システム。
  8. (8)少なくとも1つの処理チャンバのロボット手段は
    、更に、 一般的に水平な一群の垂直を向いたピンと、一般的に水
    平な円形のクランプリングと、 ウェハを支持するための上面を有する一般的に円筒状の
    ウェハ支持電極組立体と、 上記クランプリングを上端で取り付けると共に、更に、
    クランプリングの直径よりも小さい直径の一般的に円形
    の配列に上記ピンを取り付けるための支持アーム組立体
    であって、ピンの上端が上記クランプリングの下で垂直
    方向に離間されるようになった支持アーム組立体と、 上記チャンバ内に取り付けられていて、上記支持アーム
    組立体を垂直運動するように取り付けるための垂直に可
    動なエレベータ機構とを備え、上記円筒状の電極は、垂
    直のスロットを有していて、これを通して上記支持ピン
    を垂直に移動することができ、そして 更に、上記選択された内部位置においてウェハ支持電極
    上に装填ロックウェハブレードを位置設定し、上記選択
    された内部位置においてブレードからウェハを取外し、
    ウェハ支持電極にウェハをクランプしそして上記選択さ
    れた内部位置においてウェハをブレードに戻すことがで
    きるようにシャフトを上下に順次に移動する手段を備え
    ている特許請求の範囲第1項又は第2項に記載の統合的
    な真空処理システム。
  9. (9)上記処理チャンバは、ガス化学エッチングに用い
    られる特許請求の範囲第8項に記載の統合的な真空処理
    システム。
  10. (10)上記装填ロックチャンバは、そこに配置された
    ウェハを処理するのに用いられる特許請求の範囲第1項
    に記載の統合的な真空処理システム。
  11. (11)上記第1のエレベータは、ウェハ位置の第1群
    と第2群の中間に、第1群を装填ロックチャンバ内にシ
    ールすると共に第2群を装填ロックチャンバから分離す
    るためのプレートを有している特許請求の範囲第1項に
    記載の統合的な真空処理システム。
  12. (12)上記第1群のウェハを調整するために装填ロッ
    クチャンバに雰囲気ガスを供給する手段を更に備えてい
    る特許請求の範囲第11項に記載の統合的な真空処理シ
    ステム。
  13. (13)上記装填ロックチャンバに取り付けられた第2
    の統合的な処理システムを更に具備し、この第2の統合
    的な処理システムは、 閉じることのできる入口を有した第2の真空装填ロック
    チャンバと、 この第2の装填ロックチャンバに取り付けられた少なく
    とも1つの第2の真空処理チャンバであって、隣接する
    チャンバの開口を経てその第2の装填ロックチャンバと
    連通するようにされた真空処理チャンバとを具備し、 各々の上記第2の処理チャンバは、第2のウェハ支持体
    を含んでいて、この支持体に配置されたウェハに対する
    ガス化学エッチング、ガス化学付着、物理的なスパッタ
    リング及び急速なアニーリングの少なくとも1つから選
    択されたプロセスシーケンスを実行するようにされ、更
    に、上記第2の処理チャンバの開口付近の選択された内
    部位置から上記ウェハ支持体に向かってウェハを可逆に
    移動するための第2のロボット手段と、上記装填ロック
    チャンバ内に取り付けられたエレベータであって、多数
    のウェハ取り付け位置を有していて上記第2の装填ロッ
    クチャンバの入口付近の内部位置へウェハを選択的に移
    動するようなエレベータと、上記装填ロックチャンバ内
    に取り付けられた第2のウェハ取扱ロボットとを備え、
    このロボットは、延長可能なウェハ支持ブレードと、こ
    のブレードを上記第2の処理チャンバ及びそれに関連し
    たエレベータに選択的に位置設定するようにブレードを
    回転する手段と、ウェハを装填したり取り外したりする
    ためにブレードを上記エレベータ及び上記第2の処理チ
    ャンバの選択された内部位置に位置設定するようにブレ
    ードを延ばしたり引っ込めたりする手段とを含んでいる
    特許請求の範囲第1項又は第2項に記載の統合的な処理
    システム。
  14. (14)上記装填ロックチャンバの少なくとも1つは、
    そこに配置されたウェハを処理するようにされる特許請
    求の範囲第13項に記載の統合的な真空処理システム。
  15. (15)上記内部装填ロックチャンバのエレベータの少
    なくとも1つは、ウェハ位置の第1群と第2群との中間
    に、この第1群をそれに関連した装填ロックチャンバ内
    にシールすると共に第2群をそれに関連した装填ロック
    チャンバから分離するプレートを有している特許請求の
    範囲第13項に記載の統合的な真空処理システム。
  16. (16)上記第1群のウェハを調整するために上記装填
    ロックチャンバに雰囲気ガスを供給する手段を更に備え
    た特許請求の範囲第15項に記載の統合的な真空処理シ
    ステム。
  17. (17)少なくとも1つの処理チャンバのロボット手段
    は、更に、 ウェハを保持するためのフィンガの第1の一般的に円形
    の水平配列体と、 上記第1のフィンガの間に挟まれたフィンガの第2の一
    般的に円形の水平配列体であって、一般的に円形のサセ
    プタを水平の向きに保持するものであるような第2のフ
    ィンガと、上記第1のフィンガを (a)上方に移動してウェハをブレードから持ち上げ、
    第2フィンガを処理位置に向かって持ち上げ移動する準
    備をすると共に、 (b)下方に移動してウェハを選択された内部位置及び
    装填ロックブレードに戻すように上記第1フィンガを取
    り付ける第1の垂直に可動なエレベータ機構と、上記第
    2のフィンガを (c)上記第1のフィンガを通り越して上方に移動して
    そこからウェハをサセプタ及び上記処理位置に向かって
    持ち上げると共に、 (d)下方に移動して処理済みのウェハを上記第1フィ
    ンガにのせ、第1フィンガによって上記選択された内部
    位置及び装填ロックブレードに戻す準備をするように第
    2フィンガを取り付ける第2の垂直に可動なエレベータ
    機構とを備えている特許請求の範囲第1項に記載の統合
    的な真空処理システム。
  18. (18)上記装填ロックチャンバに取り付けられた第2
    の統合的な処理システムを更に具備し、この第2の統合
    的な処理システムは、 閉じることのできる入口を有した第2の真空装填ロック
    チャンバと、 この第2の装填ロックチャンバに取り付けられた少なく
    とも1つの第2の真空処理チャンバであって、隣接する
    チャンバの開口を経てその第2の装填ロックチャンバと
    連通するようにされた真空処理チャンバとを具備し、 各々の上記第2の処理チャンバは、第2のウェハ支持体
    を含んでいて、この支持体に配置されたウェハに対する
    ガス化学エッチング、ガス化学付着、物理的なスパッタ
    リング及び急速なアニーリングの少なくとも1つから選
    択されたプロセスシーケンスを実行するようにされ、更
    に、上記第2の処理チャンバの開口付近の選択された内
    部位置から上記ウェハ支持体に向かってウェハを可逆に
    移動するための第2のロボット手段と、上記装填ロック
    チャンバ内に取り付けられたエレベータであって、多数
    のウェハ取り付け位置を有していて上記第2の装填ロッ
    クチャンバの入口付近の内部位置へウェハを選択的に移
    動するようなエレベータと、上記装填ロックチャンバ内
    に取り付けられた第2のウェハ取扱ロボットとを備え、
    このロボットは、延長可能なウェハ支持ブレードと、こ
    のブレードを上記第2の処理チャンバ及びそれに関連し
    たエレベータに選択的に位置設定するようにブレードを
    回転する手段と、ウェハを装填したり取り外したりする
    ためにブレードを上記エレベータ及び上記第2の処理チ
    ャンバの選択された内部位置に位置設定するようにブレ
    ードを延ばしたり引っ込めたりする手段とを含んでいる
    特許請求の範囲第17項に記載の統合的な処理システム
  19. (19)上記装填ロックチャンバの少なくとも1つは、
    そこに配置されたウェハを処理するようにされる特許請
    求の範囲第17項又は第18項に記載の統合的な真空処
    理システム。
  20. (20)上記内部エレベータの少なくとも1つは、ウェ
    ハ位置の第1群と第2群の中間に、その第1群をそれに
    関連した装填ロックチャンバ内にシールすると共にその
    第2群をそれに関連した装填ロックチャンバから分離す
    るためのプレートを有している特許請求の範囲第17項
    又は第18項に記載の統合的な真空処理システム。
  21. (21)上記第1群のウェハを調整するように雰囲気ガ
    スを上記装填ロックチャンバに供給する手段を更に備え
    た特許請求の範囲第20項に記載の統合的な真空処理シ
    ステム。
  22. (22)閉じることのできる入口を有した装填ロックチ
    ャンバと、 上記装填ロックチャンバに取り付けられた少なくとも一
    対の真空処理チャンバであって、隣接するチャンバの開
    口を経て上記装填ロックチャンバと連通するような真空
    処理チャンバとを具備し、各々の上記真空処理チャンバ
    は、ウェハ支持体と、このチャンバの開口付近の選択さ
    れた内部位置から上記ウェハ支持体に向かってウェハを
    可逆に移動するためのロボット手段とを備えており、更
    に、上記装填ロックチャンバの外部に取り付けられた第
    1のエレベータであって、多数のウェハ取り付け位置を
    有していて、これらのウェハ取り付け位置を上記装填ロ
    ックチャンバの入口付近の位置へ選択的に移動させるよ
    うな第1エレベータと、 上記装填ロックチャンバ内に取り付けられた第2のエレ
    ベータであって、多数のウェハ取り付け位置を有してい
    て、ウェハを装填ロックチャンバの入口付近の位置へ選
    択的に移動させるような第2エレベータと、 上記装填ロックチャンバ内に取り付けられたブレード組
    立体とを具備し、このブレード組立体は、ウェハ支持ブ
    レードと、二重の4バーリンクピボット機構とを備えて
    おり、これら2つの4バーリンクの各々は、その両端に
    おいて接続端リンクに枢着された第1及び第2の側部リ
    ンクを備え、上記2つの4バーリンクは、共通の中間リ
    ンクに接合されると共に、互いに対向する第1及び第2
    の端部リンクに接合され、第1の端部リンクは、ウェハ
    支持ブレードに取り付けられそして第2の端部リンクは
    、それに関連した第1及び第2の側部リンクを各々の第
    1及び第2のシャフトにおいて取り付け、そして 更に、上記ブレードを選択的に位置設定するために二重
    の4バーリンク機構を介して上記ウェハ支持ブレードに
    R及びθ運動を与える手段を具備し、この手段は、 (a)上端にカラーを有する中空の回転可能な駆動シャ
    フトを備え、第1のピボットシャフトは同軸的に独立し
    て回転するようにこの中空シャフト内に延びておりそし
    て第2シャフトは上記カラーに取り付けられており、更
    に、 (b)第1シャフトの周りでブレード組立体を回転する
    ように上記中空の駆動シャフトを回転する手段と、 (c)対向する端部リンクを通して一般的にまっすぐな
    軸に沿ってブレードを延ばしたり引っ込めたりするよう
    に第1シャフトを回転する手段とを備えていることを特
    徴とする統合的な真空処理システム。
  23. (23)上記ロボット手段は、更に、 ウェハを保持するためのフィンガの第1の一般的に円形
    の水平配列体と、 上記第1フィンガの間に挟まれたフィンガの第2の一般
    的に円形の水平配列体であって、一般的に円形のウェハ
    支持体を水平の向きに保持するようにされた第2のフィ
    ンガと、上記第1フィンガを (a)上方に移動してウェハをブレードから持ち上げ、
    第2フィンガを処理位置へ持ち上げ移動する準備をする
    と共に、 (b)下方に移動してウェハをブレードに戻すように第
    1フィンガを取り付ける第1の垂直に可動なエレベータ
    機構と、上記第2フィンガを (c)上記第1フィンガを通り越して上方に移動してそ
    こからウェハをサセプタ及び上記処理位置に向かって持
    ち上げると共に、 (d)処理済みのウェハを第1フィンガにのせるように
    下方に移動して第1フィンガによってブレードに戻す準
    備をするように第2フィンガを取り付ける第2の垂直に
    可動なエレベータ機構とを備えている特許請求の範囲第
    22項に記載の統合的な真空処理システム。
  24. (24)上記ロボット手段は、更に、1群のウェハ支持
    ピンと、一般的に円形のクランプリングと、ウェハを支
    持する上面を有した一般的に円筒状のウェハ支持電極組
    立体と、上記クランプリングを上端に取り付けると共に
    、クランプリングの直径よりも小さい直径の一般的に円
    形の配列で上記ピンを垂直に取り付ける支持アーム組立
    体であって、上記ピンの上端が上記クランプリングの下
    で垂直に離間されるようになった支持アーム組立体と、
    上記チャンバ内に取り付けられ、上記支持アーム組立体
    を垂直に移動するためのエレベータ機構とを備え、 上記円筒状の電極は、垂直のスロットを有していて、こ
    れを通して上記ウェハ支持ピンを垂直に移動できるよう
    にされ、そして 更に、上記ウェハブレードをウェハ支持電極上に位置設
    定し、選択された内部チャンバ位置においてブレードか
    らウェハを取外し、ウェハをウェハ支持電極にクランプ
    しそして選択された内部チャンバ位置においてウェハを
    ブレードに戻すことができるようにシャフトを選択され
    た位置に対して上下に順次に移動する手段とを備えてい
    る特許請求の範囲第22項に記載の統合的な真空処理シ
    ステム。
  25. (25)上記第2のエレベータは、ウェハ位置の第1群
    と第2群との中間に、その第1群を装填ロックチャンバ
    内にシールすると共に第2群を装填ロックチャンバから
    分離するプレートを有している特許請求の範囲第22項
    、第23項又は第24項に記載の統合的な真空処理シス
    テム。
  26. (26)上記第1群のウェハを調整するように上記装填
    ロックチャンバに雰囲気ガスを供給する手段を更に備え
    た特許請求の範囲第22項、第23項又は第24項に記
    載の統合的な真空処理システム。
JP62321180A 1986-12-19 1987-12-18 多チャンバの統合処理システム Granted JPS63252439A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94480386A 1986-12-19 1986-12-19
US944803 1986-12-19

Publications (2)

Publication Number Publication Date
JPS63252439A true JPS63252439A (ja) 1988-10-19
JPH0322057B2 JPH0322057B2 (ja) 1991-03-26

Family

ID=25482099

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62321180A Granted JPS63252439A (ja) 1986-12-19 1987-12-18 多チャンバの統合処理システム

Country Status (4)

Country Link
EP (1) EP0272141B1 (ja)
JP (1) JPS63252439A (ja)
AT (1) ATE102397T1 (ja)
DE (1) DE3789212T2 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0311648A (ja) * 1989-06-07 1991-01-18 Nec Corp 半導体ウェハー搬送装置
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
KR19980042483A (ko) * 1996-11-18 1998-08-17 조셉제이.스위니 직렬식 처리 챔버
US5885052A (en) * 1996-06-03 1999-03-23 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Transferring apparatus and robot arm
US5971701A (en) * 1996-02-09 1999-10-26 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US6068704A (en) * 1996-11-26 2000-05-30 Tokyo Electron Limited Transfer arm apparatus and semiconductor processing system using the same
JP2003060005A (ja) * 2001-08-20 2003-02-28 Ulvac Japan Ltd 真空処理装置
US6753213B2 (en) 1994-07-28 2004-06-22 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
JP2005534175A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド バッファを備えた基板ローディング及びアンローディングステーション
JPWO2005112108A1 (ja) * 2004-05-17 2008-03-27 株式会社日立国際電気 基板処理装置
WO2008140093A1 (ja) * 2007-05-15 2008-11-20 Ulvac, Inc. 搬送装置及びこれを用いた真空処理装置
WO2009034795A1 (ja) * 2007-09-10 2009-03-19 Ulvac, Inc. 基板搬送ロボット、真空処理装置
JP2009147368A (ja) * 1998-04-21 2009-07-02 Samsung Electronics Co Ltd マルチ−チャンバーシステムで半導体素子を製造する方法
JP2012231150A (ja) * 2012-06-13 2012-11-22 Hitachi Ltd 真空処理装置及び真空処理方法
KR20150041045A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5013385A (en) * 1986-04-18 1991-05-07 General Signal Corporation Quad processor
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JP2545591B2 (ja) * 1988-09-30 1996-10-23 国際電気株式会社 ウェーハ処理装置
US5019233A (en) * 1988-10-31 1991-05-28 Eaton Corporation Sputtering system
US4952299A (en) * 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
EP0367423A3 (en) * 1988-10-31 1991-01-09 Eaton Corporation Vacuum deposition system
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JP2528708B2 (ja) * 1989-03-14 1996-08-28 富士通株式会社 半導体製造装置
JPH0793348B2 (ja) * 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0408216A3 (en) * 1989-07-11 1991-09-18 Hitachi, Ltd. Method for processing wafers and producing semiconductor devices and apparatus for producing the same
JP3466607B2 (ja) * 1989-09-13 2003-11-17 ソニー株式会社 スパッタリング装置
US5447409A (en) * 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
EP0858867A3 (en) * 1989-10-20 1999-03-17 Applied Materials, Inc. Robot apparatus
EP1069207A3 (en) * 1990-01-08 2003-05-14 Lsi Logic Corporation In-situ etch method for for cleaning a CVD chamber
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
EP0448763A1 (de) * 1990-03-30 1991-10-02 Siemens Aktiengesellschaft Verfahren und Vorrichtung zur Herstellung von leitenden Schichten oder Strukturen für höchstintegrierte Schaltungen
EP0452889A3 (en) * 1990-04-16 1992-01-22 Applied Materials, Inc. Process for forming titanium silicide on a semiconductor wafer
JPH0677216A (ja) * 1990-09-28 1994-03-18 Applied Materials Inc 蒸着薄膜の障壁特性を高めるプラズマアニーリング法
JP2751975B2 (ja) * 1991-12-20 1998-05-18 株式会社日立製作所 半導体処理装置のロードロック室
JPH0718750Y2 (ja) * 1992-03-07 1995-05-01 中外炉工業株式会社 帯状材の浮揚支持装置
NL9200446A (nl) * 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
EP0608633B1 (en) * 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5376862A (en) * 1993-01-28 1994-12-27 Applied Materials, Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
DE69420774T2 (de) * 1993-05-13 2000-01-13 Applied Materials, Inc. Kontrolle der Kontamination in einem Plasma durch Ausgestaltung des Plasmaschildes unter Verwendung von Materialien mit verschiedenen RF-Impedanzen
EP0634786B1 (en) * 1993-07-15 1997-03-05 Applied Materials, Inc. Improved susceptor
EP0634784A1 (en) * 1993-07-16 1995-01-18 Applied Materials, Inc. Variable speed wafer exchange robot
US5672239A (en) * 1995-05-10 1997-09-30 Tegal Corporation Integrated semiconductor wafer processing system
US6267423B1 (en) 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5746460A (en) * 1995-12-08 1998-05-05 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
KR20010032498A (ko) 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6277753B1 (en) 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
DE19952194A1 (de) * 1999-10-29 2001-05-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
JP3883929B2 (ja) 2001-09-25 2007-02-21 大日本スクリーン製造株式会社 薄膜形成装置および薄膜形成方法
GB2392309B (en) 2002-08-22 2004-10-27 Leica Microsys Lithography Ltd Substrate loading and unloading apparatus
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
NL1028907C2 (nl) * 2005-04-29 2006-10-31 Fico Bv Werkwijze en inrichting voor het aanvoeren en het afvoeren van dragers met elektronische componenten.
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
DE102005056323A1 (de) * 2005-11-25 2007-05-31 Aixtron Ag Prozesskammermodul zum gleichzeitigen Abscheiden von Schichten auf mehreren Substraten
US7547897B2 (en) 2006-05-26 2009-06-16 Cree, Inc. High-temperature ion implantation apparatus and methods of fabricating semiconductor devices using high-temperature ion implantation
TWI499725B (zh) * 2010-12-30 2015-09-11 Au Optronics Corp 潔淨風扇節能系統
CN112680721B (zh) * 2020-02-10 2023-04-07 拉普拉斯新能源科技股份有限公司 一种pecvd镀膜机

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61112312A (ja) * 1984-11-07 1986-05-30 Hitachi Ltd 真空連続処理装置
JPS6312126A (ja) * 1986-05-19 1988-01-19 マシン テクノロジ− インコ−ポレイテツド プレファブ式処理装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59123226A (ja) * 1982-12-28 1984-07-17 Fujitsu Ltd 半導体装置の製造装置
GB8332394D0 (en) * 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4547247A (en) * 1984-03-09 1985-10-15 Tegal Corporation Plasma reactor chuck assembly
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor
KR950012969B1 (ko) * 1986-04-28 1995-10-24 배리언 어소시에이츠 인코포레이티드 모듀울 반도체 웨이퍼 운반 및 처리 시스템

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61112312A (ja) * 1984-11-07 1986-05-30 Hitachi Ltd 真空連続処理装置
JPS6312126A (ja) * 1986-05-19 1988-01-19 マシン テクノロジ− インコ−ポレイテツド プレファブ式処理装置

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0311648A (ja) * 1989-06-07 1991-01-18 Nec Corp 半導体ウェハー搬送装置
US6753213B2 (en) 1994-07-28 2004-06-22 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
WO1996025760A1 (fr) * 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5971701A (en) * 1996-02-09 1999-10-26 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US6077027A (en) * 1996-02-09 2000-06-20 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US5885052A (en) * 1996-06-03 1999-03-23 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Transferring apparatus and robot arm
KR19980042483A (ko) * 1996-11-18 1998-08-17 조셉제이.스위니 직렬식 처리 챔버
US6068704A (en) * 1996-11-26 2000-05-30 Tokyo Electron Limited Transfer arm apparatus and semiconductor processing system using the same
JP2009147368A (ja) * 1998-04-21 2009-07-02 Samsung Electronics Co Ltd マルチ−チャンバーシステムで半導体素子を製造する方法
JP2012186506A (ja) * 1998-04-21 2012-09-27 Samsung Electronics Co Ltd マルチ−チャンバーシステムで半導体素子を製造する方法
JP2003060005A (ja) * 2001-08-20 2003-02-28 Ulvac Japan Ltd 真空処理装置
JP2005534175A (ja) * 2002-07-22 2005-11-10 ブルックス オートメーション インコーポレイテッド バッファを備えた基板ローディング及びアンローディングステーション
JP4545147B2 (ja) * 2004-05-17 2010-09-15 株式会社日立国際電気 基板処理装置及び半導体デバイス製造方法
JPWO2005112108A1 (ja) * 2004-05-17 2008-03-27 株式会社日立国際電気 基板処理装置
WO2008140093A1 (ja) * 2007-05-15 2008-11-20 Ulvac, Inc. 搬送装置及びこれを用いた真空処理装置
WO2009034795A1 (ja) * 2007-09-10 2009-03-19 Ulvac, Inc. 基板搬送ロボット、真空処理装置
JPWO2009034795A1 (ja) * 2007-09-10 2010-12-24 株式会社アルバック 基板搬送ロボット、真空処理装置
JP2012231150A (ja) * 2012-06-13 2012-11-22 Hitachi Ltd 真空処理装置及び真空処理方法
KR20150041045A (ko) * 2012-08-08 2015-04-15 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들

Also Published As

Publication number Publication date
EP0272141A2 (en) 1988-06-22
DE3789212D1 (de) 1994-04-07
EP0272141B1 (en) 1994-03-02
EP0272141A3 (en) 1989-10-11
ATE102397T1 (de) 1994-03-15
DE3789212T2 (de) 1994-06-01
JPH0322057B2 (ja) 1991-03-26

Similar Documents

Publication Publication Date Title
JPS63252439A (ja) 多チャンバの統合処理システム
US4951601A (en) Multi-chamber integrated process system
US5292393A (en) Multichamber integrated process system
US5882165A (en) Multiple chamber integrated process system
JP2639459B2 (ja) モジューラ半導体ウェーハ移送及び処理装置
US4795299A (en) Dial deposition and processing apparatus
US6641350B2 (en) Dual loading port semiconductor processing equipment
US7541061B2 (en) Vacuum chamber load lock structure and article transport mechanism
US4911597A (en) Semiconductor processing system with robotic autoloader and load lock
US6746195B2 (en) Semiconductor transfer and manufacturing apparatus
US5789878A (en) Dual plane robot
US20020029936A1 (en) Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
US6468353B1 (en) Method and apparatus for improved substrate handling
JP2577250B2 (ja) モジューラ・ウェーハ処理装置のためのスパッタ・モジュール
KR100269097B1 (ko) 기판처리장치
JP2008060577A (ja) バッファ機構を有する基板処理装置及び基板搬送装置
JPH11195688A (ja) 基板処理装置
JPH0319252A (ja) 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
JPH02179874A (ja) 真空環境内で処理する半導体ウェハの保持装置
KR20010093771A (ko) 캐루셀 웨이퍼 이송 시스템
US20020052094A1 (en) Serial wafer handling mechanism
KR20190093693A (ko) 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
JP2001250856A (ja) ウエハ処理装置及びこれにアクセスする方法
US20040005211A1 (en) Multiple independent robot assembly and apparatus and control system for processing and transferring semiconductor wafers
EP1749116B1 (en) Methods and apparatuses for transferring articles through a load lock chamber under vacuum