KR19980042483A - 직렬식 처리 챔버 - Google Patents

직렬식 처리 챔버 Download PDF

Info

Publication number
KR19980042483A
KR19980042483A KR1019970060325A KR19970060325A KR19980042483A KR 19980042483 A KR19980042483 A KR 19980042483A KR 1019970060325 A KR1019970060325 A KR 1019970060325A KR 19970060325 A KR19970060325 A KR 19970060325A KR 19980042483 A KR19980042483 A KR 19980042483A
Authority
KR
South Korea
Prior art keywords
chamber
gas
wafer
processing
independent
Prior art date
Application number
KR1019970060325A
Other languages
English (en)
Inventor
케빈 페어베언
제시카 바르질레이
하리 폰네칸티
더블유닉 테일러
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980042483A publication Critical patent/KR19980042483A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 장치 내부에 형성된 다수의 독립 챔버, 각각의 처리 챔버내에 위치된 가스 분배 조립체, 다수의 독립 챔버에 연결된 가스 공급원, 및 각각의 가스 분배 조립체에 연결된 전력 공급원을 갖는 외장체를 포함하는 진공 처리 장치를 제공하는 것이다.

Description

직렬식 처리 챔버
본 발명은 시스템 및 개별적인 시스템 부품을 포함하는, 집적 회로의 제조시에 다수의 웨이퍼를 동시 처리하기 위한 방법 및 장치에 관한 것이다. 특히, 본 발명은 하나 또는 그 이상의 설비물을 공유하는 하나 이상의 가공 챔버, 하나 이상의 하중억제 챔버, 및 하중 억제 챔버와 가공 챔버에 연결된 전달 챔버를 갖는 집결 진공 시스템에 관한 것이다.
용어 클러스터 공구(cluster tool)은 일반적으로 중심 웨이퍼 조절 모듈 및 다수의 외주부 가공 챔버를 갖는 모듈러 다중 챔버 통합 처리 시스템을 지칭한다. 클러스터 공구는 진보된 미세 전자 장치를 제조하기 위한 효과적이고 효율적인 장치로 여겨진다. 웨이퍼는 다양한 가공 챔버내에서의 연속적인 일련의 가공 단계에 의해 집적 회로를 형성하는 클러스터 공구 내부로 도입된다. 가공 챔버 사이의 웨이퍼의 전달은 중심 전달 영역내에 위치된 웨이퍼 조절 모듈에 의해 처리된다. 일반적으로, 클러스터 공구는 단일 웨이퍼 처리 또는 배치식(batch) 웨이퍼 처리의 두가지 다른 방식을 갖는다. 단일 웨이퍼 처리 방식은 처리를 위한 단일 웨이퍼가 챔버 내에 위치되어 있는 챔버 형상을 지칭한다. 배치 웨이퍼 처리 방식은 다중 웨이퍼가 회전대 상에 위치되고 회전대가 360°로 회전함에 따라 챔버내의 다양한 위치에서 처리되는 챔버 형상을 지칭한다. 배치식 처리 방식으로 배열된 클러스터 공구는 단일 챔버내에서 일반적으로 4에서 7까지의 다중 웨이퍼를 동시에 처리할 수 있다.
도 1 및 도 2는 상업상 이용가능한 배치식 처리 시스템(10)의 예를 도시하고 있다. 도 1은 노벨루스 코포레이션에 의해 실용화된 배치식 처리 방식의 방사상 클러스터 공구의 상층 개략도이다. 클러스터 공구는 처리용으로 6개의 웨이퍼(14)가 각각 고정된 두 개의 배치식 처리 챔버(12,13)를 포함한다. 전달 챔버(18)내에 위치된 단일 웨이퍼 조절 로봇(16)은 하중 억제 챔버(20)로부터 제 1 배치식 처리 챔버(12)로 웨이퍼를 차례로 전달하는데 사용되며, 상기 제 1 챔버에서는 동일한 처리 단계를 거치기 이전에 웨이퍼가 회전대(22) 상에 연속적으로 놓여진다. 이때, 웨이퍼는 차례로 제 2 배치 처리 챔버(13)에 전달되며, 웨이퍼는 부가적인 처리 단계를 거친다. 일반적으로, 웨이퍼는 한번에 시스템 내부로 장전되며 웨이퍼가 회전대 상에서 360°로 회전함에 따라 챔버내의 다양한 위치에서 부분적인 처리를 수용하는 챔버 내부로 이동된다.
도 2A 및 도 2B는 매트선 테크놀로지에 의해 실용화된 배치식 처리 방식용 클러스터 공구(10)의 상층 및 측면 개략도이다. 하중억제 챔버(20) 및 전달 챔버(18)는 웨이퍼가 전달 챔버내에서 단을 이루도록 하는 공용의 웨이퍼 승강기(19)를 갖는다. 전달 로봇(16)은 4개의 웨이퍼를 고정하는 화학 증착 (CVD) 챔버와 같은 처리 챔버에 전달된다. 이 때 웨이퍼는 웨이퍼 승강기로 반환되어 결국 상기 클러스터 공구로부터 회수된다.
전술한 클러스터 공구내에서 행해진 처리를 포함한 배치식 처리 방식의 하나의 단점은 배치식 처리 방식은 웨이퍼의 중심에서 웨이퍼의 엣지에 이르기까지 증착 균일도가 떨어진다는 것이다. 가공 균일도는 웨이퍼 상에 증착 균일도를 얻기 위해 중요하다. 배치식 처리 시스템의 열등한 균일도는 단일 챔버내의 다중 스테이션에서 부분적으로 처리되어질 다중 웨이퍼를 가짐으로써 발생하는 직접적인 결과이다.
공정 균일도를 개선시키기 위한 대안은 단일 웨이퍼 처리 시스템의 사용이다. 단일 웨이퍼 처리 방식은 단일 웨이퍼가 다른 위치로 이동되지 않고 증착 단계 또는 에칭 단계와 같은 완전한 처리 단계를 거치는 가공 챔버내에 위치됨으로 인해, 공정 균일도 이상의 높은 제어도를 제공하는 것으로 여겨진다. 더욱이, 단일 웨이퍼 처리 챔버의 부품은 단일 웨이퍼에 대해 동심 또는 편심으로 위치된다.
도 3은 챔버상에 장착된 다수의 단일 웨이퍼 처리 챔버(12)를 갖는 클러스터 공구(10)의 상층 개략도이다. 도 3에 도시된 것과 동일한 클러스터 공구는 캘리포니아, 산타 클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 상업상 이용가능하다. 상기 공구는 시스템 내의 한 위치에서 다른 위치로, 특히, 다수의 단일 웨이퍼 처리 챔버(12) 사이로 웨이퍼를 이동시키기 위한 웨이퍼 조절 모듈(16)을 갖는 전달 챔버(18)와 하중 억제 챔버(20)를 포함한다. 상기 특정 공구는 전달 챔버에 대해 방사상으로 위치된 4개까지의 단일 웨이퍼 처리 챔버(12)를 수용하는 것으로 도시되어 있다.
전술한 종래 기술을 감안하면, 균일한 웨이퍼 처리 및 높은 출력을 제공하는 진공 처리 시스템이 필요하다. 특히, 배치식 웨이퍼 조절 기술을 갖는 단일의 웨이퍼 구조를 구체화하기 위해 협력하여 작동하는 집적 시스템 및 공정 챔버의 필요성을 갖는다. 일반적인 클러스터 공구보다 낮은 자본 투자와 작업 비용을 요하는 소형의 풋프린트(footprint) 및 페이스프린트(faceprint)를 갖는 시스템이 필요하다.
본 발명은 다수의 독립 챔버를 갖는 외장체, 각각의 처리 챔버내에 위치된 가스 분배 조립체, 독립 챔버에 연결된 가스 공급원, 및 각각의 가스 분배 조립체에 연결된 전력 공급원을 포함하는 진공 처리 장치를 제공하는 것이다. 이러한 챔버들은 상기 챔버 내부로 여기된 정화 가스의 발생 및 상기 가스의 전달을 위한 원격의 플라즈마 시스템을 포함한다. 외장체 내의 챔버들은 가공 가스 및 배기 시스템을 공유하고 있으나, 각각의 가스 분배 시스템에 연결된 각각의 전력 공급원을 포함한다.
도 1은 노벨루스 코포레이션(Novellus Corporation)에 의해 실용화된 배치식 처리용 방사상 클러스터 공구의 상층 개략도.
도 2A 및 도 2B는 매트선 테크놀로지(Mattson technology)에 의해 실용화된 배치식 처리용 선형 클러스터 공구의 상층 및 측면 개략도.
도 3은 다수의 단일 웨이퍼 처리 챔버를 갖는 클러스터 공구의 개략도.
도 4는 본 발명의 진공 처리 시스템의 하나의 실시예를 나타낸 사시도.
도 5는 본 발명의 진공 처리 시스템의 하나의 실시예를 나타낸 상층 개략도.
도 6은 본 발명의 진공 처리 시스템의 하나의 실시예를 나타낸 전방 단부도.
도 7는 본 발명의 진공 처리 시스템의 하나의 실시예를 나타낸 후방 단부도.
도 8은 본 발명의 전단부 장전 시스템을 도시한 사시도.
도 9는 본 발명의 하중 억제 챔버의 내부를 개략적으로 도시한 전방 사시도.
도 10은 본 발명의 하중 억제 챔버의 횡단면도.
도 11은 하중 억제 챔버의 전방부 상에 장착된 게이트 밸브 및 작동 조립체를 도시한 하중 억제 챔버의 사시도.
도 12는 본 발명의 하중 억제 챔버의 또 다른 실시예를 도시한 사시도.
도 13은 전달 챔버 내부에 위치된 전달 웨이퍼 조절 부재와 두 개의 웨이퍼 카세트를 갖는 전단부 플랫폼과, 웨이퍼의 배치 및 센터링용으로 장착된 전단부 웨이퍼 조절 부재를 갖는 전달 챔버를 도시하는 본 발명의 상부도.
도 14는 본 발명의 전달 챔버의 측면 횡단면도.
도 15는 전달 챔버내에 장착된 본 발명의 웨이퍼 조절 부재를 도시한 전달 챔버 및 처리 챔버의 상부도.
도 16은 전달 챔버내의 블레이드가 처리 챔버내에 위치되는 연장된 위치에 장착되어 있는 본 발명의 웨이퍼 조절 부재를 도시한 전달 챔버와 처리 챔버의 상부도.
도 17은 본 발명에 따른 웨이퍼 조절 시스템의 자력 결합식 작동 조립체의 횡단면도.
도 18은 본 발명의 처리 챔버의 하나의 실시예를 도시한 사시도.
도 19는 본 발명의 처리 챔버의 하나의 실시예를 도시한 횡단면도.
도 20은 가스 분배 조립체의 분해도.
도 21은 이동된 덮개를 갖는 본 발명의 처리 시스템의 상부도.
도 22a는 본 발명의 진공 시스템을 개략적으로 도시한 도면.
도 22b는 본 발명의 또 다른 진공 시스템을 개략적으로 도시한 도면.
도 23은 처리 챔버 위에 장착된 원격 플라즈마 챔버의 사시도.
도 24는 처리 챔버 위에 장착된 원격 플라즈마 챔버의 횡단면도.
도 25는 공정 제어를 위한 컴퓨터 프로그램의 계층 제어 구조를 도시한 블록 선도.
도 26은 본 발명의 로봇용 최적 시간의 행로를 도시한 전달 챔버의 상부도.
도 27은 도 26에 도시된 행로용 최적의 속도 프로파일을 도시한 그래프.
도 28은 본 발명의 로봇용 최적 시간의 행로를 도시하는 전달 챔버의 상부도.
도 29는 도 28에 도시된 행로의 최적의 속도 프로파일을 도시한 그래프.
*도면의 주요 부분에 대한 부호의 설명*
100 : 처리 시스템 104: 전달 챔버
105 : 전력 분배 패널 107: 전력 발생기
109 : 웨이퍼 카세트 112 : 하중 억제 챔버
218 : 하중억제 카세트 224 : 샤프트
238 : 작동기 280 : 배기 포오트
500 : 자력결합식 로봇 540 : 웨이퍼 블레이드 조립체
628 : 웨이퍼 가열기 받침대 700 : 진공 시스템
본 발명의 하나의 실시 양태에 있어서, 챔버는 공유된 가스 공급원 및 공유된 배기 시스템을 갖는 다수의 웨이퍼 처리를 제공하기 위해 배치되어 있다. 챔버 정화를 용이하게 하기 위해서는, 원격의 플라즈마 시스템은 챔버 내부로 반응성 정화 가스를 전달하기 위해 챔버에 인접하게 위치되어 있다.
본 발명의 또 다른 실시 양태에 있어서, 이러한 챔버들은 각각의 챔버내의 플라즈마 공정 제어를 용이하게 하기 위해 독립적인 온도 및 전력 제어를 제공한다. 각각의 가스 분배 조립체는 각각의 전력 공급원 및 관려된 전력 제어기를 포함한다. 각각의 받침대는 또한 바람직하게 온도 제어식 부재 및 온도 제어기를 포함한다.
본 발명은 동시에 다중 웨이퍼를 처리하고 고 품질의 웨이퍼 처리, 높은 웨이퍼 작업 처리량, 및 감소된 시스템 풋프린트를 위해 단일의 웨이퍼 공정 챔버와 다중 웨이퍼 조절의 잇점을 결합한 카세트 대 카세트 처리 시스템을 제공하는 것이다. 본 발명의 하나의 실시 양태에 따라, 상기 시스템은 시스템 내부로 웨이퍼를 도입시키기 위한 하중 억제 챔버를 포함하고 다음 처리를 위한 웨이퍼 냉각, 웨이퍼 조절기를 수용하는 전달 챔버, 및 각각 서로로부터 분리가능하고 바람직하게 공용의 가스 공급원과 공용의 배기 펌프를 공유하는 두 개 이상의 처리 영역을 각각 갖는 하나 이상의 처리 챔버를 또한 제공하는 바람직하게 집결 진공 시스템이다. 처리 영역이 국한된 플라즈마 영역을 갖는 분리가능한 수단은 배기 시스템을 경유한 인접 영역과 선택적으로 전달가능한 인접 영역으로부터 분리된다. 각각의 챔버내의 처리 영역은 각각의 처리 영역 내의 웨이퍼 표면위로 균일한 플라즈마 밀도를 제공하기 위해 바람직하게 분리된 가스 분배 조립체와 RF 전력원을 또한 포함한다. 처리용 챔버는 다수의 독립된 공정이 적어도 두 개의 웨이퍼가 공유된 가스 공급원, 공유된 배기 시스템, 분리된 가스 분배 조립체, 분리된 RF 전력원, 및 분리된 온도 제어 시스템에 의해 제공된 높은 공정 제어도를 갖는 분리된 처리 영역내에서 동시에 처리될 수 있도록 적어도 두 영역내에서 동시에 행해지도록 배치된다. 더 쉽게 설명하기 위해, 상기 용어 처리 영역에서 챔버는 플라즈마 처리가 행해지는 영역을 지정하는데 사용된다.
도 4 내지 도 7은 본 발명의 처리 시스템(100)을 개략적으로 예시하고 있다. 상기 시스템은 쉽게 설치할 수 있고 작업중에 신속한 시동을 제공하는 주요 프레임 구조물(101) 위에 지지된 필요한 처리 설비를 갖는 자립 시스템이다. 상기 시스템(100)은 4개의 다른 영역, 즉 (도 8에 도시되어진) 웨이퍼 카세트(109)가 지지되고 웨이퍼가 하중 억제 챔버(112)로부터 장전되거나 인출된 전단부 집결 영역(102), 웨이퍼 조절기를 수용하기 위한 전달 챔버(104), 상기 전달 챔버(104)위에 장착된 일련의 직렬 공정 챔버(106), 및 가스 패널(103) 전력 분배 패널(105) 및 전력 발생기(107)와 같은 시스템(100)의 작동중에 필요한 지지물 설비를 수용하는 후단부(108)를 포함한다. 이러한 시스템은 CVD, PVD, 및 에칭과 같은 다양한 공정 및 지지물 챔버 하드웨어를 수용하기에 적합하도록 되어 있다. 하기에 기술되어질 실시예는 실리콘 산화물을 증착하기 위해 시레인 공정과 같은 DCVD 공정을 사용한 시스템에 관한 것이다. 그러나, 이러한 다른 공정은 본 발명에 의해서 인지되어질 것이다.
전방 단부 집결 구역
도 8은 처리용으로 하나 이상의 웨이퍼 카세트 회전대(111)를 갖는 집결 플랫폼(110)을 포함하는 시스템(100)의 전단부 집결 영역(102)을 도시하고 있다. 웨이퍼 카세트(109) 내에 수용된 웨이퍼는 (도 6에 도시된)전방 덮개(139)를 통해 위치된 하나 이상의 도어(137)를 통해 시스템(100) 내부로 장전된다. 로봇과 같은 전단부 웨이퍼 조절기(113)는 웨이퍼 카세트 회전대(111)와 (도 11에 도시된) 하중 억제 챔버 도어(209)에 인접한 집결 플랫폼(110)상에 장착된다. 바람직하게, 전단부 웨이퍼 조절기(113)는 하중 억제 챔버(112)내에 위치된 하중 억제 카세트 내부로 웨이퍼를 장전시키기 위한 제조에서 각각의 웨이퍼 카세트(109)내의 웨이퍼를 색인하기 위한 웨이퍼 배치 시스템을 포함한다. 웨이퍼 배치 시스템을 포함하는 현 시스템에서 잇점을 나타내는데 사용되는 하나의 웨이퍼 핸들러는 캘리포니아, 서니베일, 이큅프 테크놀로지(Equippe technology)로부터 산업상 이용가능한 제 ATM 107 또는 105형이다. 웨이퍼 배치 센서는 처리를 위해 하중 억제 챔버(112)내의 웨이퍼를 위치시키기 전에 웨이퍼의 수와 카세트(109) 내의 웨이퍼의 방향성을 확증한다. 뉴 멕시코 알버퀄크(Alburquerque)에 위치된 엔바이로코 코포레이션(Enviroco coporation) 또는 캘리포니아 산 라파엘에 위치된 플랜덜스(Flanders), 캘리포니아 산타아나에 위치된 필트라(Filtra)로부터 이용가능한 ULPA 필터와 같은 배기 시스템은 시스템의 전방 단부상에 입자 제어를 제공하기 위해 플랫폼(11) 상의 지지단(115)의 바닥에 장착된다. 컴퓨터 모니터(117)는 작동자에게 접촉 제어를 제공하기 위해 지지단(115)위의 모니터 단(119)상에 지지된다.
하중 억제 챔버
도 9는 본 발명의 하중 억제 챔버(112)의 하나의 실시예의 측면 개략도를 도시하고 있다. 하중 억제 챔버(112)는 측벽(202), 바닥(204), 및 뚜껑(206)을 포함한다. 측벽(202)은 진공 시스템(100) 내부로 웨이퍼를 장전시키고 상기 시스템 외부로 웨이퍼를 인출시키기 위한 하중 억제 장전 포오트(208)을 한정한다. 웨이퍼가 하중 억제 챔버(112)로부터 (도시되지 않은) 전달 챔버(104) 내부로 이동되도록 하는 장전 포오트(208)에 반대하여 측벽(202)내에 통로(210,212)가 위치된다. 슬릿 밸브 및 슬릿 밸브 작동기는 독립 및 집결 진공이 바람직할 때 통로(210,212)를 밀봉하는데 사용된다. 서비스 포오트(214)와 서비스 도어 또는 윈도우(216)는 하중 억제 챔버(112)에 서비스 및 시계 접근을 제공하기 위해 하중 억제 챔버(112)의 한 단부상에 위치된다.
하중 억제 챔버(218)는 하중 억제 챔버(112)내의 이격된 관계에서 웨이퍼를 지지하기 위해 하중 억제 챔버(112) 내에 위치되어 웨이퍼 조절기는 하중 억제 카세트(218)로부터 웨이퍼를 위치시키고 이동시키기 위해 웨이퍼 사이를 통과할 수 있다. 하중 억제 카세트(218)는 바람직하게 웨이퍼 시이트(220)위에 나란히 배열되어 두 개 이상의 웨이퍼를 지지한다. 웨이퍼 시이트(220)는 이동가능한 샤프트(224) 상의 이격된 관계로 지지된 카세트 플레이트(222)상에 형성된다. 바람직하게, 플레이트(222)는 양극처리한 알루미늄으로 제조되며, 약 1.52 cm(0.6인치)로 수직으로 이격된 약 14개의 웨이퍼를 위로 조종할 수 있다. 도 9에 도시된 실시예에서, 웨이퍼 시이트(220)의 6열은 전체 12 웨이퍼를 지지하는데 제공된다.
각각의 웨이퍼 시이트(220)는 적어도 두 개의 홈(226)을 제한하고, 상기 홈 내의 지지 레일(228)은 웨이퍼 아래의 냉각 가스 통로를 제공하기 위해 웨이퍼 시이트(220) 이상의 웨이퍼를 지지하는데 위치된다. 바람직한 실시예에서, 세라믹으로 제조된 적어도 두 개의 레일(228)은 웨이퍼를 지지하는데 제공되나, 보다 많은 레일이 사용된다. 웨이퍼의 균일한 냉각을 제공하기 위해 세라믹 레일(228)상에 웨이퍼 시이트(220)위의 1 내지 15 mil의 웨이퍼가 지지된다.
샤프트(224)는 하중 억제 챔버(112)의 바닥(204)을 통해 위치되며 하중 억제 챔버(112)내의 카세트 플레이트(222)를 지지한다. 스테퍼 모터 또는 다른 승강기 시스템과 같은 모터는 하중 억제 챔버(112)의 바닥(204) 아래에 위치되며 하중 억제 챔버(112)로부터 웨이퍼를 장전 또는 인출하기 위해 웨이퍼 핸들러와 정열하고 있는 한 쌍의 웨이퍼를 위치시키기 위해 하중 억제 챔버(112)내에서 샤프트(224)를 상하로 이동시킨다.
도 10은 전방으로 이동하는 하중 억제 챔버(112)의 측면도이다. 카세트 플레이트(222)는 중심 부분(230)을 포함하고 상기 부분을 통해 샤프트(224)는 플레이트(222)를 지지하기 위해 연장한다. 카세트 플레이트(222)의 외부 엣지는 핀(234)과 함께 고정된 스페이서(232)에 의해 이격된 관계로 지지된다. 각각의 플레이트(222)는 웨이퍼가 시이트(220)상에 지지될 때 웨이퍼 아래에 통과하기 위해 로봇용 슬롯을 형성하는 각각의 플레이트 내부에 형성된 중심 채널(236)을 제한한다.
도 11은 하중 억제 챔버(112)의 전방 사시도이다. 장전 도어(209) 및 도어 작동기(238)가 밀폐되고 밀봉된 위치에 도시되어 있다. 장전 도어(209)는 이동가능한 샤프트(240)상의 작동기(238)에 연결된다. 도어(209)를 개방하기 위해서는, 작동기(238)는 도어(209)를 개봉하기 위해 측벽(202)으로부터 떨어져 경사져 있고 그 후 샤프트(240)는 도어(209)의 간극을 제공하고 (도 9에 도시되어진) 포오트(208)에 근접하기 위해 낮아진다. 본 발명으로 잇점을 갖는데 사용된 하나의 도어 작동기는 스위스에 위치된 VAT 로부터 이용가능하다.
탑재되어진 진공 펌프(121)는 하중 억제 챔버와 전달 챔버 아래로 펌핑하기 위해 하중 억제 챔버(112)와 전달 챔버(104)에 인접한 프레임(101)상에 장착된다. 배기 포오트(280)는 하중 억제 챔버(112)의 바닥을 통해 위치되며 배기 라인(704)을 경유하여 펌프(121)에 연결된다. 펌프는 바람직하게 매우 낮은 진동으로 millitorr 압력의 제공이 가능한 고진공 터보 펌프이다. 잇점을 갖도록 사용된 진공 설비는 에드워드 고 진공 설비로부터 이용가능하다.
전달 챔버(104)는 한 쌍의 슬릿 밸브 실링 통로(210, 212)를 개방하고 하중 억제 챔버(112) 내에 위치된 배기 포오트(208)를 통해 가스를 배출함으로써 하중 억제 챔버(112)를 통해 바람직하게 펌핑된다. 가스가 결합한 입자는 하중 억제 챔버(112)를 통해 시스템의 외부로 가스를 연속적으로 배출함으로써 전달 챔버(104) 내부로 일소됨을 막는다. 또한, 가스 확산기(231)는 대기에 환기됨을 용이하게 하기 위해 하중 억제 챔버 내에 위치된다. 가스 확산기(231)는 바람직하게 하중 억제 챔버내에 위치된 도관이며 N2정화 가스 라인과 같은 가스 정화 라인에 연결된다. 가스 확산기(231)는 확산기의 길이를 따라 위치된 다수의 포오트(233)를 통해 대형의 표면적을 따라 정화 가스를 분배시키고, 그 결과 챔버로 환기되어질 시간을 감소시킨다. 본 발명의 진공 시스템은 하기에 보다 상세히 설명되어질 것이다.
이중 위치의 하중 억제 챔버
도 12는 본 발명의 하중 억제 챔버(112)의 또 다른 실시예를 도시한 사시도이다. 하중 억제 챔버(112)는 챔버 벽(202), 바닥(204), 및 뚜껑(206)을 포함한다. 상기 챔버(112)는 두 개의 분리된 환경, 또는 격실(242,244) 및 전달 영역(246)을 포함한다. 격실(242,244)은 그 내부에 웨이퍼를 지지하기 위해 각각의 격실(242, 244)내의 웨이퍼 카세트를 포함한다. 각각의 격실(242, 244)은 격실(242,244)의 바닥 및 상층을 한정하기 위해 지지 플랫폼(248) 및 상층 플랫폼(250)을 포함한다. 측벽(252)은 이격된 관계에서 플랫폼(248,250)을 지지하기 위해 격실(242,244)내에 수직으로 위치된다. 전달 영역(246)은 하중 억제 챔버(112)로부터 (도시되지 않은)전달 챔버(104) 내부로 근접을 제공하기 위한 하나 이상의 통로(192)를 포함한다. 통로(192)는 슬릿 밸브 및 슬릿 밸브 작동기를 사용하여 바람직하게 개방되거나 밀폐된다.
격실(242,244)은 승강기 샤프트(224)에 연결되어 있으며, 각각의 샤프트는 하중 억제 챔버(112)내에 격실을 상하로 이동시키기 위해 스테퍼 모터와 같은 모터에 연결된다. 밀봉 플랜지(256)는 격실(242)의 플랫폼(248)을 지지하기 위한 밀봉면을 제공하기 위해 하중 억제 챔버(112)내에 주변으로 위치된다. 밀봉 플랜지(258)는 격실(244)의 플랫폼(250)을 지지하기 위한 밀봉면을 제공하기 위해 유사하게 위치된다. 격실(242,244)은 하중 억제 챔버(112)내의 격실(242,244)의 독립적인 단계식 진공을 제공하기 위해 밀봉 플랜지(256,258)에 의해 서로로부터 독립된다.
후면 압력은 스페이스 내부에 위치된 진공 포오트를 통해 스페이스(260,262)내에 유지된다. 진공 펌프는 배기 라인(264)를 경유하여 스페이스(260,262)에 연결되어 밀봉 플랜지(256,258)에 대해 플랫폼(248,250)의 밀봉을 도와주는 스페이스(260, 262)내에 고 진공이 제공될 수 있다.
작동중에, 격실(242,244)은 도 12에 도시되어진 위치에 장전하거나 인출될 수 있다. (도 11에 도시되어진) 전술한 것과 같은 장전 도어(209) 및 작동기(238)가 격실(242,244)과 대응하는 하중 억제 챔버(112)의 상한 및 하한에서 (도시되지 않은) 전방 벽을 통해 제공된다. 선택된 격실 내의 압력은 웨이퍼가 배기 라인(287,289)를 경유하여 격실 내부로 장전되고 선택되어진 격실이 전달 영역(246) 내부로 이동한 후에 펌핑된다. 격실(242,244)은 스테퍼 모터에 의해 전달 영역(246) 내부로 독립적으로 이동한다. 상부 및 하부 격실(242,244)을 갖는 잇점은 웨이퍼 제 2 세트가 다른 격실 내부로 장전되는 동안 웨이퍼 한 세트의 처리가 이루어지고, 적절한 압력으로 격실이 펌핑되어 전달 챔버(104)와 연통하고 전달 영역(246) 내부로 이동된다.
웨이퍼 중심 검출
도 8은 웨이퍼 카세트(109)로부터 하중 억제 챔버(112) 내부 또는 외부로 웨이퍼를 전달하기 위한 웨이퍼 전달 블레이드를 포함하는 시스템(100)의 전단부(102) 상에 웨이퍼 조절 로봇(113)을 도시하고 있다. 웨이퍼는 각각의 웨이퍼 카세트(109)내의 동일한 위치에 항상 정확하게 놓여지지는 않으며, 그 결과 웨이퍼가 하중 억제 카세트(218) 내부로 전달될 때 블레이드상에 동일하게 위치되지 않는다. 따라서, 웨이퍼가 하중 억제 챔버 카세트 내부로 장전되기 이전에, 로봇 블레이드 상의 웨이퍼의 정확한 위치는 결정되며 제어 컴퓨터가 제공된다. 웨이퍼의 정확한 위치를 인지하는 것은 컴퓨터가 블레이드상에 각각의 웨이퍼의 가변 위치를 조절하고 하중 억제 챔버 카세트(218)내의 소정의 위치내에 정확하게 웨이퍼를 증착하게 되어, 궁극적으로 전달 챔버내의 웨이퍼 핸조절기는 공정 챔버(106)내의 웨이퍼를 정확하게 위치시킬 수 있다.
로봇이 하중 억제 카세트(218) 내의 웨이퍼를 정확하게 위치시키도록 하는 (바람직하게 웨이퍼의 중심 등위의)웨이퍼 위치 데이터를 제공하는 광학 감지 시스템(170)은 전단부(102) 상에 각각의 카세트 회전대(111)에 인접하여 제공된다. 각각의 시스템은 로봇 블레이드의 통로에 수직인 라인을 따라 카세트 회전대(111)에 인접한 C 클램프(174)의 하부 지지대(173)에 장착된 3개의 광학 센서(172)와 상기 센서가 연합한 이미터로부터 라이트 비임을 차단하도록 연합한 센서와 정열한 C 클램프(174)의 상부 지지대(177)상에 위치된 3개의 광학 이미터(176)를 포함한다. 일반적으로, 각 쌍은 종래의 적외선 이미터 및 센서로 이루어진다.
센서의 출력은 연합한 아나로그 대 디지털 전환기에 의해 하중 억제 챔버(112)로 유입됨에 따라 웨이퍼의 등위로 중심을 계산하고 로봇(113)에 의해 하중 억제 챔버 카세트(218)내의 각각의 웨이퍼의 정확한 위치선정을 가능하게 하도록 요구되어지는 로봇 드라이브 모터의 작동을 제어하여 사용되기 위한 시스템 컴퓨터에 입력하여 출력된 디지털 신호로 전환된다. 미국 특허 제 4,819,167호에 보다 상세히 기술된 감지 및 모터 제어 회로가 참고로 구체화되어 있다.
전달 챔버
도 13은 본 발명의 처리 시스템(100)의 상층도이다. 전달 챔버 몸체는 측벽(302) 및 바닥(304)을 포함하고 바람직하게 기계 가공되거나, 그렇지 않으면 알루미늄과 같은 하나의 재료로부터 제조된다. (도시되지 않은) 뚜껑은 진공 인클로저를 형성하기 위해 작동시에 측벽(302)상에 지지된다. 전달 챔버(104)의 측벽(302)은 처리 챔버(106) 및 하중 억제 챔버(112)를 지지한다. 측벽(302)은 각 면상에 적어도 두 개의 통로(310)를 한정하며 상기 각 면을 통해 시스템상에 다른 챔버에 근접이 제공된다. 각각의 처리 챔버(106) 및 하중 억제 챔버(112)는 처리 챔버, 하중 억제 챔버, 전달 챔버 사이의 연통을 가능하게 하는 하나 이상의 슬릿 밸브 개구 및 슬릿 밸브를 포함하며, 시스템내의 집결 챔버가 가능하도록 각각의 이러한 챔버내의 환경을 진공 고립시킨다. 전달 챔버(104)의 바닥(304)은 중심 통로(306)를 한정하며 상기 통로 내부에 로봇 조립체와 같은 웨이퍼 조절기(500)는 전달 챔버의 바닥에 연장되며 장착된다. 또한, 바닥(304)은 다수의 통로(308)를 한정하며 상기 통로를 통해 하나 이상의 슬릿 밸브 작동기는 연장되고 밀봉 장착된다. 가스 정화 포오트(309)는 펌핑시에 정화 가스를 제공하기 위해 전달 챔버(104)의 바닥(304)을 통해 위치된다.
도 14는 부분 단면으로 나타난 전달 챔버(104)를 도시하고 있다. 측벽(302)을 통해 위치된 통로(310)는 두 개의 개별적인 슬릿 밸브 또는 세로 직렬의 슬릿 밸브 조립체를 사용하여 개봉되거나 밀폐될 수 있다. 통로(310)는 웨이퍼 가열기 받침대(628) 상의 위치선정을 위해 챔버(106)내의 처리 영역(618,620) 내부로 웨이퍼(502)의 유입을 허용하는 (도 15에 도시되어진) 공정 영역(618,620)내의 웨이퍼 통로(610)와 짝을 이룬다.
슬릿 밸브 및 슬릿 밸브의 제어 방법은 참고로 구체화된 테프만(Tepman)에 의해 기술된 미국 특허 제 5,226,632호와 로리머(Lorimer)에 의해 기술된 미국 특허 제 5,363,872호에 개시되어 있다.
전달 챔버 웨이퍼 조절기
도 15는 전달 챔버(104) 내에서 자유롭게 회전하기 위해 수축된 위치에서 본 발명의 자력 결합식 로봇(500)의 상층도를 개략적으로 도시하고 있다. 이중 웨이퍼 조절 블레이드(520,522)를 갖는 로봇은 하나의 챔버에서 다른 챔버에 이르기까지 웨이퍼(502)를 전달하기 위해 전달 챔버(104)내에 위치된다. 본 발명에서 수정되어 잇점을 갖도록 사용될 수 있는 고 생산성(VHP) 형태의 로봇은 참고로 구체화된, 두축의 자력 결합식의 로봇으로 제목붙혀진 미국 특허 제 5,469,035호의 당면 과제이다. 자력 결합식 로봇(500)은 고정 평면내에 로봇 블레이드의 방사상 및 회전 이동을 제공하기 위해 두 개의 진공면 허브(자력 클램프로 또한 언급됨) 사이에 연결된 개구리형 다리로 이루어진다. 방사상 및 회전성 이동은 하나의 처리 챔버(106)에서 다른 챔버에 이르는 것과 같은 챔버(100)내의 하나의 위치에서 다른 위치에까지 두 개의 웨이퍼를 픽업하여 전달하기 위해 조정되거나 결합된다.
로봇은 점(525)에서 제 1 자석 클램프(524)에 단단하게 부착된 제 1 버팀목(504)과 (도 17에도 도시되어 있는)점(527)에서 (제 1 자석 클램프(524)아래에 동심으로 위치된)제 2 자석 클램프(526)에 단단하게 부착된 제 2 버팀목(506)을 포함한다. 제 3 버팀목(508)은 피봇(510)에 의해 버팀목(504)에 부착되고 피봇(512)에 의해 웨이퍼 블레이드 조립체(540)에 부착된다. 제 4 버팀목(514)은 피봇(516)에 의해 버팀목(506)에 부착되고 피봇(518)에 의해 웨이퍼 블레이드 조립체(540)에 부착된다. 버팀목(504,508,506,514) 구조물과 피봇(510,512,516,518)은 웨이퍼 블레이드 조립체(540)와 자석 클램프(524,526) 사이에 개구리 다리 형태의 연결부를 형성한다.
자석 클램프(524,526)가 동일한 각속도로 동일한 방향으로 회전할 때, 로봇(500)은 동일한 속도로 동일한 방향으로 축 A 에 대해 회전한다. 자석 클램프(524,526)가 동일한 절대 각속도로 반대 방향으로 회전할 때, 조립체(500)의 회전은 없으나, 대신에 도 16에 도시되어진 위치에서 웨이퍼 블레이드 조립체(540)의 선형 방사상 이동이 존재한다.
두 개의 웨이퍼(502)는 각각의 웨이퍼 블레이드(520,522)가 챔버(106)의 처리 영역(618,620)내부 또는 외부로 웨이퍼(502)를 전달하기 위해 전달 챔버(104)의 측벽(302)내에 각각의 웨이퍼 통로(310)를 통해 연장될 수 있도록 나타내기 위해 웨이퍼 블레이드 조립체(540)상에 장전되어 도시되어 있다. 자력 결합식 로봇(500)은 두 개의 모터의 상대 속도에 대응하는 자석 클램프(524,526)의 상대적인 회전 이동에 의해 제어된다. 자석 클램프가 동일한 속도에서 동일한 방향으로 회전하도록 하는 모터내에 제 1 작동 모드가 제공된다. 상기 모드가 자석 클램프의 상대적인 이동을 초래하지 않으므로, 로봇은 다른 한 쌍의 처리 영역과 웨이퍼 교환에 적합한 위치에서 한 쌍의 처리 영역(618,620)과 웨이퍼 교환을 위해 적합한 위치로부터 중심축 A 에 대해 단순하게 회전된다. 더욱이, 완전히 수축된 로봇이 중심축 A에 대해 회전됨에 따라, 웨이퍼의 엣지를 따라 가장 바깥층의 방사상 점(548)이 로봇을 회전시키기 위해 요구되는 최소의 원형 영역(550)을 한정한다. 자력 결합식의 로봇은 자석 클램프(524,526)가 동일한 속도에서 반대 방향으로 회전하도록 두 개의 모터 내에서 제 2 모드를 작동시킨다. 상기 제 2 모드는 블레이드를 회수하기 위해 처리 영역(618,620) 내부로 또는 역으로 통로(310)를 통해 웨이퍼 블레이드 조립체(540)의 웨이퍼 블레이드(520,522)를 연장하는데 사용된다. 또 다른 모터 회전은 로봇(500)이 축 A에 대해 회전되어지는 것처럼 웨이퍼 블레이드 조립체(540)의 동시 발생의 연장 또는 수축을 제공하기 위해 사용될 수 있다.
회전축 A 으로부터 방사상으로 떨어진 웨이퍼 블레이드 조립체(540)의 웨이퍼 블레이드(520,522)를 유지시킴으로써, 피봇의 동일 또는 반대의 각각의 각회전을 보장하기 위해 피봇 또는 캠 사이에 연동 기구가 사용된다. 상기 연동 기구는 도 8 또는 그에 상당한 피봇 둘레로 당겨진 상호맞물린 기어 또는 스트랩을 포함하며 많은 설계를 요한다. 하나의 바람직한 연동 기구는 웨이퍼 블레이드 조립체(540)의 피봇(512,518) 사이에서 결합하고 연장하는 한 쌍의 금속 스트랩(542,544)이다. 스트랩(542,544)은 피봇(512,518) 둘레로 도 8을 형성하기 위해 협력한다. 그러나, 스트랩(542,544)이 각각 조절가능하고 차례로 위치되는 것이 바람직하다. 예를 들어, 제 1 스트랩(542)의 제 1 단부는 피봇(512)의 후면 둘레로 통과되며 단단하게 결합되며, 제 2 단부는 피봇(518)의 전방면 둘레로 통과하고 조절가능하게 결합된다. 유사하게, 제 2 스트랩(544)의 제 1 단부는 피봇(518)의 후면 둘레로 통과하고 단단하게 결합되며, 제 2 단부는 피봇(512)의 전방면 둘레로 통과하고 조절가능하게 결합된다. 피봇(512,518)의 스트랩과 전방면 사이의 조절가능한 커플링은 스트랩상에 정확한 장력을 당기는 스프링으로 바람직하게 제공된다. 장력이 발생하게 되면, 스트랩의 단부는 스크류 또는 다수의 패스너를 갖는 위치에 단단하게 고정되어 있다. 도 15 및 도 16에서, 스트랩은 U 자형의 이중 블레이드의 기저에서 로드(546) 둘레로 통과하여 도시되어 있다.
도 16은 연장된 위치에서 도 15의 로봇 아암과 블레이드 조립체를 도시하고 있다. 상기 연장부는 시계 방향으로 자석 클램프(526), 반시계 방향으로 자석 클램프(524)의 동시발생의 동일 회전에 의해 이루어진다. 웨이퍼 블레이드 조립체(540)의 각각의 블레이드(520,522)는 (도 19 참조)받침대(628) 위로 웨이퍼(502)의 중심과 통로(310)를 통해 연장하기에 충분히 길다. 웨이퍼(502)가 한 쌍의 리프트 핀 조립체에 의해 블레이드로부터 상승되면, 블레이드는 수축되고 통로(310)는 전술한 바와 같이 슬릿 밸브 및 작동기에 의해 밀폐된다.
도 17은 전달 챔버(104)의 바닥(304) 내부에 중심 개구(306)에 장착된 로봇 구동 시스템의 횡단면도를 도시하고 있다. 자력 결합 조립체는 중심축 A에 대해 자력 보유 링(524,526)을 회전하도록 배치되어 있어, 회전 또는 선형으로 시스템내의 웨이퍼 블레이드 조립체(540)를 작동하기 위해 구동 기구가 제공된다. 부가적으로, 자력 결합 조립체는 입자 발생을 최소화하기 위해 전달 챔버(104) 내의 최소 접촉 이동 부분으로 자력 보유 링(524,526)의 회전성 이동을 제공한다. 이러한 실시예에서, 로봇 특성물은 전달 챔버(104)의 위 또는 아래 바람직하게는 전달 챔버의 아래에 위치된 하우징내에 제 1 및 제 2 스테퍼 또는 서보 모터를 고정시키고 얇은 벽(560)의 인접부 또는 그 내부에 위치된 자력 링 조립체에 모터의 출력부를 결합함으로써 제공된다. 상기 얇은 벽(560)은 챔버의 외부 환경으로부터 전달 챔버의 내부를 밀봉하기 위해 밀폐된 연결부에서 전달 챔버(104)의 상부 또는 하부 벽(304)에 연결된다. 자력 보유 링(524,526)은 얇은 벽(560)을 둘러싸거나 인접한 전달 챔버(104)의 진공면상에 위치된다.
제 1 모터 출력(562)은 제 1 자력 보유 링(524)에 자력으로 결합된 제 1 자력 링 조립체(582)에 회전을 제공하기 위해 제 1 샤프트(572)와 상호결합된 기어(580)를 구동시킨다. 제 2 모터 출력(564)은 제 2 자력 보유 링(526)에 자력으로 결합된 (상기 조립체(582)에 위치된 동심의 원형 부재)제 1 자력 링 조립체(592)에 회전을 제공하기 위해 제 1 샤프트(586)와 상호결합된 기어(590)를 구동시킨다. 각각의 모터의 회전은 얇은 벽(560)을 통해 회전 출력을 자력 보유 링(524,526)과 자력으로 결합시키는 자석 링 조립체(582,592)를 회전하는 회전 출력(562,564)을 제공하여, 각각 버팀목(504,506)을 회전시키고 웨이퍼 블레이드 조립체(540)에 회전 및 병진 운동을 제공한다.
각각의 자력 보유 링에 각각의 자석 링 조립체를 결합하기 위해서는, 각각의 자석 링 조립체(582,592) 및 자석 보유 링(524,526)은 바람직하게 벽(560)을 통해 서로 상을 이룬 동일한 다수의 자석을 포함한다. 자력 결합 효과를 증가시키기 위해서는, 자석은 결합된 자석에 인접한 자석을 향해 연장하는 극 부분으로 수직으로 정열된 극으로 위치된다. 자력으로 움직이는 자석은 남극 결합에서 북극으로 얇은 벽의 단면의 다른 면에 위치된 각각의 한 쌍의 극 부분이 발생한다. 자력 결합이 바람직한 반면에, 보유 링에 모터의 직접 결합이 또한 사용된다.
로봇의 최적의 행로 궤적
웨이퍼를 전달하는 동안 로봇(500)의 이동은 웨이퍼를 파지하기 위한 웨이퍼와 이중의 웨이퍼 블레이드(520,522) 사이의 마찰에 의해 주로 제한된다. 각각의 웨이퍼 블레이드(520,522)의 선형 및 회전 이동은 웨이퍼의 잘못된 배열을 방지하기 위해 제어되어야 한다. 로봇의 이동은 웨이퍼의 잘못된 배열을 방지하면서 생산성을 개선하기 위해 최소의 웨이퍼 전달 시간을 제공하도록 바람직하게 조정된다.
로봇 이동의 최적화에 대해서는 제트.쉴러(Z. Shiller) 및 에스.두보스키(S.Dubowsky)에 의한 1989년 로봇 리서치 국제 간행물 3 ~ 18 쪽의 장애물, 작동기, 그리퍼, 및 유료 하중 억제로 로봇 작동자용 시간 최적의 행로와 제트.쉴러(Z. Shiller) 및 에이취. 에이취.루(H.H.Lu)에 의한 주어진 로봇 배치를 위한 두 개 이상의 점 사이의 시간 최적의 행로를 발견하기 위한 수학적 접근을 제공하는, 1991년 측정 및 제어, 동력 시스템 ASME 간행물의 특정화된 행로를 따른 시간 최적 이동의 비교 등에 기술되어 있다. 이러한 접근은 일반적으로 특정화된 행로의 수학적 접근 단계와, 최적의 속도 프로파일의 계산 단계, 및 모두 공지된 제한 사항에서 특정화된 행로를 따르기 위해 로봇에 필요한 최소의 시간을 발견하기 위한 행로 계수를 변화시킴으로써 최적의 행로의 계산 단계를 수반한다.
로봇 이동의 최적화의 수학적인 해결책은 다수의 대수식 및 비 선형 등착식 또는 비 선형 매트릭스 등차식의 해결법을 수반하며, 바람직하게 컴퓨터에 의해 도움을 받는다. 그러나, 최적화 방법에서 숙련된 기술자는 때때로 매트릭스 또는 상기 식의 해결없이 보다 최적의 통로로 동일시될 수 있다.
전술한 로봇(500)을 사용한 웨이퍼 이동의 최적화는 본 발명의 처리 시스템의 생산성을 개선시키기 위해 예상되어진 몇시간의 최적의 행로를 초래한다. 시간 최적의 행로는 도 26 내지 도 29에 도시되어 있다. 도 26은 처리 플랫폼 상에서 180°떨어져 위치된 챔버 사이에서 웨이퍼를 이동시키기 위한 최적의 행로(1500,1502,1504)를 도시하고 있으며 도 27은 이중의 웨이퍼 블레이드(520,522)상에 웨이퍼에 의해 취해진 행로(1502,1504)사이에서 중간 행로(1500)의 최적의 수직 프로파일을 도시한다. 도 28은 처리 플랫폼 상에서 90°떨어져 위치된 챔버 사이에서 웨이퍼를 이동시키기 위한 최적의 행로(1510,1512,1514)를 도시하고 있으며도 29는 이중의 웨이퍼 블레이드(520,522)상에서 웨이퍼에 의해 취해진 행로(1512,1514)사이에서 중간 행로(1510)의 최적의 수직 프로파일을 도시한다.
도 27 및 도 29는 웨이퍼가 이중의 웨이퍼 블레이드(520,522)상에 위치되지 않을 때 로봇(500)에 의해 행로(1500,1510)를 따라 달성될 수 있는 최대 속력을 또한 도시하고 있다. 로봇(500)은 전달 챔버(104)를 통해 웨이퍼를 이동시킬 때 이중의 웨이퍼 블레이드(520,522)가 도 26 내지 도 29에 도시된 최적의 속도 프로파일을 사용하여 최적의 행로를 따르도록 바람직하게 제어된다.
가공 챔버
도 18은 본 발명의 병렬식 처리 챔버(106)의 실시예를 나타낸 도면이다. 챔버 몸체(602)는 장착되거나 그렇지 않으면 전달 챔버(104)에 연결되며, 각각의 웨이퍼가 동시에 처리되는 두 개의 처리 영역을 포함한다. 챔버 몸체(602)는 상기 몸체에 힌지 형상으로 부착된 뚜껑(604)을 지지하고 다중의 처리 영역 내부로 반응물 또는 정화 가스를 전달하기 위해 상기 영역을 통해 위치된 하나 이상의 가스 분배 시스템(608)을 포함한다.
도 19는 두 개의 처리 영역(618,620)을 한정하는 챔버(106)의 개략적인 횡단면도를 도시하고 있다. 챔버 몸체(602)는 두 개의 처리 영역(618,620)을 한정하는 측벽(612), 내부 벽(614) 및 하부 벽(616)을 포함한다. 각 처리 영역(618,620)내의 하부 벽(616)은 적어도 두 개의 통로(622,624)를 한정하고 상기 통로를 통해 받침대 가열기(628)의 스템(626)과 웨이퍼 리프트 핀 조립체의 로드(630)이 각각 위치된다. 받침대 리프트 조립체와 웨이퍼 리프트는 하기에 기술되어질 것이다.
측벽(612) 및 내부 벽(614)은 두 개의 원통형의 환형 처리 영역(618,620)을 한정한다. 외주부 펌핑 채널(625)은 처리 영역(618,620)으로부터 가스를 배출하고 각각의 영역(618,620)내의 압력을 조절하기 위한 원형의 처리 영역(618,620)을 한정하는 챔버 벽내에 형성된다. 바람직하게 세라믹 등으로 제조된, 챔버 라이너 또는 삽입부(627)는 각 처리 영역의 측면 경계를 부식성의 처리 환경으로부터 챔버벽(612,614)을 방지시키고 전극 사이의 전기 절연식의 플라즈마 환경을 유지시키기 위해 처리 영역(618,620)내에 위치된다. 라이너(627)는 각 처리 영역(618,620)의 벽(612,614)내에 형성된 가로대(629)상의 챔버내에 지지된다. 상기 라이너는 챔버 벽내에 형성된 펌핑 채널(625)과 연통하고 상기 채널을 통해 위치된 다수의 배기 포오트(631) 또는 원주 슬롯을 포함한다. 바람직하게, 15°떨어져 위치되고 처리 영역(618,620)의 외주부에 위치된 각각의 라이너(627)를 통해 위치된 24개의 포오트(631)가 있다. 24개의 포오트가 바람직하나, 소정의 펌핑 속도와 균일도를 이루기 위해서 임의의 갯수도 사용될 수 있다. 포오트의 수 이외에도, 가스 분배 시스템의 표면에 대한 포오트의 높이는 처리시에 웨이퍼상에 최적의 가스 흐름 패턴을 제공하기 위해 제어된다.
도 21은 본 발명의 배기 시스템을 예시하는 챔버의 횡단면도이다. 각각의 처리 영역(618,620)의 펌프핑 채널(625)은 공용의 배기 채널(619)을 경유하여 공용의 배기 펌프에 바람직하게 연결된다. 배기 채널(619)은 배기 도관(621)에 의해 각각의 영역(618,620)의 펌프 채널(625)에 연결된다. 배기 채널(619)은 (도시되지 않은)배기 라인을 경유하여 배기 펌프에 연결된다. 각 영역은 펌프에 의해 선택된 압력에서 바람직하게 펌핑되며 각 영역내의 압력의 균등화를 허용한다.
도 19를 다시 언급하면, 각각의 처리 영역(618,620)은 바람직하게 동일한 가스 공급원으로부터 처리 영역(618,620)내부로 가스를 전달하기 위해 챔버 뚜껑(604)을 통해 위치된 가스 분배 조립체(608)을 또한 바람직하게 포함한다. 각각의 처리 영역의 가스 분배 시스템(608)은 샤워 헤드 조립체(642) 내부로 가스를 전달하는 가스 입구 통로(640)를 포함한다. 샤워 헤드 조립체(642)는 중간의 표면(646)에 위치된 차단판(644)을 갖는 환형의 기저판(648)으로 이루어진다. RF 급송 장치는 샤워헤드 조립체의 표면(646)과 가열기 받침대(628) 사이에 플라즈마의 발생을 용이하게 하기 위해 샤워헤드 조립체에 바이어스 전위를 제공한다. 냉각 채널(652)은 작동중에 플레이트를 냉각시키기 위해 각각의 가스 분배 시스템(608)의 기저판(648)내에 형성된다. 입구(655)는 냉각제 라인(657)에 의해 서로 연결된 채널(652) 내부로 물과 같은 냉각제 유체를 전달한다. 냉각 유체는 냉각제 출구(659)를 통해 채널을 빠져나간다. 또한, 냉각 유체는 분기관을 통해 순환된다.
챔버 몸체(602)는 가스 분배 시스템을 통해 챔버 내에 전달되어질 선택적인 공정에 적합한 각각의 반응 가스 및 정화 가스의 다수의 수직 가스 통로를 한정한다. 가스 입구 연결부(641)는 가스 입구 라인(639)에 챔버 벽내에 형성된 가스 통로를 연결시키기 위해 챔버(106)의 바닥에 위치되어 있다. O-링은 도 21에 도시되어진 뚜껑과 연결된 밀봉부를 제공하기 위해 챔버 벽의 상부 표면위에 챔버 벽을 통해 형성된 각각의 가스 통로 둘레에 제공된다. 상기 뚜껑은 도 20에 도시되어진 것처럼 챔버 뚜껑의 상층에 위치된 가스 입구 분기관(670) 내부로 챔버 벽의 하부로부터 가스를 전달하기 위한 정합 통로를 포함한다. 반응 가스는 가스 분배 조립체에 연결된 가스 출구 분기관(674) 내부와 전압 증감 급송 장치(672)를 통해 전달된다.
가스 입력 분기관(670)은 챔버 가스 급송 장치를 통해 접지되어진 일정한 전압 증감 급속 장치 내부로 공정 가스를 보낸다. (도시되지 않은) 가스 공급관은
전압 증감 가스 급속 장치(672)와 외부 분기관(674) 내부로 공정 가스를 전달하거나 발송한다. 저항성 슬리브는 챔버내의 플라즈마가 가스 공급관으로부터 이동하지 못하도록 급송 장치를 가로질러 선형의 전압 강하를 야기하도록 가스 공급관을 둘러싼다. 가스 공급관은 바람직하게 석영으로 제조되고 슬리브는 바람직하게 혼합물 세라믹으로 제조된다. 상기 가스 공급관은 온도를 제어하기 위해 냉각제 채널을 포함하고 열 복사를 방지하고 공정 가스의 액화를 또한 방지하도록 절연 블록내에 위치된다. 바람직하게, 절연 블록은 델린으로 제조된다. 석영 공급관은 차단제 플레이트(644)에 가스 출력 분기관(674) 내부로 공정 가스를 전달하는 가스 출력 분기관(674) 내부로 가스를 전달한다.
가스 유입구 분기관(670)(도 20 참조)은 원격의 플라즈마 공급원 내부로 챔버 가스 급송 장치로부터 정화 가스를 전달하는 통로를 전달한다. 상기 가스는 전압 증감 급송장치를 우회하고 가스가 다양한 여기원 내부로 활성화되는 원격의 플라즈마 공급원 내부로 공급된다. 상기 여기원은 가스 입구 통로(640)에 위치된 도관을 통해 차단제 플레이트 아래의 위치에서 가스 분배 플레이트로 전달된다. 원격의 플라즈마 공급원과 반응액 정화 가스의 전달은 하기에 기술되어질 것이다.
각각의 처리 영역의 가스 분배 시스템 내부로 가스를 공급하는 가스 라인(639)은 바람직하게 단일의 가스 공급 라인에 연결되며 각각의 처리 영역(618,620)에 가스의 전달을 위해 공유하거나 공통으로 제어된다. 다중 영역 챔버에 공정 가스를 공급하는 가스 라인은 T형 결합에 의해 다중의 처리 영역으로 공급하게 한다. 각각의 처리 영역에 공급되는 각각의 라인 내부로 흐름을 용이하게 하기 위해서는, 폴(PALL) 또는 밀리포어(Millipore)로부터 이용가능한 소결성 니켈 필터와 같은 필터는 스플리터로부터 가스 라인 상류에 위치된다. 필터는 각각의 가스 공급 라인 내부로 가스의 흐름 및 분배를 증가시킨다.
가스 분배 시스템은 그 하부 표면에 인접하여 위치된 차단제 플레이트를 갖는 기저판으로 이루어진다. 표면판은 처리 영역 내부로 가스를 전달하기 위해 차단판 아래에 위치된다. 하나의 실시에에서, 기저판은 차단판 위의 영역에서 공정 가스를 전달하기 위해 상기 영역을 통해 가스 통로를 한정한다. 차단판은 그 상부면위로 가공 가스를 분산시키고 전방판 위의 가스를 전달한다. 차단판 내의 구멍은 가공 가스의 혼합과 전방판 위로의 분배를 증대시키기 위해 크기 및 위치가 조절된다. 전방판에 전달된 가스는 처리용으로 위치된 웨이퍼 상에 균일한 방식으로 처리 영역 내부로 전달된다.
가스 공급관은 가스 통로 내에 위치되며 원격의 플라즈마 공급원으로부터 출력 라인까지 한 단부에 연결된다. 가스 공급관의 한 단부는 원격의 플라즈마 공급원으로부터 가스를 전달하기 위해 가스 출구 분기관을 통해 연장한다. 가스 공급관의 다른 단부는 전방판 위의 영역에 차단제 플레이트 아래로 가스를 전달시키기 위해 차단제 플레이트를 통해 위치된다. 전방판은 가스 공급관을 통해 전달된 가스를 분산시키고 처리 영역 내부로 가스를 전달한다.
가스 분배 시스템이 바람직하나, 원격의 플라즈마 공급원으로부터 가스는 챔버 벽을 통해 제공되어진 포오트를 통해 처리 영역 내부로 도입될 수 있다. 또한, 공정 가스는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 이용가능한 가스 분배 시스템과 같은 현재 이용가능한 임의의 가스 분배 시스템을 통해 전달될 수 있다.
가열기 받침대
도 19는 지지판의 하면에 연결되고 구동 시스템(603)에 연결된 챔버 몸체(602)의 바닥을 통해 연장하는 스템(626)에 의해 각각의 처리 영역(618,620)내에 이동가능하게 위치된 가열기 받침대(628)를 도시하고 있다. 상기 스템(626)은 바람직하게 상기 가열기 받침대(628)의 하면과 지지 접촉하여 위치된 상단부와 덮개 판과 독립된 하단부를 갖는 원통형인 관형의 알루미늄 부재이다. 상기 스템의 하단부는 컵 형상의 슬리브내에 수용되며, 구동 시스템에 스템의 연결부를 형성한다. 스템(626)은 상기 처리 영역내에 가열기 받침대(628)를 기계적으로 위치시키고 주위 통로를 또한 형성하며 상기 통로를 통해 다수의 가열판 연결부가 연장될 수 있다. 각각의 가열기 받침대(628)는 소정의 공정 온도에서 상기 받침대 위에 위치된 웨이퍼를 가열시키기 위해 가열 부재를 포함한다. 상기 가열 부재는 저항성 가열 부재를 포함한다. 또한, 가열기 받침대는 램프와 같은 외부의 가열 부재에 의해 가열된다. 본 발명에서 사용되는 받침대는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드사로부터 이용가능하다. 상기 받침대는 처리중에 상기 받침대 상에 웨이퍼를 보장하기 위해 정전기 척, 진공 척, 또는 다른 척 장치를 또한 지지한다.
상기 구동 시스템은 캘리포니아, 노바보에 위치한 인더스트리얼 디바이스 코포레이션에 의해 제조된 선형의 전기 작동기를 포함한다. 상기 가열기 조립체는 공정, 정화, 리프트, 및 방출 위치에서 전달 하우징 상승 또는 하강을 이동함으로써 상하로 이동한다. 전달 하우징은 한면에는 작동기에 연결되며 운반 플레이트를 통해 다른 면에는 선형의 경사면에 연결된다. 작동기와 운반대 사이의 연결부는 임의의 잘못 배치됨을 고려하여 가소성의 조인트(볼 및 소켓)를 통해 제조된다. 선형의 경사면과 운반 플레이트는 상기 회전 및 굽어짐을 방지하기 위해 서로에 대해 기울어져 있다. 벨로우즈는 가열기의 스템을 둘러싸고 한 단부상에는 챔버 바닥을 다른 단부에는 전달 하우징을 연결한다. 슬리브내의 스템의 하단부의 외부 표면을 밀봉하기 위해 스템 내의 홈 내에 밀봉 링이 제공된다. 전방판에 대한 가열기의 측량은 3개의 스크류의 사용으로 이루어진다.
또한, 구동 시스템(603)은 챔버(106) 아래에 매달려 있고 정합의 커플링 및 리드 나사 조립체에 구동 벨트에 연결된 모터 및 감소 연동기 조립체를 포함한다. 전달 하우징은 리드 스크류 조립체 상에 수용되며, 상하로 안내되며 선형의 슬라이드에 의해 회전에 반대하여 고정된다. 가열기 리프트 기구는 구동 칼라를 갖는 챔버에 대해 고정된다. 가열기 조립체는 스테퍼 모터에 의해 구동된 리드 스크류 에 의해 상하로 이동된다. 스테퍼 모터는 모터 브래킷에 의해 가열기 리프트 조립체에 장착된다. 스테퍼 모터는 벨로우즈 내에서 리드 스크류를 구동시킨다. 벨로우즈는 상승 및 해제 위치에서 공정에 가열기 조립체를 상하로 이동시키기 위해 리드 스크류를 전환시킨다. 밀봉 링은 슬리브 내의 스템의 하단부 외부 표면을 밀봉하기 위해 스템내의 홈에 제공된다.
웨이퍼 위치선정 조립체
스템(626)은 스템 위에 웨이퍼를 위치시키기 위해 가열기 받침대(628)를 이동시키거나 처리용으로 상기 스템으로부터 웨이퍼를 이동시키기 위해 챔버내에서 상하로 이동된다. 웨이퍼 위치선정 조립체는 가열기 받침대(628)에 대해 수직으로 이동하고 받침대를 통해 수직으로 위치된 보어(653)내에 수용된 다수의 지지핀(651)을 포함한다. 각각의 핀(651)은 하부 구형 부분(661)내에 종결된 원통형의 샤프트(659)와 샤프트의 외부 연장부로서 형성된 상부 절두 원추형 헤드(663)를 포함한다. 가열기 받침대(628) 내의 보어(653)는 보어 내부에 원추형 헤드(663)를 수용하기 위해 크기가 정해진 상부의 위쪽을 넓힌 부분을 포함하며, 핀(651)이 가열기 받침대(628) 내부로 완전하게 수용될 때, 상기 헤드는 가열기 받침대의 표면위로 연장하지 않는다.
리프트 핀(651)은 받침대가 처리 영역 내부에서 이동함에 따라, 가열기 받침대(628)와 함께, 또는 가열기 받침대와는 독립적으로 부분적으로 이동한다. 리프트 핀은 로봇 블레이드가 처리 영역으로부터 웨이퍼를 이동시키도록 하는 받침대(628)위로 연장할 수 있으나, 처리용으로 받침대의 상부면 상에 웨이퍼를 위치시키기 위해 받침대 내부로 가라 앉아야 한다. 핀(651)을 이동시키기 위해서는, 웨이퍼 위치선정 조립체는 리프트 핀(651)의 하부 구형 부분(661)을 결합하도록 배치된 환형의 핀 지지물(655)과 상기 처리 영역내에 가열기 받침대(628)의 위치에 따라 리프트 핀(651)을 선택적으로 결합하기 위해 핀 지지물(655)을 위치시키는 구동 부재를 포함한다. 바람직하게 세라믹으로 제조된 핀 지지물(655)은 지지물 핀의 하부 구형 부분을 선택적으로 결합하기 위해 가열기 받침대(628) 아래의 스템(626) 둘레로 연장한다.
구동 조립체는 샤프트(630)를 상승 또는 하강시키고 각각의 처리 영역(618,620)내에서 핀(651)을 상하로 이동시키기 위해 핀 지지물(655)에 연결된다. 핀 구동 부재는 받침대 가열기(628)에 대해 핀 지지물 플랫폼(655)의 이동을 제어하기 위해 챔버(106)의 바닥위에 바람직하게 위치된다.
진공 시스템 및 챔버 펌프
본 발명의 처리 시스템(100)용 진공 제어 시스템은 다양한 시스템의 영역과 연통하는 다수의 진공 펌프를 포함하며, 각각의 영역은 그 자체의 설정점 압력을 갖는다. 그러나, 하나의 챔버 또는 영역으로부터 다른 챔버 또는 영역으로의 웨이퍼의 전달은 전달 영역의 환경이 다소 혼합되고 압력이 균등하도록 하는 슬릿 밸브의 개구를 필요로 한다.
도 22a는 본 발명의 진공 시스템(700)의 개략도를 도시하고 있다. 하중 억제 챔버(112) 및 전달 챔버(104)는 하중 억제 챔버와 전달 챔버에 인접한 시스템의 주요 프레임(101)상에 장착된 진공 펌프(121)를 공유한다. 하중 억제 챔버(112)는 하중 억제 챔버의 몸체를 통해 위치된 배기 포오트(280)를 통해 펌프(121)에 의해 대기압으로 펌핑된다. 압력 게이지(705)에 의해 나타난 것처럼, 전달 챔버(104) 내의 진공 압력은 하중 억제 챔버(112)와 연통함으로서 제공되어, 전달 챔버 내의 압력이 하중 억제 챔버 내의 압력보다 더 크거나 동일하며, 하중 억제 챔버 내에 존재하는 임의의 입자는 전달 챔버(104)로부터 인출되지 않을 것이다. 하중 억제 챔버(112) 내의 배기 포오트(280)는 배기 라인(704)을 통해 펌프(121)에 연결된다. 압력 게이지(706)는 주어진 시간에서 하중 억제 챔버내의 압력을 측정하기 위해 절연 밸브(708)로부터 상류로 배기 라인(704)을 따라 위치된다. 절연 밸브(708)는 하중 억제 챔버내의 압력을 조절하기 위해 압력 게이지(706)와 펌프(121) 사이의 배기 라인(704)내에 위치된다. 진공 스위치(710)는 절연 밸브(708)와 펌프((121)사이의 배기 라인과 연통하도록 또한 제공된다. 상기 펌프(121)는 바람직하게 러핑 펌프이나 적용 형태에 따라, 터보분자 펌프, 저온 펌프 등과 같은 임의의 펌프 형태일 수 있다. 가스 배기 라인(712,714)은 하중 억제 챔버 및 전달 챔버 내부로 질소와 같은 배기 가스를 각각 제공하기 위해 하중 억제 챔버(112)와 전달 챔버(104)에 연결된다.
가공 챔버(106)는 배기 포오트(619)와 배기 라인(722)을 경유하여 러핑 펌프, 저온 펌프, 또는 터보 분자 펌프와 같은 펌프(720)에 연결된다. 감속 밸브(724)등은 작업시에 챔버(106)의 처리 영역(618,620)내의 압력을 조절하기 위해 배기 라인 내에 위치된다. 바람직하게 시스템 제어기의 부분인 밸브 제어기(726)는 진공 게이지(728)에 의해 지시된 압력에 따라 감속 밸브(724)에 제어 신호를 제공한다. 바람직하게, 배기 포오트(619)는 (도 21에 도시된) 각각의 처리 영역과 펌프(720)에 연결된 단일의 배기 라인(722) 내부로 각각의 처리 영역으로부터 배기 라인과 연통한다.
본 발명의 하나의 실시양태에 따르면, 전달 챔버(104)와 각 챔버(106)의 진공 제어기와 하중 억제 챔버(112)와 연통하는 슬릿 밸브는 하중 억제 챔버 또는 가공 챔버(106)의 임의의 챔버로부터 전달 챔버로 유입되는 오염 입자의 양이 감소되는 방식으로 작동된다. 본 발명은 챔버 사이의 연통을 제공하는 슬릿 밸브를 개방하기 이전에 인접한 챔버 또는 영역내의 압력과 동일하거나 더 큰, 바람직하게는 더 큰 하중 억제 챔버 내의 압력을 요한다. 하중 억제 압력은 전단부가 개방될 때 대기압보다 더 커야 한다. 상기 압력은 진공 상태에서 전달하기 위해 개방될 때 전달 챔버 압력보다 더 낮아야 한다. 오염 수치가 특히 중요하기 때문에, 공정 챔버와 연통하여 위치될 때 전달 챔버(104)는 비교적 높은 압력에 있는 것이 특히 바람직하다. 예를 들어, 처리 영역(106) 내의 설정점 압력이 대략 10-3torr 정도이면, 전달 챔버 내의 압력은 10-3torr와 같거나 더 커야 하며, 가장 바람직하게는 챔버(106)의 내부 또는 외부로 전달 웨이퍼에서 슬릿 밸브를 개방하기 전에 10-2torr 보다 커야 한다.
전달 챔버 내의 압력은 두가지 방식으로 제어된다. 먼저, 하중 억제 챔버(112)와 전달 챔버(104) 사이의 슬릿 밸브를 개방함으로써 전달 챔버의 내부는 진공 상태가 발생되며, 하중 억제 챔버(112)내의 진공 상태는 제거된다. 상기 방식에서, 전달 챔버 내의 압력은 하중 억제 챔버내의 압력보다 결코 낮아서는 안되며, 상기 챔버 사이의 가스 흐름만이 전달 챔버로부터 하중 억제 챔버(112)로 흘러야 한다. 전달 챔버가 임의의 처리 챔버와 연통되지 않는 경우에, 전달 챔버와 하중 억제 챔버 사이의 슬릿 밸브는 개방되어 있는 것으로 예상된다. 둘째, 전달 챔버는 아르곤 또는 질소 공급원등으로부터 정화 가스 유입구로 제공된다. 정화 가스는 전달 챔버의 외부로 양성의 가스 흐름을 초래하도록 충분한 고압을 제공하기에 필요에 따라서 또는 연속적으로 전달 챔버에 제공된다.
특히 바람직한 실시 양태에서, 하중 억제 챔버(112)에 슬릿 밸브는 처리 챔버 내의 압력 이하로 전달 챔버의 압력이 낮아지는 가능성을 제거하기 위해서, 전달 챔버(104)와 처리 챔버(106) 사이의 웨이퍼 전달시에 항상 밀페되어야 한다. 상기 조건은 전달 챔버와 하중 억제 챔버에까지 유입되는 처리 영역으로부터 많은 오염 입자를 초래하여, 전체 웨이퍼의 카세트를 노출시킨다.
도 22b는 전술한 이중 챔버 하중 억제 장치와 잇점을 갖도록 사용되는 두 개의 펌핑 시스템의 개략도를 도시하고 있다. 도면으로부터 도시되어진 것처럼, 두 개의 격실은 함께 펌프로 빼내거나 소정의 진공 상태에서 선택적으로 펌프로 빼낸다.
가스 박스 및 공급원
시스템의 후단부상의 챔버의 외부에, 증착 및 정화시에 사용되는 가스를 함유하는 가스 공급 패널을 갖는다. 사용되는 특정 가스는 웨이퍼 상으로 증착되거나 챔버 내부로 이동되는 재료에 따라 달라진다. 가공 가스는 입구 포오트를 통해 가스 분기관 내부로 흐르며 샤워 헤드형 가스 분배 조립체를 통해 챔버 내부로 흐른다. 전자 작동식의 밸브 및 흐름 제어 기구는 챔버 내부로 가스 공급원으로부터 가스의 흐름을 제어한다.
본 발명의 하나의 실시예에서, 전조 가스는 가스 박스로부터 전술한 바와 같이 챔버 몸체를 통해 가스가 공급되는 두 개의 개별 가스 라인 내부로부터 시작되는 챔버에 이르기까지 전달된다. 상기 공정에 따라, 임의의 가스의 수는 상기 방식으로 전달될 수 있으며, 챔버의 바닥에 전달되기 이전이나 가스 분배 플레이트로 유입되면 혼합이 가능하다.
전력 공급원
진보된 압축 RF (CRF) 전력 전달 시스템은 각각의 가스 분배 시스템에 연결된 하나의 시스템과 각각의 처리 영역 618,620에 사용된다. ENI에 의해 제조된, 제니시스 시리즈(Genesis Series), 13.56 MHz RF 발생기는 각 챔버용 시스템의 후단부상에 장착된다. 고 주파 발생기는 전진 및 반사된 전력의 관계를 제거하며, 고정된 매치로 사용되도록 설계되며 하중에 전달된 전력을 조절한다. 1.5와 동일하거나 더 적은 VSWR을 갖는 하중 임피던스 내부로 1250 와트에 공급된다. 공정 챔버에 고주파 RF 발생기 및 저주파 RF 발생기를 조정하기 위해서는, 저주파 통과 필터는 고정된 매치 엔클로저로 설계된다.
ENI에 의해 제조된 50 KHz RF 발생기는 시스템의 후단부상에 RF 발생기 랙 내에 위치되며 동축 케이블에 의해 고정된 RF 매치에 연결된다. 저주파 RF 발생기는 저주파 발생 및 소형의 엔클로저내에 고정된 매치 요소를 발생시킨다. 저주파 RF 발생기는 전진 및 반사 전력에 대한 관계를 감소시키는 하중에 전달되는 전력을 조절시킨다.
원격의 정화 모듈
도 23 및 도 24는 본 발명의 원격의 정화 모듈(800)의 사시도 및 횡단면도를 도시하고 있다. 본 발명에 따라, 원격의 정화 모듈(800)은 입구 포오트(820)를 통해 챔버(106)의 처리 영역(618,620)에 연결된다. 원격의 정화 모듈(800)은 연속된 공정 운영 이후에 챔버의 내부 표면으로부터 증착된 재료를 이동하기 위해 사용된 가스를 공급한다.
원격의 정화 모듈(800)은 전조 가스(804)의 공급원, 처리 챔버(106)의 외부에 위치된 원격의 활성화 챔버(806), 원격의 활성화 챔버내부에 전조 가스를 활성화 시키기 위한 전력 공급원(808), 전자 작동식의 밸브 및 흐름 제어 기구(810), 및 도관(811)을 경유하여 처리 챔버에 원격의 챔버를 연결하는 도관 및 파이프(812)를 포함한다. 밸브 및 흐름 제어 기구(810)는 사용자 선택 유량에서 원격의 활성화 챔버(806) 내부로 전조 가스(804)의 공급원으로부터 가스를 전달한다. 활성화 챔버(806)는 상기 챔버를 통해 위치된 가스 공급관(813)을 갖는 알루미늄 엔클러저(803)를 포함한다. 전력원(808)은 엔클로저(803) 내부로 웨이브 가이드(805)에 의해 안내된 마이크로파를 발생시킨다. 상기 관(813)은 마이크로파에 투명하여 마이크로파는 관을 관통하며 가스 분배 조립체 내부와 처리 챔버 내부로 도관(812)을 통해 흐르는 반응물을 형성하기 위해 전조 가스를 활성화시킨다. 즉, 상부 전극 및 샤워 헤드(608)는 챔버의 처리 영역 내부로 반응 가스를 전달하는데 사용된다. 바람직한 실시예에서, 원격의 챔버는 세라믹 관이며 전력원은 세라믹 관에서 조준된 출력을 갖는 2.54 GHz 마이크로파 발생기이다.
택일적으로, 또 다른 밸브 및 흐름 제어 기구(816)를 통해 원격의 활성 챔버에 연결된 소량의 캐리어 가스(814) 공급원이 있을 수 있다. 소량의 캐리어 가스는 증착 챔버에 활성화물의 전달을 도와준다. 상기 가스는 사용되어지는 특정 정화 공정과 양립할 수 있는 적절한 비반응성 가스일 수 있다. 예를 들어, 소량의 캐리어 가스는 아르곤, 질소, 헬륨, 수소, 및 산소 등일 수 있다. 증착 챔버에 활성화물을 전달하는 것 이외에도, 캐리어 가스는 정화 공정을 도와주거나 증착 챔버내에 플라즈마를 발생시키거나 안정화시킴을 도와준다.
기술된 실시예에서, 도관 또는 파이프내에 필터(818)가 있으며 상기 도관 또는 파이프를 통해 활성화물은 증착 챔버로 도입되기 이전에 통과한다. 필터는 반응물이 활성화될 때 형성될 수 있는 특정 재료를 이동시킨다. 기술된 실시예에서, 필터는 0.01 내지 0.03 마이크론의 구경 크기를 갖는 세라믹 재료로 제조된다. 물론, 다른 재료는 예를 들어 테플론으로 사용될 수 있다.
필터는 원격 챔버내에서 반응의 부산물로 발생되는 원치않는 재료를 제거시키기 위해 또한 사용될 수 있다. 예를 들어, 반응성 가스가 CF4, SF6, 또는 탄소 또는 황을 포함하는 일부 다른 할로겐 화합물이라면, 활성화된 탄소 또는 황은 활성화 공정의 부산물로 존재한다. 그러나, 탄소 또는 황은 증착 챔버내에 존재하지 않는 것이 바람직하다. 이는 이러한 화합물이 증착 챔버 내에서 전체적으로 활성화가 발생하는 종래의 건조 정화 공정에서 사용되지 않는다. 그러나, 본분에 기술되어진 것처럼 활성화가 원격에서 시행될 때, 상기 재료는 적절한 필터 재료를 사용함으로서 쉽게 이동된다. 상기 필터 재료는 상업상 쉽게 이용가능하며 당업자들에게 공지되어 있다.
기술된 실시예에서, 전조 가스는 NF3이다. 활성화물의 유량은 분당 0..5 리터 및 2리터이며, 챔버 압력은 0.5 내지 2.5 Torr이다. 전조 가스를 활성화하기 위해서는, 마이크로파 공급원은 활성화 챔버에 500 내지 1500 와트이다. 증착 챔버 내에서, RF 공급원은 플라즈마에 100 내지 200 와트를 공급한다. 현재 시스템용으로, 약 15 내지 20 볼트의 상부 및 하부 전극 사이의 전압을 내포한다. 정확한 전압 및 전류는 압력 의존적이며, 전류는 고정된 전압에 주어진 압력에 비례한다. 일부 경우에서, 챔버내에 플라즈마를 유발시키는 것이 필요하며, 원격의 공급원으로부터 챔버 내부로 흐르는 활성화종을 유지하기에 충분히 강하다.
공급 가스로서 NF3을 사용함으로써, 실리콘(Si), 도핑된 실리콘, 실리콘 질화물(SIN+4), 및 실리콘 산화물(SiO2)로 도핑처리된 챔버는 정화될 수 있다. 증착된 필름의 정화 속도는 실리콘 질화물로 2 마이크론/분이며, 실리콘, 도핑처리된 실리콘 및 실리콘 산화물로 1 마이크론/분이다. 상기 정화 속도는 13.56 MHz RF에서 약 1 내지 2 킬로와트의 전력 크기를 갖는 국부적인 플라즈마만을 사용하는 종래의 정화 공정보다 2 배 내지 4배 빠르다.
전조 가스를 활성화시키기 위해 기술된 실시예에서 사용된 마이크로파 발생기를 통해, 전조 가스를 활성화시킬 수 있는 임의의 전력원이 사용될 수 있다. 예를 들어, 원격 및 국부적인 플라즈마는 DC, RF, 및 방출 기술에 근거한 마이크로파(MW)를 사용할 수 있다. 또한, RF 전력원이 사용된다면, 챔버늬 내부에 용량 또는 유도 결합할 수 있다. 활성화는 열에 기초한 가스 붕괴 기술, 고 강도의 과원, 또는 x 레이 공급원에 의해 시행될 수 있다.
일반적으로, 반응성 가스는 공통으로 사용된 할로겐 및 할로겐 화합물을 포함하여 넓은 범위의 선택 사항으로부터 선택될 수 있다. 예를 들어, 반응성 가스는 염소, 불소 및 그 화합물 예를 들어, NF3, CF4, SF6, C2F6, CCl4, C2Cl6일 수 있다. 물론, 사용되는 특정 가스는 이동되는 증착된 재료에 따라 달라진다. 예를 들어, 텅스텐 증착 시스템에서, 불소 화합물은 증착된 텅스텐을 에칭하거나 이동하는데 사용된다.
원격의 플라즈마와 관련하여 국부적인 플라즈마의 사용으로 인해, 원격의 활성화 챔버는 챔버로부터 떨어져 위치될 수 있다. 따라서, 국부 공급원에 두 개의 원격 공급원을 연결하는데 배관이 필요하다. 활성화물의 일부 담금질(활성화물의 해제)는 전달시에 발생한다. 그러나, 국부적인 공급원은 발생할 수 있는 임의의 담금질을 보정한다. 사실상, 일부 장기간 생존한 활성화물(F*)은 냉각되었을 때 접지로 반환되지 못하나, 중간 상태로 전이된다. 담금질 반응물을 재활성시키기 위해 요구되는 에너지의 양은 원격의 활성화 챔버내의 가스를 활성화시키기 위해 요구되는 것보다 훨씬 적다. 결과적으로, 국부적인 활성화 공급원(플라즈마)은 높은 에너지 공급원이 필요하지 않다.
증착 챔버로부터 일정 거리 떨어져 원격의 챔버를 위치시킴으로써, 활성화 공정동안 발생되어진 단수명의 라디컬은 장수명 라디컬보다 두 라디컬이 증착 챔버에 증착될 때, 보다 완전하게 제한되는 것으로 알려져 있다. 따라서, 증착 챔버 내부로 흐르는 반응 가스는 주로 전달중에 잔존한 장수명의 라디컬을 포함한다. 예를 들어, NF3가 반응 가스라면, 두 개의 라디컬은 원격의 활성 챔버 즉, N*및 F*내에서 발생된다. 질소 라디컬은 단수명이며 불소 라디컬은 장수명이다. 질소 라디컬은 원격의 챔버로부터 증착 챔버까지 장기간 전달시 잔존하지 못하는 반면, 다량의 불소 라디컬이 잔존한다. 이는 매우 바람직한 시스템 내에서 발생하는 자연 여과의 형태이다. 예를 들어, 질소 라디컬인 경우, NXHYFZ화합물의 형성을 초래함으로 인해 증착 챔버내에 존재하지 않으므로 펌프에 해를 줄 수 있다. 그러나, 증착 챔버 내에서 활성화가 일어날 때 종래의 정화 기술에서와 같이, 발생되어진 질소 라디컬을 제거하는 것이 어렵다.
건조 정화 공정에 있어서, 챔버 압력은 작업에 영향을 미치지 않고 광범위한 수치 내의 어디에든지 있도록 선택될 수 있다. 외부 압력의 범위가 사용된다 하더라도, 바람직한 압력 범위는 0.1 내지 2 Torr이다.
또한, 기술된 실시예에서 선택되어진 주파수는 단지 예를 들어 기술한 것이고, 본 발명에서 사용된 주파수는 기술되어진 실시예에서 사용된 주파수로 제한되지 않는다. 예를 들어, RF 전력원에 대해, 광범위한 주파수(400 KHZ 내지 13.56 MHZ)중의 임의의 주파수는 플라즈마와 본 발명에 사용되는 주파수를 발생시키는데 사용된다. 그러나 일반적으로, 선택되어진 전력 수치, 유량, 및 압력은 시스템 특성에 따르고 공정이 시행되는 특정 시스템에 최적화될 필요가 있다. 특정 시스템에서 최적의 성능을 이루기 위한 공정 조건에서 적절한 조절은 당업자들의 능력하에서 이루어진다.
프로그램 작성
상기 시스템 제어기는 컴퓨터의 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 공정 시퀀싱 및 타이밍, 가스의 혼합, 챔버 압력, RF 전력 수치, 서셉터 위치선정, 슬릿 밸브 개폐, 웨이퍼 가열 및 특정 공정의 다른 변수를 규정한다. 사용자와 시스템 제어기 사이의 계면은 도 8에 도시되어진 CRT 모니터와 라이트펜을 경유한다. 두 개의 모니터가 사용되는 바람직한 실시예에서, 하나의 모니터는 청정실 벽에 작업자에게, 다른 하나의 모니터는 벽 뒷편에 서비스 기술자에게 장착된다. 두 개의 모니터는 동시에 동일한 정보를 나타내나, 하나의 라이트펜만이 가능하다. 상기 라이트펜은 펜의 말단부에서 광 센서로 CRT 디스플레이에 의해 방출된 광을 탐지한다. 특정 스크린 또는 작동을 선택하기 위해서는, 작동자는 디스플레이 스크린의 지정 영역을 접촉하여 펜 위의 버턴을 누른다. 상기 디스플레이 스크린은 형상을 밝게하거나 색을 변화하고, 새로운 메뉴 또는 스크린을 전시함으로써 라이트펜과 접촉된 영역 사이의 전달을 확립한다.
다양한 공정은 예를 들어, 시스템 제어기 상에서 작동하는 컴퓨터 프로그램 제품을 사용하여 시행되어진다. 컴퓨터 프로그램 코드는 예를 들어 68000 조립체 언어, C, C++, 또는 파스칼과 같은 종래의 판독가능한 컴퓨터 언어에 의해 쓰여질 수 있다. 적당한 프로그램 코드는 종래의 문서 편집기를 사용하여 단일 파일, 또는 복수 파일 내부로 유입되거나 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 매체내에서 저장되거나 구체화된다. 유입된 코드 편집이 고수준의 언어로 되어 있다면, 상기 코드는 편집되어, 최종적인 컴파일러 코드는 미리 편집된 자료관 루틴의 목적 코드와 연결된다. 연결되어진 편집 코드를 실행하기 위해서는, 상기 시스템 사용자는 목적 코드를 불러내어, 컴퓨터 시스템이 메모리에서 코드를 장전시키도록 초래하여, CPU 가 판독되며 프로그램 내에 동일화된 태스크를 수행하기 위한 코드를 수행한다.
도 25는 컴퓨터 프로그램(1410)의 바람직한 계급 제어 구조를 도시한 블록 선도이다. 사용자는 라이트펜 계면의 사용에 의해 CRT 모니터 상에 전시된 메뉴 또는 스크린에 응하여 공정 선택기 서브루틴(1420)내부로 공정 설정수 및 공정 챔버수를 입력한다. 공정 세트는 특정 공정을 수행하기 위해 필요한 내정된 공정 변수 세트를 제공하며, 내정된 세트 수에 의해 동일화된다. 공정 선택기 서브루틴(1420)은 (i) 소정의 공정 챔버 및, (ii) 소정의 공정을 시행하기 위해 공정 챔버를 작동하기 위해 필요한 소정의 공정 변수 세트를 동일화한다. 예를 들어, 공정 가스 조성, 유량, 온도, 압력, RF 바이어스 전력 수치 및 자기장 전력 수치와 같은 플라즈마 조건, 냉각 가스 압력, 챔버 벽 온도와 같은 공정 조건과 관련한 특정 공정을 시행하기 위한 공정 변수가 비책으로 사용자에게 제공된다. 비책으로 특정화된 변수는 종래 방식으로 입력되나, 가장 바람직하게는 라이트펜/CRT 모니터 계면을 사용함으로써 입력된다.
상기 공정을 측정하기 위한 다양한 기구 및 장치에 의해 제공된 전자 신호는 시스템 제어기의 아나로그 입력 및 디지털 입력 기판을 통해 컴퓨터에 제공된다. 폴링(polling)과 같은 공정 챔버를 측정하기 위한 종래의 방법이 사용될 수 있다. 더욱이, 다양한 공정 제어기 또는 장치를 작동하기 위한 전자 신호가 시스템 제어기의 아날로그 출력 및 디지털 출력 기판을 통한 출력이다. 상기 측정 및 제어 장치의 양, 형태, 및 설치는 상기 시스템의 특정 사용 목적과 소정의 공정 제어도에 따라 하나의 시스템에서 다음 시스템까지 변화한다. 특정 적용을 위한 최적의 열전지 형태와 같은 특정 장치의 상술 및 선택은 당업자들에게 공지되어 있다. 공정 순서 결정 장치 서브루틴(1430)은 공정 선택기 서브루틴(1420)으로부터 동일화된 공정 챔버 수 및 공정 변수 세트를 수용하고 다양한 공정 챔버의 작동을 제어하기 위한 프로그램 코드로 이루어져 있다. 다수의 사용자가 공정 세트 수 및 공정 챔버 수를 입력할 수 있거나, 사용자가 다수의 공정 챔버 수를 입력 할 수 있어서, 순서 결정 장치 서브루틴(1430)은 소정의 순차에서 선택된 공정을 작성하기 위해 작동된다. 바람직하게, 공정 순서 결정 장치 서브루틴(1430)은 (i) 챔버가 사용되는지를 결정하기 위해 공정 챔버의 작동을 측정하는 단계, (ii) 공정이 사용되어지는 챔버 내에서 수행되는 지를 결정하는 단계, (iii) 공정 챔버의 이용가능성과 수행되어질 공정 형태에 기초한 소정의 공정을 시행하는 단계를 시행하기 위한 프로그램 코드를 포함한다. 시행되어질 공정을 작성할 때, 상기 공정 순서 결정 장치 서브루틴(1430)은 선택된 공정과 소정의 공정 조건을 비교하거나, 또는 각각의 특정자가 직면한 요구의 시기 또는 작성 우선권을 결정하기 위해 포함하는 시스템 작성자가 요구하는 임의의 다른 관련된 요소를 비교하여 사용되어지는 공정 챔버의 현 조건을 고려하여 설계할 수 있다.
상기 공정 순서 결정 장치 서브루틴(1430)이 공정 챔버 및 공정 세트 조합이 다음에 시행되어질 것을 결정하고 나면, 상기 서브루틴(1430)은 상기 공정 순서 결정 장치 서브루틴(1430)에 의해 결정된 공정 세트에 따라 공정 챔버(106) 내에서 다수의 처리 태스크를 제어하는 챔버 매니저 서브루틴(1430a-c)에 특정 공정 세트 변수를 통과시킴으로서 공정 세트의 실행을 초래한다. 예를 들어, 상기 챔버 매니저 서브루틴(1440a)은 공정 챔버(106) 내의 스퍼터링 및 CVD 공정 조건을 제어하기 위한 프로그램 코드로 이루어진다. 상기 챔버 매니저 서브루틴(1440)은 선택되어진 공정 세트를 수행하기 위해 필요한 챔버 부품의 작동을 제어하는 다양한 챔버 부품 서브루틴의 실행을 제어한다. 챔버 루틴 서브루틴의 실시예는 웨이퍼 위치선정 서브루틴(1450), 공정 가스 제어 서브루틴(91460), 압력 제어 서브루틴(1470), 가열기 제어 서브루틴(1480), 및 플라즈마 제어 서브루틴(1490)등이다. 통상의 지식을 가진 당업자들은 다른 챔버 제어 서브루틴은 공정 챔버(106) 내에서 시행되어질 공정에 따라 포함될 수 있다. 작동중에, 챔버 매니저 서브루틴(1440a)은 시행되어질 특정 공정 세트에 따라 공정 부품 서브루틴을 작성하거나 소환한다. 상기 챔버 매니저 서브루틴(1440a)은 상기 서브루틴(1430)이 공정 챔버(106) 및 공정 세트가 다음에 어떻게 시행되어질 지를 작성하기 위해 유사하게 공정 부품 서브루틴을 작성한다. 일반적으로, 챔버 매니저 서브루틴(1440a)은 다양한 챔버 부품의 측정 단계, 시행되어질 공정 세트용 공정 변수에 기초하여 작동되기에 필요로 하는 부품을 결정하는 단계, 상기 측정 및 결정 단계에 반응하여 챔버 부품 서브루틴의 실행을 초래하는 단계를 포함한다.
특정 챔버 부품 서브루틴의 작동은 도 25를 참조하여 기술되어질 것이다. 웨이퍼 위치선정 서브루틴(1450)은 받침대(628) 상에 웨이퍼를 장전시키고, 웨이퍼와 샤워헤드(642) 사이의 간격을 제어하기 위해 웨이퍼를 챔버(106)내의 소정의 높이로 선택적으로 상승시키는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 웨이퍼가 챔버(106) 내부로 장전될 때, 받침대(628)는 하강하고 리프트 핀 조립체는 웨이퍼를 수용하기 위해 상승되며, 그 후 받침대(628)는 예를 들어, CVD 공정시에 가스 분배 분기관으로부터 제 1 거리 또는 간격에서 웨이퍼를 유지시키는데 챔버 내의 소정의 높이로 상승시킨다. 작동중에, 웨이퍼 위치선정 서브루틴(1450)은 챔버 매니저 서브루틴(1440a)으로부터 전달되어진 지지물 높이에 관련된 공정 세트 변수에 반응하여 리프트 조립체 및 받침대(628)의 이동을 제어한다.
가공 가스 제어 서브루틴(1460)은 가공 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 갖는다. 가공 가스 제어 서브루틴(1460)은 안전 차단 밸브의 개폐 위치를 제어하고, 소정의 가스 흐름 속도를 얻기 위해 총량 흐름 제어기를 경사지게 한다. 가공 가스 제어 서브루틴(1460)은 챔버 매니저 서브루틴(1440a)에 의해 초래되며, 모든 챔버 부품 서브루틴도 그러하며, 소정의 가스 흐름 속도에 관련된 챔버 매니저 서브루틴 공정 변수로부터 수신된다. 일반적으로, 가공 가스 제어 서브루틴(1460)은 가스 공급원과 챔버(106) 가스 공급 라인 사이의 단일 제어 밸브의 개방으로 작동되며, 반복적으로 (i) 총괄 흐름 속도 측정 단계, (ii) 챔버 매니저 서브루틴(1440a)으로부터 수용된 소정의 흐름 속도와 실제적인 흐름 속도 비교 단계, 및 (iii) 필요에 따라 주요 가스 공급 라인의 흐름 속도를 조절하는 단계를 포함한다. 더욱이, 가공 가스 제어 서브루틴(1460)은 불안정 속도의 가스 흐름 속도를 측정하는 단계와, 불안정 조건이 측정될 때 안전 차단 밸브를 차단시키는 단계를 포함한다.
일부 공정에서, 아르곤과 같은 불활성 가스는 반응성 가공 가스가 챔버 내부로 유입되기 이전에 챔버 내에 압력을 안정화시키기 위해 챔버(106) 내부로 제공된다. 이러한 공정시에, 가공 가스 제어 서브루틴(1460)은 챔버내의 압력을 안정화시키기 위해 필요한 시간동안 챔버(106) 내부로 불활성 가스를 흐르게 하는 단계를 포함하며, 전술한 단계는 수행된다. 부가적으로, 가공 가스가 예를 들어, 테트라에틸오르토시레인(TEOS)인 액체 전조 가스로부터 기화될 때, 공정 제어 서브루틴(1460)은 버블러 조립체내의 액체 전조 가스를 통해 헬륨과 같은 전달 가스를 거품을 발생시키는 단계를 포함하기 위해 쓰여진다. 상기 형태의 공정에서, 공정 가스 제어 서브루틴(1460)은 소정의 공정 가스 흐름 속도를 이루기 위해 전달 가스의 흐름, 버블러 내의 압력, 버블러 온도를 조절한다. 전술한 바와 같이, 소정의 공정 가스 흐름 속도는 공정 변수와 같이 공정 가스 제어 서브루틴(1460)에 전달된다. 더욱이, 공정 가스 제어 서브루틴(1460)은 필요한 전달 가스 흐름 속도, 버블러 압력, 및 주어진 공정 가스 흐름 속도에 필요치를 포함하는 저장된 데이터 목록에 접근함으로써 소정의 공정 가스 흐름 속도용 버블러 온도를 얻기 위한 단계를 포함한다. 필요한 수치가 얻어지면, 전달 가스 흐름 속도, 버블러 압력 및 버블러 온도가 필요치와 비교하여 측정되며, 조절된다.
압력 제어 서브루틴(1470)은 챔버의 배기 시스템 내의 조절판 밸브의 개구 크기를 조절함으로써 챔버(106)내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 조절판 밸브의 개구 크기는 총괄 공정 가스 흐름, 공정 챔버의 가스를 함유한 체적, 및 배기 시스템용 펌프 설정점 압력과 관련한 소정의 수치에서 챔버압을 제어하기 위해 변화된다. 압력 제어 서브루틴(1470)이 야기될 때, 소정의 설정점 압력 수치는 챔버 매니저 서브루틴(1440a)으로부터 변수로 수용된다. 압력 제어 서브루틴(1470)은 챔버에 연결된 하나 이상의 압력 압력계를 사용하여 챔버(106) 내의 압력을 측정하고, 상기 측정된 압력치를 설정점 압력과 비교하고, 상기 설정점 압력에 대응하는 저장된 압력 목록으로부터 PID(비례, 적분, 미분) 제어 변수를 얻고, 압력 목록으로부터 얻어진 PID 값에 따른 조절판 밸브를 조절하기 위해 작동한다. 택일적으로, 압력 제어 서브루틴(1470)은 상기 챔버(106)를 소정의 압력으로 조절하기 위한 특정 개구 크기에 조절판 밸브를 개폐하기 위해 쓰여질 수 있다. 가열기 제어 서브루틴(1480)은 웨이퍼(502)를 가열시키는데 사용되는 램프 또는 가열기 모듈의 온도를 제어하기 위한 프로그램 코드를 포함한다. 가열기 제어 서브루틴(1480)은 챔버 매니저 서브루틴(1440a)에 의해 초래되며 소정의 설정점 온도 변수를 수용한다. 가열기 제어 서브루틴(1480)은 받침대(628) 내에 위치된 열전지의 전압 출력을 측정함으로써 온도를 결정하고, 상기 측정된 온도를 설정점 온도와 비교하고, 상기 설정점 온도를 얻기 위해 가열기에 적용된 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 전환 목록에서 대응 온도를 찾거나, 또는 4차항을 사용한 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 상기 받침대(628)를 가열시키기 위해 복사 램프가 사용될 때, 가열기 제어 서브루틴(1480)은 램프에 가해진 전류의 변화도를 단계적으로 제어한다. 단계적인 변화는 램프의 수명 및 안전도를 증가시킨다. 부가적으로, 조성된 불안정(built-in-fail-safe) 모드는 공정 안전도를 탐지하기 위해 포함될 수 있으며, 공정 챔버(106)가 적절하게 설정되지 않으면 램프 또는 가열기 모듈의 작동을 차단할 수 있다.
플라즈마 제어 서브루틴(1490)은 챔버(106) 내의 공정 전극에 가해진 RF 바이어스 전압 수치, 선택적으로 챔버내에서 발생된 자기장 수치를 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 부품 서브루틴과 유사하게, 플라즈마 제어 서브루틴(1490)은 챔버 매니저 서브루틴(1440a)에 의해 초래된다.
본 발명의 시스템이 플라즈마가 증가된 CVD를 참조하여 전술되어졌다 하더라도, 본 발명은 에칭 챔버와 고밀도(HDP) CVD 및 PVD 챔버의 사용을 포함한다. 예를 들어, 본 발명의 시스템은 플라즈마 처리용 직렬식 HDP CVD 챔버를 포함하기에 적합하다. 하나의 실시예에서, 가스 분배/ 리드 조립체는 도옴 주위에 위치된 유도 코일을 갖는 절연성 도옴과 챔버내의 고밀도 플라즈마의 유도 결합을 가능하게 하기 위해 코일에 연결된 RF 전력 공급원으로 대치될 수 있다. 유사하게, 직렬식 PVD 챔버는 증착재 공급원용으로 상기 챔버 위에 위치된 타겟 조립체로 배치될 수 있다. DC 전력 공급원은 스퍼터링 전력을 제공하기 위해 타겟 조립체에 연결될 수 있다.
상기 설명에서는 본 발명의 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
본 발명은 진공 처리 장치를 제공하는 것으로, 챔버는 공유된 가스 공급원 및 공유된 배기 시스템을 갖는 다수의 웨이퍼 처리를 제공하기 위해 배치되어 있으며, 이러한 챔버들은 각각의 챔버내의 플라즈마 공정 제어를 용이하게 하기 위해 독립적인 온도 및 전력 제어를 제공할 수 있다.

Claims (29)

  1. 처리 장치로서,
    (a) 그 내부에 형성된 다수의 독립 챔버를 갖는 외장체와,
    (b) 각각의 처리 챔버내에 위치된 가스 분배 조립체와,
    (c) 다수의 독립 챔버에 연결된 가스 공급원과,
    (d) 각각의 가스 분배 조립체에 연결된 전력 공급원을 포함하는 처리 장치.
  2. 제 1 항에 있어서, 상기 각각의 독립 챔버내에 위치된 웨이퍼 받침대를 더 포함하는 장치.
  3. 제 2 항에 있어서, 상기 각각의 독립 챔버 내에 위치된 펌프 채널을 더 포함하는 장치.
  4. 제 3항에 있어서, 상기 각각의 독립 챔버의 펌프 채널에 연결된 배기 포오트를 더 포함하는 장치.
  5. 제 3항에 있어서, 상기 각각의 독립 챔버의 펌프 채널에 단일의 배기 포오트가 연결되는 장치.
  6. 제 5항에 있어서, 상기 배기 포오트에 연결된 펌프를 더 포함하는 장치.
  7. 제 6항에 있어서, 상기 각각의 웨이퍼 받침대는 그 내부에 위치된 가열 부재를 포함하는 장치.
  8. 제 7 항에 있어서, 상기 가열 부재는 저항성 가열 부재인 장치.
  9. 제 7항에 있어서, 상기 가열 부재는 램프인 장치.
  10. 제 8 항에 있어서, 각각의 독립 챔버는 그 내부에 위치된 챔버 라이너를 더 포함하는 장치.
  11. 제 10항에 있어서, 상기 챔버 라이너는 세라믹으로 구성되는 장치.
  12. 제 10 항에 있어서, 상기 챔버 라이너는 처리 영역과 펌프 채널을 연결시키기 위해 관통하여 위치된 다수의 배기 채널을 포함하는 장치.
  13. 제 1 항에 있어서, 각각의 독립 챔버에 연결된 원격의 플라즈마 시스템을 더 포함하는 장치.
  14. 제 13 항에 있어서, 상기 원격의 플라즈마 시스템은
    (a) 챔버와,
    (b) 상기 챔버 내부에 위치된 입구 및 출구를 갖는 가스 관과,
    (c) 상기 챔버에 연결된 전력 공급원을 포함하는 장치.
  15. 제 14항에 있어서, 상기 챔버내에 위치된 팬을 더 포함하는 장치.
  16. 제 15항에 있어서, 상기 가스 관은 세라믹으로 구성되는 장치.
  17. 제 16항에 있어서, 상기 가스 관은 알루미늄 산화물로 구성되는 장치.
  18. 제 1항에 있어서, 상기 각각의 가스 분배 조립체는
    (a) 기저 판과,
    (b) 상기 기저판 아래에 위치된 차단판과,
    (c) 상기 차단판 아래에 위치된 표면판과,
    (d) 상기 차단판에 하나 이상의 가공 가스를 전달하기 위한 하나 이상의 가스 유입구와,
    (e) 상기 원격의 플라즈마로부터 상기 표면판까지 하나 이상의 가스를 전달하기 위한 가스 유입구를 포함하는 장치.
  19. 제 1항에 있어서, 상기 각각의 가스 분배 조립체는 하나 이상의 가스 공급원으로부터 가공 가스를 분할하는 장치.
  20. 제 19항에 있어서, 각각의 가스 분배 조립체는 전력 공급원에 연결되는 장치.
  21. 제 20항에 있어서, 각각의 가스 분배 조립체는 개별적인 전력 공급원에 연결되는 장치.
  22. 제 20항에 있어서, 각각의 처리 영역에 위치된 웨이퍼 받침대를 더 포함하는 장치.
  23. 제 22항에 있어서, 상기 각각의 웨이퍼 받침대는 가열 부재를 포함하는 장치.
  24. 제 23항에 있어서, 상기 가열 부재는 저항성 가열 부재를 포함하는 장치.
  25. 제 23항에 있어서, 상기 가열 부재는 램프를 포함하는 장치.
  26. 제 23항에 있어서, 상기 각각의 받침대는 독립적인 온도 제어기를 포함하는 장치.
  27. 제 20항에 있어서, 상기 각각의 독립 챔버에 연결된 원격의 플라즈마 시스템을 더 포함하는 장치.
  28. 제 27항에 있어서, 상기 원격의 플라즈마 시스템은
    (a) 챔버와,
    (b) 상기 챔버 내에 위치된 가스를 갖는 가스 관과,
    (c) 상기 챔버에 연결된 전력 공급원을 포함하는 장치.
  29. 제 28항에 있어서,
    (a) 기저판과,
    (b) 상기 기저판 아래에 위치된 차단판과,
    (c) 상기 차단판 아래에 위치된 표면판과,
    (d) 상기 차단판에 하나 이상의 가공 가스를 전달하기 위한 하나 이상의 가스 유입구와,
    (e) 상기 원격의 플라즈마 공급원에서 상기 표면판까지 하나 이상의 가스를 전달하기 위한 하나 이상의 가스 유입구를 포함하는 장치.
KR1019970060325A 1996-11-18 1997-11-17 직렬식 처리 챔버 KR19980042483A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/751,524 1996-11-18
US08/751,524 US6152070A (en) 1996-11-18 1996-11-18 Tandem process chamber

Publications (1)

Publication Number Publication Date
KR19980042483A true KR19980042483A (ko) 1998-08-17

Family

ID=25022385

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970060325A KR19980042483A (ko) 1996-11-18 1997-11-17 직렬식 처리 챔버

Country Status (6)

Country Link
US (3) US6152070A (ko)
EP (1) EP0843339B1 (ko)
JP (1) JP4555406B2 (ko)
KR (1) KR19980042483A (ko)
DE (1) DE69718990T2 (ko)
TW (1) TW365018B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100841741B1 (ko) * 2007-04-04 2008-06-27 주식회사 싸이맥스 진공처리장치
KR20100099147A (ko) * 2007-12-06 2010-09-10 인테벡, 인코포레이티드 기판의 양면 스퍼터 에칭을 위한 시스템 및 방법
CN107026101A (zh) * 2016-01-29 2017-08-08 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法
KR20190004836A (ko) * 2016-06-03 2019-01-14 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계

Families Citing this family (544)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
KR19980064441A (ko) * 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
US6139678A (en) * 1997-11-20 2000-10-31 Trusi Technologies, Llc Plasma processing methods and apparatus
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
JP2000030894A (ja) * 1998-07-07 2000-01-28 Kokusai Electric Co Ltd プラズマ処理方法および装置
US6678572B1 (en) * 1998-12-31 2004-01-13 Asml Holdings, N.V. Recipe cascading in a wafer processing system
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
US6916397B2 (en) 2000-06-14 2005-07-12 Applied Materials, Inc. Methods and apparatus for maintaining a pressure within an environmentally controlled chamber
JP5021112B2 (ja) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 真空処理装置
CA2359597C (en) * 2001-10-23 2003-10-21 Roland Kenny Beverage can holder
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
AU2003214376A1 (en) * 2002-03-01 2003-09-16 Trikon Technologies Limited Pedestal for mounting semiconductor fabrication equipments
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US20030230385A1 (en) * 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
FR2847912B1 (fr) * 2002-11-28 2005-02-18 Sidel Sa Procede et dispositif pour deposer par plasma micro-ondes un revetement sur une face d'un recipient en materiau thermoplastique
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
JP4486507B2 (ja) * 2003-01-02 2010-06-23 ローマ リンダ ユニヴァーシティ メディカル センター 陽子線治療システムのための構成管理及び読み出しシステム
KR100490049B1 (ko) * 2003-04-14 2005-05-17 삼성전자주식회사 일체형 디퓨저 프레임을 가지는 cvd 장치
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP2005039015A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマ処理方法および装置
US8460945B2 (en) * 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8313277B2 (en) * 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
JP2005150124A (ja) * 2003-11-11 2005-06-09 Matsushita Electric Ind Co Ltd 半導体製造装置
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
JP5011631B2 (ja) * 2004-06-01 2012-08-29 富士ゼロックス株式会社 半導体製造装置および半導体製造システム
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
JP4570659B2 (ja) * 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Dcバイアスを利用したリモートプラズマ原子層蒸着装置及び方法
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
KR100743840B1 (ko) * 2004-11-03 2007-07-30 주식회사 뉴파워 프라즈마 마그네틱 코어가 내장된 플라즈마 반응 챔버
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
DE102005001651A1 (de) * 2005-01-10 2006-07-20 Infineon Technologies Ag Ätzanlage
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
CN100358098C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US20070240631A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7828504B2 (en) * 2006-05-12 2010-11-09 Axcellis Technologies, Inc. Combination load lock for handling workpieces
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
KR100790789B1 (ko) 2006-07-03 2008-01-02 코닉시스템 주식회사 반도체 공정장치
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US20080219807A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
CN101802985A (zh) * 2007-09-14 2010-08-11 高通Mems科技公司 用于微机电系统生产的蚀刻工艺
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7996174B2 (en) 2007-12-18 2011-08-09 Teradyne, Inc. Disk drive testing
US8549912B2 (en) 2007-12-18 2013-10-08 Teradyne, Inc. Disk drive transport, clamping and testing
US20090206056A1 (en) * 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8160739B2 (en) 2008-04-17 2012-04-17 Teradyne, Inc. Transferring storage devices within storage device testing systems
US8238099B2 (en) 2008-04-17 2012-08-07 Teradyne, Inc. Enclosed operating area for disk drive testing systems
US8117480B2 (en) 2008-04-17 2012-02-14 Teradyne, Inc. Dependent temperature control within disk drive testing systems
US8305751B2 (en) 2008-04-17 2012-11-06 Teradyne, Inc. Vibration isolation within disk drive testing systems
US8095234B2 (en) 2008-04-17 2012-01-10 Teradyne, Inc. Transferring disk drives within disk drive testing systems
US20090262455A1 (en) 2008-04-17 2009-10-22 Teradyne, Inc. Temperature Control Within Disk Drive Testing Systems
US7945424B2 (en) 2008-04-17 2011-05-17 Teradyne, Inc. Disk drive emulator and method of use thereof
US8041449B2 (en) 2008-04-17 2011-10-18 Teradyne, Inc. Bulk feeding disk drives to disk drive testing systems
US8102173B2 (en) 2008-04-17 2012-01-24 Teradyne, Inc. Thermal control system for test slot of test rack for disk drive testing system with thermoelectric device and a cooling conduit
US7848106B2 (en) 2008-04-17 2010-12-07 Teradyne, Inc. Temperature control within disk drive testing systems
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP2011524060A (ja) 2008-06-03 2011-08-25 テラダイン、 インコーポレイテッド 記憶デバイスを処理する方法
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
JP5431901B2 (ja) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 インライン真空処理装置、インライン真空処理装置の制御方法、情報記録媒体の製造方法
KR101718540B1 (ko) * 2009-02-27 2017-03-21 인테벡, 인코포레이티드 기판을 이송 및 처리하는 장치 및 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010123497A1 (en) * 2009-04-21 2010-10-28 Hewlett-Packard Development Company, L.P. Color target read using grayscale information
US8116079B2 (en) 2009-07-15 2012-02-14 Teradyne, Inc. Storage device testing system cooling
US8687356B2 (en) 2010-02-02 2014-04-01 Teradyne, Inc. Storage device testing system cooling
US8466699B2 (en) 2009-07-15 2013-06-18 Teradyne, Inc. Heating storage devices in a testing system
US7995349B2 (en) 2009-07-15 2011-08-09 Teradyne, Inc. Storage device temperature sensing
US8628239B2 (en) 2009-07-15 2014-01-14 Teradyne, Inc. Storage device temperature sensing
US7920380B2 (en) 2009-07-15 2011-04-05 Teradyne, Inc. Test slot cooling system for a storage device testing system
US8547123B2 (en) 2009-07-15 2013-10-01 Teradyne, Inc. Storage device testing system with a conductive heating assembly
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20110300788A1 (en) * 2010-06-03 2011-12-08 Panduit Corp. Vertical Exhaust Duct
US9779780B2 (en) 2010-06-17 2017-10-03 Teradyne, Inc. Damping vibrations within storage device testing systems
US8687349B2 (en) 2010-07-21 2014-04-01 Teradyne, Inc. Bulk transfer of storage devices using manual loading
US9001456B2 (en) 2010-08-31 2015-04-07 Teradyne, Inc. Engaging test slots
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120258259A1 (en) 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US10002747B2 (en) * 2012-03-27 2018-06-19 Lam Research Corporation Methods and apparatus for supplying process gas in a plasma processing system
US9490152B2 (en) * 2012-05-29 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetrical chamber configuration
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9459312B2 (en) 2013-04-10 2016-10-04 Teradyne, Inc. Electronic assembly test system
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
KR101999838B1 (ko) * 2015-08-11 2019-07-15 삼성디스플레이 주식회사 기판 처리 시스템
KR102309790B1 (ko) * 2015-08-11 2021-10-12 삼성디스플레이 주식회사 기판 처리 시스템
JP5947435B1 (ja) 2015-08-27 2016-07-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10001772B2 (en) * 2015-09-20 2018-06-19 Macau University Of Science And Technology Optimally scheduling of close-down process for single-arm cluster tools with wafer residency time constraints
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
USD819580S1 (en) * 2016-04-01 2018-06-05 Veeco Instruments, Inc. Self-centering wafer carrier for chemical vapor deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10770272B2 (en) 2016-04-11 2020-09-08 Applied Materials, Inc. Plasma-enhanced anneal chamber for wafer outgassing
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11802340B2 (en) * 2016-12-12 2023-10-31 Applied Materials, Inc. UHV in-situ cryo-cool chamber
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10381200B2 (en) 2017-03-08 2019-08-13 Applied Materials, Inc. Plasma chamber with tandem processing regions
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10290523B2 (en) * 2017-03-17 2019-05-14 Asm Ip Holding B.V. Wafer processing apparatus, recording medium and wafer conveying method
US20180272390A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Batch processing load lock chamber
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10948534B2 (en) 2017-08-28 2021-03-16 Teradyne, Inc. Automated test system employing robotics
US10725091B2 (en) 2017-08-28 2020-07-28 Teradyne, Inc. Automated test system having multiple stages
US10845410B2 (en) 2017-08-28 2020-11-24 Teradyne, Inc. Automated test system having orthogonal robots
US11226390B2 (en) 2017-08-28 2022-01-18 Teradyne, Inc. Calibration process for an automated test system
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7358044B2 (ja) * 2018-02-09 2023-10-10 東京エレクトロン株式会社 基板処理装置
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10983145B2 (en) 2018-04-24 2021-04-20 Teradyne, Inc. System for testing devices inside of carriers
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10775408B2 (en) 2018-08-20 2020-09-15 Teradyne, Inc. System for testing devices inside of carriers
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US10916452B2 (en) * 2018-12-04 2021-02-09 Nanya Technology Corporation Wafer drying equipment and method thereof
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
WO2021011229A1 (en) 2019-07-12 2021-01-21 Applied Materials, Inc. Robot for simultaneous substrate transfer
US20210013069A1 (en) * 2019-07-12 2021-01-14 Applied Materials, Inc. Multi-lid structure for semiconductor processing system
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
DE102019123556A1 (de) * 2019-09-03 2021-03-04 Aixtron Se Lademodul für ein CVD-Reaktorsystem
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102108263B1 (ko) * 2019-09-20 2020-05-11 삼성디스플레이 주식회사 기판 처리 시스템
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN113035681B (zh) * 2019-12-24 2023-12-15 中微半导体设备(上海)股份有限公司 晶圆气锁装置
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US20210320017A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Bottom purge for semiconductor processing system
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11867749B2 (en) 2020-10-22 2024-01-09 Teradyne, Inc. Vision system for an automated test system
US11754596B2 (en) 2020-10-22 2023-09-12 Teradyne, Inc. Test site configuration in an automated test system
US11953519B2 (en) 2020-10-22 2024-04-09 Teradyne, Inc. Modular automated test system
US11899042B2 (en) 2020-10-22 2024-02-13 Teradyne, Inc. Automated test system
US11754622B2 (en) 2020-10-22 2023-09-12 Teradyne, Inc. Thermal control system for an automated test system
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
USD973737S1 (en) * 2020-11-17 2022-12-27 Applied Materials, Inc. Mainframe of substrate processing system
USD973116S1 (en) * 2020-11-17 2022-12-20 Applied Materials, Inc. Mainframe of substrate processing system
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US12007411B2 (en) 2021-06-22 2024-06-11 Teradyne, Inc. Test socket having an automated lid
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD1029066S1 (en) * 2022-03-11 2024-05-28 Applied Materials, Inc. Mainframe of dual-robot substrate processing system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60167420A (ja) * 1983-12-05 1985-08-30 ピルキントン・ブラザ−ズ・ピ−エルシ− 多層被覆装置
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH0319252A (ja) * 1989-05-19 1991-01-28 Applied Materials Inc 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
KR100346773B1 (ko) * 1993-07-15 2002-11-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리장치용 웨이퍼 트레이 및 세라믹 블레이드

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5211175A (en) 1975-07-18 1977-01-27 Toshiba Corp Activated gas reacting apparatus
IT7828731A0 (it) 1977-11-05 1978-10-13 Archifar Lab Chim Farm Procedimento per la produzione di 3-aminoderivati della rifamicina s.
US4756815A (en) 1979-12-21 1988-07-12 Varian Associates, Inc. Wafer coating system
US4423303A (en) 1980-05-06 1983-12-27 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for treating powdery materials utilizing microwave plasma
JPS6098628A (ja) 1983-11-02 1985-06-01 Matsushita Electric Ind Co Ltd 真空中における搬送装置
US4553069A (en) 1984-01-05 1985-11-12 General Ionex Corporation Wafer holding apparatus for ion implantation
US6113701A (en) * 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
FR2589839B1 (fr) 1985-11-12 1988-01-15 Guilbaud Jean Pierre Dispositif pour assurer le transfert d'un objet vers un poste de traitement sous atmosphere controlee
EP0246453A3 (en) * 1986-04-18 1989-09-06 General Signal Corporation Novel multiple-processing and contamination-free plasma etching system
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
EP0244202B1 (en) 1986-04-28 1994-09-21 Varian Associates, Inc. Wafer transfer system
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS63230880A (ja) * 1987-03-20 1988-09-27 Canon Inc マイクロ波プラズマcvd法による機能性堆積膜形成装置
JPS63244619A (ja) * 1987-03-30 1988-10-12 Sumitomo Metal Ind Ltd プラズマ装置
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5138973A (en) * 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
JPH0757397B2 (ja) 1987-10-31 1995-06-21 石川島播磨重工業株式会社 トランスファープレスの送り装置並に送り駆動装置
US4804431A (en) 1987-11-03 1989-02-14 Aaron Ribner Microwave plasma etching machine and method of etching
JPH01125821A (ja) * 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd 気相成長装置
JP2675561B2 (ja) 1987-12-18 1997-11-12 株式会社日立製作所 プラズマ微量元素分折装置
US4908095A (en) 1988-05-02 1990-03-13 Tokyo Electron Limited Etching device, and etching method
US4986715A (en) 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US5147724A (en) 1988-11-30 1992-09-15 Mg Industries Method for producing smooth surfaces on plastics
JP2639435B2 (ja) 1989-03-20 1997-08-13 東京エレクトロン株式会社 熱処理装置
US5044752A (en) 1989-06-30 1991-09-03 General Signal Corporation Apparatus and process for positioning wafers in receiving devices
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
US5162047A (en) 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
EP0423608B1 (en) 1989-10-20 1996-06-05 Applied Materials, Inc. Two-axis magnetically coupled robot
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JPH086186B2 (ja) 1990-02-09 1996-01-24 三菱電機株式会社 基板の処理装置およびその処理方法
GB9006471D0 (en) 1990-03-22 1990-05-23 Surface Tech Sys Ltd Loading mechanisms
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5359177A (en) 1990-11-14 1994-10-25 Mitsubishi Denki Kabushiki Kaisha Microwave plasma apparatus for generating a uniform plasma
JP3196218B2 (ja) 1991-01-10 2001-08-06 ソニー株式会社 ウエハ搬送装置とウエハ搬送方法
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5297910A (en) 1991-02-15 1994-03-29 Tokyo Electron Limited Transportation-transfer device for an object of treatment
JPH04298060A (ja) 1991-03-26 1992-10-21 Tokyo Electron Ltd ウエハの位置合わせ装置
US5180276A (en) 1991-04-18 1993-01-19 Brooks Automation, Inc. Articulated arm transfer device
JP2868645B2 (ja) 1991-04-19 1999-03-10 東京エレクトロン株式会社 ウエハ搬送装置、ウエハの傾き検出方法、およびウエハの検出方法
US5513946A (en) 1991-08-27 1996-05-07 Canon Kabushiki Kaisha Clean robot
US5349154A (en) 1991-10-16 1994-09-20 Rockwell International Corporation Diamond growth by microwave generated plasma flame
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5256204A (en) 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2947380B2 (ja) 1992-01-22 1999-09-13 東京応化工業株式会社 プラズマ処理装置
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
JPH05218176A (ja) 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
DE59306704D1 (de) * 1992-02-12 1997-07-17 Balzers Hochvakuum Vakuumbearbeitungsanlage
JPH05306466A (ja) * 1992-04-30 1993-11-19 Matsushita Electric Ind Co Ltd プラズマcvd装置
KR940006241A (ko) 1992-06-05 1994-03-23 이노우에 아키라 기판이재장치 및 이재방법
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
DE4230956A1 (de) * 1992-09-16 1994-03-17 Witco Gmbh Verwendung von Thiadiazolen als Biozide für den Materialschutz
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP3115134B2 (ja) * 1992-11-27 2000-12-04 松下電器産業株式会社 薄膜処理装置および薄膜処理方法
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
US5642978A (en) 1993-03-29 1997-07-01 Jenoptik Gmbh Device for handling disk-shaped objects in a handling plane of a local clean room
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5505799A (en) 1993-09-19 1996-04-09 Regents Of The University Of California Nanoengineered explosives
KR100280947B1 (ko) 1993-10-04 2001-02-01 마쓰바 구니유키 판 형상체 반송장치
US5565034A (en) 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP3590969B2 (ja) 1993-11-22 2004-11-17 ソニー株式会社 多関節アーム型搬送装置
US5379984A (en) 1994-01-11 1995-01-10 Intevac, Inc. Gate valve for vacuum processing system
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5551982A (en) 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
DE4413655A1 (de) * 1994-04-20 1995-10-26 Leybold Ag Beschichtungsanlage
DE9407482U1 (de) * 1994-05-05 1994-10-06 Balzers und Leybold Deutschland Holding AG, 63450 Hanau Funktionseinrichtung für eine Vakuumanlage für die Behandlung von scheibenförmigen Werkstücken
KR100213991B1 (ko) 1994-05-23 1999-08-02 히가시 데쓰로 프로우브 장치
JPH07321178A (ja) 1994-05-24 1995-12-08 Hitachi Ltd 搬送装置およびその搬送装置を有するマルチチャンバ装置
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH07335552A (ja) 1994-06-08 1995-12-22 Tel Varian Ltd 処理装置
JPH0874028A (ja) * 1994-09-01 1996-03-19 Matsushita Electric Ind Co Ltd 薄膜形成装置および薄膜形成方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5655060A (en) 1995-03-31 1997-08-05 Brooks Automation Time optimal trajectory for cluster tool robots
JP3623281B2 (ja) 1995-05-08 2005-02-23 カムピナ メルクニ ビー ブイ 加水分解物で安定化された熱安定性水中油型エマルション
JP2665202B2 (ja) * 1995-05-31 1997-10-22 九州日本電気株式会社 半導体ウェハ処理装置
US5664926A (en) 1995-07-11 1997-09-09 Progressive System Technologies, Inc. Stage assembly for a substrate processing system
JPH0950992A (ja) * 1995-08-04 1997-02-18 Sharp Corp 成膜装置
JP3328481B2 (ja) 1995-10-13 2002-09-24 東京エレクトロン株式会社 処理方法および装置
US5647724A (en) 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
EP0891840A4 (en) 1996-03-18 2000-01-19 Komatsu Mfg Co Ltd DEVICE FOR CONTROLLING A PARTS TRANSPORTATION SYSTEM
US5801945A (en) 1996-06-28 1998-09-01 Lam Research Corporation Scheduling method for robotic manufacturing processes
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5905302A (en) 1996-11-18 1999-05-18 Applied Materials, Inc. Loadlock cassette with wafer support rails
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5838121A (en) 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
JP3019252B2 (ja) 1997-04-21 2000-03-13 昭和機器工業株式会社 ガソリンスタンド地下タンク用漏洩検知装置
US6176932B1 (en) * 1998-02-16 2001-01-23 Anelva Corporation Thin film deposition apparatus
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
US6214120B1 (en) * 1999-08-27 2001-04-10 Innovac Corporation High throughput multi-vacuum chamber system for processing wafers and method of processing wafers using the same
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6858085B1 (en) * 2002-08-06 2005-02-22 Tegal Corporation Two-compartment chamber for sequential processing
JP4186861B2 (ja) 2004-04-06 2008-11-26 ブラザー工業株式会社 インクジェット装置の駆動回路及びインクジェットプリンタ

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60167420A (ja) * 1983-12-05 1985-08-30 ピルキントン・ブラザ−ズ・ピ−エルシ− 多層被覆装置
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH0319252A (ja) * 1989-05-19 1991-01-28 Applied Materials Inc 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
KR100346773B1 (ko) * 1993-07-15 2002-11-18 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리장치용 웨이퍼 트레이 및 세라믹 블레이드
JPH08111381A (ja) * 1994-10-06 1996-04-30 Sony Corp 半導体処理装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100841741B1 (ko) * 2007-04-04 2008-06-27 주식회사 싸이맥스 진공처리장치
KR20100099147A (ko) * 2007-12-06 2010-09-10 인테벡, 인코포레이티드 기판의 양면 스퍼터 에칭을 위한 시스템 및 방법
CN107026101A (zh) * 2016-01-29 2017-08-08 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法
US9818630B2 (en) 2016-01-29 2017-11-14 Hitachi Kokusai Electric Inc. Substrate processing apparatus
KR20190004836A (ko) * 2016-06-03 2019-01-14 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부의 유동을 확산시키는 것에 의한 더 낮은 입자 수 및 더 양호한 웨이퍼 품질을 위한 효과적이고 새로운 설계
US10808310B2 (en) 2016-06-03 2020-10-20 Applied Mateirals, Inc. Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber

Also Published As

Publication number Publication date
JP4555406B2 (ja) 2010-09-29
DE69718990D1 (de) 2003-03-20
US6152070A (en) 2000-11-28
TW365018B (en) 1999-07-21
DE69718990T2 (de) 2003-12-04
US20080105202A9 (en) 2008-05-08
US7655092B2 (en) 2010-02-02
US20040069225A1 (en) 2004-04-15
EP0843339A3 (en) 1999-02-17
EP0843339B1 (en) 2003-02-12
US6635115B1 (en) 2003-10-21
JPH10154705A (ja) 1998-06-09
EP0843339A2 (en) 1998-05-20

Similar Documents

Publication Publication Date Title
KR100503125B1 (ko) 웨이퍼처리장치및방법
KR100528355B1 (ko) 하나이상의가스를여기시키기위한장치
KR19980042483A (ko) 직렬식 처리 챔버
US5902088A (en) Single loadlock chamber with wafer cooling function
US5909994A (en) Vertical dual loadlock chamber
US5911834A (en) Gas delivery system
US6224312B1 (en) Optimal trajectory robot motion
US6082950A (en) Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5838121A (en) Dual blade robot
KR100528354B1 (ko) 처리챔버의배기시스템
US5905302A (en) Loadlock cassette with wafer support rails
JP5214832B2 (ja) 基板処理装置
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
US20060240680A1 (en) Substrate processing platform allowing processing in different ambients
US20140069459A1 (en) Methods and apparatus for cleaning deposition chambers
JPS63283024A (ja) 磁場促進プラズマエッチ反応器
JP2641910B2 (ja) 処理装置
WO2022169509A1 (en) Etch selectivity control in atomic layer etching

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20060327

Effective date: 20070402