KR100528354B1 - 처리챔버의배기시스템 - Google Patents

처리챔버의배기시스템 Download PDF

Info

Publication number
KR100528354B1
KR100528354B1 KR1019970060326A KR19970060326A KR100528354B1 KR 100528354 B1 KR100528354 B1 KR 100528354B1 KR 1019970060326 A KR1019970060326 A KR 1019970060326A KR 19970060326 A KR19970060326 A KR 19970060326A KR 100528354 B1 KR100528354 B1 KR 100528354B1
Authority
KR
South Korea
Prior art keywords
chamber
processing
wafer
gas
exhaust
Prior art date
Application number
KR1019970060326A
Other languages
English (en)
Other versions
KR19980042484A (ko
Inventor
하리 폰네칸티
케빈 페어베언
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR19980042484A publication Critical patent/KR19980042484A/ko
Application granted granted Critical
Publication of KR100528354B1 publication Critical patent/KR100528354B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • B05C11/02Apparatus for spreading or distributing liquids or other fluent materials already applied to a surface ; Controlling means therefor; Control of the thickness of a coating by spreading or distributing liquids or other fluent materials already applied to the coated surface
    • B05C11/08Spreading liquid or other fluent material by manipulating the work, e.g. tilting

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

본 발명은 다수의 웨이퍼를 동시에 처리하고 고 품질의 웨이퍼 처리, 높은 웨이퍼 작업 처리량 및 감소된 시스템 풋프린트를 위하여 단일 웨이퍼 처리 챔버의 장점과 다수의 웨이퍼 취급을 결합한 카세트 대 카세트(cassette - to - cassette) 처리 시스템를 제공하는 것이다. 본 발명의 일실시 양태에 따라, 시스템은 시스템 내부로 웨이퍼를 도입시키기 위한 로드록 챔버를 포함하며 후속 처리를 위한 웨이퍼 냉각, 웨이퍼 핸들러를 수용하는 전달 챔버 및 각각 서로로부터 분리가능하고 바람직하게 공통 가스 공급원과 공통 배기 펌프를 공유하는 두 개 이상의 처리 영역을 각각 갖는 하나 이상의 처리 챔버를 또한 제공하는 단계화 진공 시스템이다. 처리 영역이 국한된 플라즈마 영역을 갖는 분리가능한 수단은 배기 시스템을 경유한 인접 영역과 선택적으로 전달가능한 인접 영역으로부터 분리된다. 각각의 챔버내의 처리 영역은 분리된 가스 분배 조립체와 RF 전력원을 또한 포함하여 각각의 처리 영역 내의 웨이퍼 표면위로 균일한 플라즈마 밀도를 제공하도록 한다. 처리용 챔버는 다수의 독립된 공정이 적어도 두 개의 웨이퍼가 공통 가스 공급원, 공통 배기 시스템, 분리된 가스 분배 조립체, 분리된 RF 전력원 및 분리된 온도 제어 시스템에 의해 제공되는 높은 처리 제어도를 갖는 분리된 처리 영역내에서 동시에 처리될 수 있도록 적어도 두 영역내에서 동시에 실행되게 배치된다.

Description

처리 챔버의 배기 시스템 {PROCESS CHAMBER EXHAUST SYSTEM}
본 발명은, 시스템 및 개별적인 시스템 부품을 포함하는, 집적 회로의 제조시에 다수의 웨이퍼를 동시 처리하기 위한 방법 및 장치에 관한 것이다. 특히, 본 발명은 하나 이상의 설비물을 공유하는 하나 이상의 처리 챔버(process chambers), 하나 이상의 로드록 챔버(loadlock chambers) 및 로드록 챔버와 처리 챔버에 연결된 전달 챔버(transfer chamber)를 갖는 단계화 진공 시스템(staged vacuum system)에 관한 것이다.
"클러스터 기구(cluster tool)"라는 용어는 일반적으로 중심 웨이퍼 핸들링 모듈 및 다수의 주변 처리 챔버를 갖는 모듈식의 다중 챔버인 통합 처리 시스템을 지칭한다. 클러스터 기구는 진보된 미소 전자 소자를 제조하기 위한 효과적이고 효율적인 장치로 인식되어 있다. 웨이퍼는 다양한 처리 챔버내에서의 연속적인 일련의 처리 단계에 의하여 집적 회로를 형성하는 클러스터 기구 내부로 도입된다. 처리 챔버간의 웨이퍼 전달은 중심 전달 영역내에 위치된 웨이퍼 핸들링 모듈에 의해 처리된다. 일반적으로, 클러스터 기구는 단일 웨이퍼 처리 방식 또는 배치식(batch) 웨이퍼 처리 방식의 두가지 방식을 갖는다. 단일 웨이퍼 처리 방식은 처리를 위한 하나의 단일 웨이퍼가 챔버 내에 위치되어 있는 챔버 구성을 지칭한다. 배치 웨이퍼 처리 방식은 다수의 웨이퍼가 회전대 상에 위치되고 회전대가 360°로 회전함에 따라 챔버내의 다양한 위치에서 처리되는 챔버 구성을 지칭한다. 배치식 처리 방식으로 배열된 클러스터 기구는 단일 챔버내에서 일반적으로 4개에서 7개까지의 여러 웨이퍼를 동시에 처리할 수 있다.
도 1 및 도 2는 상업적으로 이용가능한 배치식 처리 시스템(10)의 예를 도시하고 있다. 도 1은 노벨루스 코포레이션(Novellus Corporation)에 의해 상용화된 배치식 처리 방식의 방사상 클러스터 기구의 평면 개략도이다. 클러스터 기구는 처리하기 위한 6개의 웨이퍼(14)가 각각 고정된 두 개의 배치식 처리 챔버(12,13)를 포함한다. 전달 챔버(18)내에 위치된 단일 웨이퍼 핸들링 로봇(16)은 로드록 챔버(20)로부터 제 1 배치식 처리 챔버(12)로 웨이퍼를 하나씩 전달하는데 사용되며, 상기 제 1 챔버에서는 웨이퍼가 회전대(22) 상에 연속적으로 놓여진 후, 동일한 처리 단계를 거치게 된다. 이때, 웨이퍼는 이어서 제 2 배치 처리 챔버(13)에 전달되며, 웨이퍼는 부가적인 처리 단계를 거친다. 일반적으로, 웨이퍼는 시스템 내부로 한번에 한 개씩 로딩되고 챔버내로 이동되며, 그 챔버내에서는 웨이퍼들이 회전대 상에서 360°로 회전됨에 따라 다양한 위치에서 부분적으로 처리된다.
도 2a 및 도 2b는 매트선 테크놀로지(Mattson Technology)에 의해 상용화된 배치식 처리 방식용 클러스터 기구(10)의 평면 및 측면 개략도이다. 로드록 챔버(20) 및 전달 챔버(18)는 웨이퍼가 전달 챔버내에서 단계를 이루도록 하는 공용의 웨이퍼 승강기(19)를 갖는다. 전달 로봇(16)은 4개의 웨이퍼를 고정하는 화학 증착 (CVD) 챔버와 같은 처리 챔버로 웨이퍼를 전달한다. 이어서, 웨이퍼들은 웨이퍼 승강기로 복귀되어 결국 상기 클러스터 기구로부터 회수된다.
전술한 클러스터 기구내에서 행해진 처리를 포함한 배치식 처리 방식의 하나의 단점은, 배치식 처리 방식이 웨이퍼의 중심에서 웨이퍼의 엣지에 이르기까지 증착 균일도가 떨어진다는 것이다. 처리 균일도는 웨이퍼 상의 증착 균일도를 얻기 위해 중요하다. 배치식 처리 시스템의 열등한 균일도는 단일 챔버내의 다수의 스테이션에서 다수의 웨이퍼를 부분적으로 처리하는데 따른 직접적인 결과이다.
처리 균일도를 개선시키기 위한 대안은 단일 웨이퍼 처리 시스템의 사용이다. 일반적으로, 단일 웨이퍼 처리 방식은 높은 정도의 처리 균일도 제어를 제공하는 것으로 인식되고 있는데, 이는 하나의 웨이퍼가 다른 위치로 이동되지 않고 증착 단계 또는 에칭 단계와 같은 완전한 처리 단계를 거치는 처리 챔버내에 위치되기 때문이다. 더욱이, 단일 웨이퍼 처리 챔버의 부품들은 단일 웨이퍼에 대해 동심적으로 또는 다른 방식으로 위치된다.
도 3은 챔버상에 장착된 다수의 단일 웨이퍼 처리 챔버(12)를 갖는 클러스터 기구(10)의 평면 개략도이다. 도 3에 도시된 것과 동일한 클러스터 기구는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)사로부터 상업상 이용가능하다. 상기 기구는 시스템내의 한 위치에서 다른 위치로, 특히 다수의 단일 웨이퍼 처리 챔버(12) 사이에서 웨이퍼를 이동시키기 위한 웨이퍼 핸들링 모듈(16)을 갖는 전달 챔버(18)와 로드록 챔버(20)를 포함한다. 상기 특정 기구는 전달 챔버를 중심으로 하여 방사상으로 위치된 4개까지의 단일 웨이퍼 처리 챔버(12)를 수용하는 것으로 도시되어 있다.
전술한 종래 기술을 감안하면, 균일한 웨이퍼 처리 및 높은 작업 처리량을 제공하는 진공 처리 시스템이 필요하다. 특히, 서로 협력하여 배치식 웨이퍼 핸들링 기술을 갖는 단일 웨이퍼 구조를 구현할 수 있는 통합 시스템 및 처리 챔버가 요구된다. 종래의 클러스터 기구보다 낮은 자본 투자와 작업 비용을 요하는 감소된 풋프린트(밑 넓이; footprint) 및 페이스프린트(정면에서 본 넓이; faceprint)를 갖는 시스템이 필요하다.
따라서, 본 발명은 로드록 챔버, 전달 챔버 및 하나 이상의 처리 챔버를 포함하는 웨이퍼 처리 장치를 제공하는 것이며, 각각의 챔버는 그 내부에 다수의 분리된 처리 영역을 형성하고, 각각의 처리 영역은 전달 챔버에 연결된다. 바람직하게, 웨이퍼 핸들링 부재는 시스템을 통해 효율적인 방식으로 웨이퍼를 이동시키기 위해 시스템의 전단부상에 그리고 전달 챔버내에 위치된다.
본 발명의 하나의 실시 양태에 있어서, 상기 시스템은 시스템을 통해 적어도 두 개의 웨이퍼를 동시에 전달한다. 웨이퍼는 바람직하게 공용의 가스 라인과 하나의 배기 시스템을 공유하는 직렬식 챔버 세트들 내에서 동시에 처리된다. 챔버 세트내의 각각의 처리 영역은 처리용 웨이퍼를 지지하기 위해 그 내부에 위치된 받침대를 포함한다. 각각의 받침대는 처리시에 소정의 웨이퍼 온도를 유지하기 위한 가열 및 냉각 부재를 포함한다. 따라서, 본 발명은 웨이퍼 전달 속도가 개선된 단일 웨이퍼 처리 시스템의 처리 제어를 제공한다.
본 발명의 또 다른 실시 양태에 있어서, 독립적인 온도 및 전력 제어부가 각각의 처리 영역내에 제공된다. 바람직하게, 처리 가스 및 배기 시스템은 공유되며, 웨이퍼들의 온도 제어부 및 가스 분배 플레이트로의 전력 공급원이 분리되고 각각 독립적으로 제어된다.
본 발명의 또 다른 실시 양태에 있어서, 용이한 설치 및 시스템 시동을 위해 단일의 프레임상에 장착되는 시스템이 제공된다. 상기 시스템의 후단부는 바람직하게 시스템을 작동시키는데 필요로 하는 설비를 포함한다. 그러나, 일부 펌프 및 전력 설비는 제조 시설내의 펌프 통로(pump alley)와 같은 원격의 시설내에 위치된다.
본 발명은 다수의 웨이퍼를 동시에 처리하고 단일 웨이퍼 처리 챔버의 장점과 다수의 웨이퍼 핸들링의 장점을 결합하여 고 품질의 웨이퍼 처리, 높은 웨이퍼 처리량 및 감소된 시스템 풋프린트를 달성할 수 있는 카세트 대 카세트식의 진공 처리 시스템을 제공한다. 본 발명의 하나의 실시 양태에 따라, 상기 시스템은 웨이퍼의 후속 냉각 처리를 제공하고 시스템 내부로 웨이퍼를 도입시키기 위한 로드록 챔버, 웨이퍼 핸들러를 수용하는 전달 챔버, 및 각각 서로로부터 격리가능하고 바람직하게 공통 가스 공급원과 공통 배기 펌프를 공유하는 두 개 이상의 처리 영역을 각각 갖는 하나 이상의 처리 챔버를 포함하는 단계식 진공 시스템이다. 격리가 가능하다는 것은, 배기 시스템을 통해 인접 영역과 선택적으로 연통 가능하며 그 인접 영역으로부터 분리된 플라즈마 영역을 처리 영역이 포함한다는 것을 의미한다. 바람직하게, 각각의 챔버내의 처리 영역들은 각각의 처리 영역 내의 웨이퍼 표면에 걸쳐 균일한 플라즈마 밀도를 제공하기 위해 분리된 가스 분배 조립체와 RF 전력원을 또한 포함한다. 공유된 가스 공급원, 공유된 배기 시스템, 분리된 가스 분배 조립체, 분리된 RF 전력원 및 분리된 온도 제어 시스템에 의해 높은 정도로 공정이 제어되는 분리된 처리 영역내에서 적어도 두 개의 웨이퍼가 동시에 처리될 수 있도록, 적어도 두 영역내에서 다수의 독립된 공정이 동시에 행해지도록 처리 챔버들이 배치된다. 보다 용이한 설명을 위해, 챔버의 처리 영역이라는 용어는 플라즈마 처리가 행해지는 영역을 지칭하는데 사용된다.
도 4 내지 도 7은 본 발명의 처리 시스템(100)을 개략적으로 예시하고 있다. 상기 처리 시스템(100)은 쉽게 설치할 수 있고 신속한 시동을 제공하며 메인 프레임 구조물(101) 위에 지지되는 필요한 처리 설비를 갖는 자립형(self-contained) 시스템이다. 상기 처리 시스템(100)은 4개의 다른 영역, 즉 (도 8에 도시되어진)웨이퍼 카세트(109)가 지지되고 웨이퍼가 로드록 챔버(112) 내외로 인출되거나 로딩되는 전단부 단계화 영역(102), 웨이퍼 핸들러를 수용하기 위한 전달 챔버(104), 상기 전달 챔버(104)에 장착된 일련의 직렬식(tandem) 처리 챔버(106)들, 그리고 가스 패널(103)과 전력 분배 패널(105)과 전력 발생기(107)와 같이 시스템(100)의 작동중에 필요한 지원 설비들을 수용하는 후단부(108)를 포함한다. 이러한 시스템은 CVD, PVD, 및 에칭과 같은 다양한 처리 및 지원 챔버 하드웨어를 수용하기에 적합하도록 되어 있다. 하기에 기술되어질 실시예는 실리콘 산화물을 증착하기 위해 실란 처리(silane process)와 같은 DCVD 처리를 채용하는 시스템에 관한 것이다. 그러나, 본 발명은 다른 공정에 대해서도 적용될 수 있는 것으로 이해되어야 한다.
전단부 단계화 영역
도 8은 처리를 위해 하나 이상의 웨이퍼 카세트(109)를 지지하도록 플랫폼(110)을 통해 회전가능하게 설치된 하나 이상의 웨이퍼 카세트 회전대(111)를 갖는 단계화 플랫폼(110)을 포함하는 시스템(100)의 전단부 단계화 영역(102)을 도시하고 있다. 웨이퍼 카세트(109)내에 수용된 웨이퍼는 (도 6에 도시된)전방 덮개(139)를 통해 위치된 하나 이상의 도어(137)를 통해 시스템(100) 내부로 로딩된다. 로봇과 같은 전단부 웨이퍼 핸들러(113)는 웨이퍼 카세트 회전대(111)와 (도 11에 도시된)로드록 챔버 도어(209)에 인접한 단계화 플랫폼(110)상에 장착된다. 바람직하게, 전단부 웨이퍼 핸들러(113)는 로드록 챔버(112)내에 위치된 로드록 카세트 내부로 웨이퍼를 로딩하기 위한 준비단계에서 각각의 웨이퍼 카세트(109)내의 웨이퍼를 색인하기 위한 웨이퍼 맵핑(mapping) 시스템을 포함한다. 웨이퍼 맵핑 시스템을 포함하는 본 발명의 시스템에서 특징적으로 사용되는 하나의 웨이퍼 핸들러는 캘리포니아, 서니베일에 소재하는 이큅프 테크놀로지(Equippe technology)로부터 공급되는 모델 번호 제 ATM 107 또는 105형이 있다. 웨이퍼 맵핑 센서는 처리를 위해 로드록 챔버(112)내에 웨이퍼를 위치시키기전에 웨이퍼의 수와 카세트(109)내의 웨이퍼의 방향성을 확인한다. 뉴 멕시코 알버퀄크(Alburquerque) 소재의 엔바이로코 코포레이션(Enviroco coporation)사 또는 캘리포니아 산 라파엘 소재의 플랜덜스(Flanders)사, 캘리포니아 산타아나 소재의 필트라(Filtra)사로부터 이용가능한 ULPA 필터와 같은 배기 시스템은 시스템의 전방 단부상에서 미립자 제어를 제공하기 위해 플랫폼(110) 상의 지지단(115)의 바닥에 장착된다. 컴퓨터 모니터(117)는 작동자에게 접촉 제어를 제공하기 위해 지지단(115)위의 모니터 선반(119)상에 지지된다.
로드록 챔버
도 9는 본 발명의 로드록 챔버(112)의 일실시예에 대한 측면 개략도를 도시하고 있다. 로드록 챔버(112)는 측벽(202), 바닥(204) 및 리드(206)를 포함한다. 측벽(202)은 진공 시스템(100) 내부로 웨이퍼를 로딩시키고 상기 시스템 외부로 웨이퍼를 인출시키기 위한 로드록 로딩 포트(208)를 형성한다. 웨이퍼가 로드록 챔버(112)로부터 (도시되지 않은)전달 챔버(104) 내부로 이동되도록 하는 측벽(202)내의 통로(210,212)가 로딩 포트(208)의 반대편에 위치된다. 슬릿 밸브 및 슬릿 밸브 작동기는 독립된 진공 및 단계화 진공이 바람직할 때 통로(210,212)를 밀봉하는데 사용된다. 서비스 포트(214)와 서비스 도어 또는 윈도우(216)는 로드록 챔버(112)에 서비스 및 육안 접근을 제공하기 위해 로드록 챔버(112)의 한 단부상에 위치된다.
웨이퍼 핸들러가 로드록 카세트(218)내외로 웨이퍼를 위치시키고 제거할 수 있게 웨이퍼 사이를 통과할 수 있도록, 로드록 카세트(218)는 로드록 챔버(112)내에서 웨이퍼들을 서로 이격된 관계로 지지하게끔 로드록 챔버(112)내에 위치된다. 로드록 카세트(218)는 바람직하게 웨이퍼 시트(seat)(220)위에 나란히 배열되어 두 개 이상의 웨이퍼를 지지한다. 웨이퍼 시트(220)는 이동가능한 샤프트(224)상에서 서로 이격된 상태로 지지된 카세트 플레이트(222)들상에 형성된다. 바람직하게, 플레이트(222)는 양극처리한 알루미늄으로 제조되며, 약 1.52 cm(0.6인치) 만큼 수직으로 이격된 약 14개 까지의 웨이퍼를 핸들링할 수 있다. 도 9에 도시된 실시예에서, 웨이퍼 시트(220)의 6열은 전체 12 개의 웨이퍼를 지지하는데 제공된다.
각각의 웨이퍼 시트(220)는 적어도 두 개의 홈(226)을 형성하고, 상기 홈 내의 지지 레일(228)은 웨이퍼 아래쪽에 냉각 가스 통로를 제공하도록 웨이퍼 시트(220) 위쪽에서 웨이퍼를 지지하도록 배치된다. 바람직한 실시예에서, 세라믹으로 제조된 레일(228)은 적어도 두 개가 웨이퍼를 지지하는데 제공되지만, 보다 많은 레일이 사용될 수 있다. 웨이퍼의 균일한 냉각을 제공하기 위해, 웨이퍼들은 웨이퍼 시트(220)로부터 1 내지 15 mil의 높이에서 지지된다.
샤프트(224)는 로드록 챔버(112)의 바닥(204)을 통해 위치되며 로드록 챔버(112)내의 카세트 플레이트(222)를 지지한다. 스테퍼 모터 또는 다른 승강 시스템과 같은 모터는 로드록 챔버(112)의 바닥(204) 아래에 위치되며, 로드록 챔버(112)로부터 웨이퍼를 로딩 또는 인출하기 위해 웨이퍼 쌍들이 웨이퍼 핸들러와 정렬되도록 로드록 챔버(112)내에서 샤프트(224)를 상하로 이동시킨다.
도 10은 전방부를 제거한 상태에서 도시한 로드록 챔버(112)의 측면도이다. 카세트 플레이트(222)는 중심 부분(230)을 포함하고, 샤프트(224)는 상기 중심 부분을 통해 연장하여 플레이트(222)를 지지한다. 카세트 플레이트(222)의 외부 엣지들은 핀(234)에 의해 고정된 스페이서(232)에 의해 서로 이격된 상태로 지지된다. 각각의 플레이트(222)는 그 각각의 플레이트 내부에 형성되어 웨이퍼가 시트(220)상에 지지될 때 로봇 블레이드가 웨이퍼 아래를 통과할 수 있게 하는 슬롯을 형성하는 중심 채널(236)을 형성한다.
도 11은 로드록 챔버(112)의 전방 사시도이다. 로딩 도어(209) 및 도어 작동기(238)가 밀폐되고 밀봉된 위치에 도시되어 있다. 로딩 도어(209)는 이동가능한 샤프트(240)상의 작동기(238)에 연결된다. 도어(209)를 개방하기 위해, 작동기(238)는 측벽(202)으로부터 멀어지는 방향으로 경사져서 도어(209)의 밀봉을 해제하며, 이어서 샤프트(240)가 하강되어 도어(209)의 간극을 제공하고 (도 9에 도시되어진)포트(208)로의 근접로를 제공한다. 본 발명에 사용될 수 있는 하나의 도어 작동기는 스위스에 위치된 VAT로부터 이용가능하다.
탑재형(on-board) 진공 펌프(121)가 로드록 챔버(112)와 전달 챔버(104)에 인접한 프레임(101)상에 장착되어 로드록 챔버와 전달 챔버를 펌핑한다. 배기 포트(280)는 로드록 챔버(112)의 바닥을 통해 위치되며 배기 라인(704)을 경유하여 펌프(121)에 연결된다. 펌프는 바람직하게 매우 낮은 진동으로 millitorr의 압력을 제공할 수 있는 고진공 터보 펌프이다. 바람직한 하나의 진공 설비는 에드워드 하이 배큠(Edward High Vacuum)으로부터 이용가능하다.
바람직하게, 전달 챔버(104)는 통로(210, 212)를 밀봉하는 한 쌍의 슬릿 밸브를 개방하고 로드록 챔버(112)내에 위치된 배기 포트(208)를 통해 가스를 배출함으로써 로드록 챔버(112)를 통해 배기 펌핑된다. 로드록 챔버(112)를 통해 시스템의 외부로 가스를 연속적으로 배출함으로써 가스-결합 미립자들이 전달 챔버(104)내로 흘러 들어가는 것을 방지한다. 또한, 가스 확산기(231)는 대기로의 환기를 용이하게 하기 위해 로드록 챔버 내에 위치된다. 가스 확산기(231)는 바람직하게 로드록 챔버내에 위치된 도관이며, N2 퍼지(purge) 가스 라인과 같은 가스 퍼지 라인에 연결된다. 가스 확산기(231)는 확산기의 길이를 따라 위치된 다수의 포트(233)를 통해 큰 표면적을 따라 퍼지 가스를 분배시키고, 그 결과 챔버의 환기에 필요한 시간을 감소시킨다. 본 발명의 진공 시스템은 이후 보다 상세히 설명되어질 것이다.
이중 위치(dual position) 로드록 챔버
도 12는 본 발명의 로드록 챔버(112)의 다른 실시예를 도시한 사시도이다. 로드록 챔버(112)는 챔버 벽(202), 바닥(204) 및 리드(206)를 포함한다. 상기 챔버(112)는 두 개의 분리된 환경 또는 격실(242,244) 및 전달 영역(246)을 포함한다. 격실(242,244)은 그 내부에 웨이퍼를 지지하기 위해 각각의 격실(242, 244)내의 웨이퍼 카세트를 포함한다. 각각의 격실(242, 244)은 그 격실(242,244)의 바닥 및 상층을 형성하기 위한 지지 플랫폼(248) 및 상층 플랫폼(250)을 포함한다. 지지벽(252)은 플랫폼(248,250)들을 서로 이격된 상태로 지지하기 위해 격실(242,244)내에 수직으로 위치된다. 전달 영역(246)은 로드록 챔버(112)로부터 (도시되지 않은)전달 챔버(104) 내부로의 접근로를 제공하기 위한 하나 이상의 통로(192)를 포함한다. 바람직하게, 통로(192)는 슬릿 밸브 및 슬릿 밸브 작동기를 사용하여 개방되거나 밀폐된다.
각 격실(242,244)은 승강기 샤프트(224)에 연결되어 있으며, 각각의 샤프트는 로드록 챔버(112)내에서 격실을 상하로 이동시키기 위해 스테퍼 모터와 같은 모터에 연결된다. 밀봉 플랜지(256)는 격실(242)의 플랫폼(248)을 지지하기 위한 밀봉면을 제공하기 위해 로드록 챔버(112)의 주변으로 위치된다. 밀봉 플랜지(258)는 격실(244)의 플랫폼(250)을 지지하기 위한 밀봉면을 제공하기 위해 유사하게 위치된다. 격실(242,244)은 로드록 챔버(112)내의 격실(242,244)의 독립적인 단계식 진공을 제공하기 위해 밀봉 플랜지(256,258)에 의해 상호 분리된다.
후면 압력은 스페이스(260,262) 내부에 위치된 진공 포트를 통해 스페이스내에서 유지된다. 고진공이 스페이스(260,262)내에 제공되어 밀봉 플랜지(256,258)에 대한 플랫폼(248,250)의 밀봉을 도와주도록, 진공 펌프는 배기 라인(264)를 경유하여 스페이스(260,262)에 연결된다.
작동중에, 격실(242,244)은 도 12에 도시되어진 위치에서 로딩되거나 인출될 수 있다. (도 11에 도시되어진)전술한 것과 같은 로딩 도어(209) 및 작동기(238)가 격실(242,244)과 대응하는 로드록 챔버(112)의 상한 및 하한에서 (도시되지 않은)전방 벽을 통해 제공된다. 선택된 격실 내의 압력은 웨이퍼가 격실 내부로 장전된 후 배기 라인(287,289)을 통해 펌핑되며, 선택되어진 격실은 전달 영역(246) 내부로 이동한다. 격실(242,244)은 스테퍼 모터에 의해 전달 영역(246) 내로 독립적으로 이동한다. 상부 및 하부 격실(242,244)을 갖는 장점은, 두 번째의 웨이퍼 세트가 다른 격실 내부로 로딩되고 그 격실이 전달 영역(246)내로 이동되며 전달 챔버(104)와 연통할 수 있도록 그 격실을 펌핑하는 동안, 첫 번째의 웨이퍼 세트가 처리될 수 있다는 것이다.
웨이퍼 중심 검출
도 8은 웨이퍼 카세트(109)로부터 로드록 챔버(112) 내부 또는 외부로 웨이퍼를 전달하기 위한 웨이퍼 전달 블레이드를 포함하는 시스템(100)의 전단부(102) 상의 웨이퍼 핸들링 로봇(113)을 도시하고 있다. 웨이퍼는 각각의 웨이퍼 카세트(109)내의 동일한 위치에 항상 정확하게 놓여지지는 않으며, 그 결과 웨이퍼가 로드록 카세트(218) 내부로 전달될 때 블레이드상에 동일하게 위치되지 않는다. 따라서, 웨이퍼가 로드록 챔버 카세트 내부로 로딩되기 이전에, 로봇 블레이드 상의 웨이퍼의 정확한 위치가 결정되고 제어 컴퓨터로 제공되어야 한다. 웨이퍼의 정확한 중심을 인지함으로써, 컴퓨터가 블레이드상의 각각의 웨이퍼의 가변 위치를 조절할 수 있게 되고 로드록 챔버 카세트(218)내의 소정의 위치내에 정확하게 웨이퍼를 위치시킬 있게 되어, 궁극적으로 전달 챔버내의 웨이퍼 핸들러가 공정 챔버(106)내에 웨이퍼를 정확하게 위치시킬 수 있게 된다.
로봇이 로드록 카세트(218) 내에 웨이퍼를 정확하게 위치시킬 수 있게 하는 웨이퍼 위치 데이터(바람직하게 웨이퍼의 중심 좌표)를 제공하는 광학 감지 시스템(170)이 전단부(102) 상에서 각각의 카세트 회전대(111)에 인접하여 제공된다. 각각의 시스템은 로봇 블레이드의 경로에 수직인 라인을 따라 카세트 회전대(111)에 인접하여 C 클램프(174)의 하부 지지대(173)에 장착된 3개의 광학 센서(172)와 상기 관련 센서와 정렬되어 C 클램프(174)의 상부 지지대(177)상에 위치된 3개의 광학 방출기(176)를 포함하며, 상기 센서들은 관련 방출기로부터의 비임을 수신한다. 일반적으로, 각각의 쌍들은 통상의 적외선 방출기 및 센서로 이루어진다.
센서의 출력은 관련된 아나로그-디지털 변환기에 의해 디지털 신호로 변환되는데, 이 신호는 로드록 챔버(112)로 유입되는 웨이퍼의 중심 좌표를 계산하기 위한 입력 값으로서, 그리고 로봇(113)에 의해 각 웨이퍼를 로드록 카세트(218)내의 정확한 위치에 배치할 수 있도록 필요에 따라 로봇 구동 모터의 작동을 제어하는데 사용되는 입력 값으로서 시스템 컴퓨터에 인가되는 신호이다. 센싱 및 모터 제어 회로에 대한 상세한 설명은 미국 특허 제 4,819,167호에 보다 상세히 기술된다.
전달 챔버
도 13은 본 발명의 처리 시스템(100)의 평면도이다. 전달 챔버 몸체는 측벽(302) 및 바닥(304)을 포함하고 바람직하게 기계 가공되거나, 그렇지 않으면 알루미늄과 같은 하나의 재료로부터 제조된다. (도시되지 않은)리드는 진공 엔클로저를 형성하기 위해 작동시에 측벽(302)상에 지지된다. 전달 챔버(104)의 측벽(302)은 처리 챔버(106) 및 로드록 챔버(112)를 지지한다. 측벽(302)은 각 측면상에 적어도 두 개의 통로(310)를 형성하며, 상기 통로를 통해 시스템상에서 다른 챔버로의 접근로가 제공된다. 각각의 처리 챔버(106) 및 로드록 챔버(112)는 처리 챔버, 로드록 챔버, 전달 챔버 사이의 연통을 가능하게 하는 하나 이상의 슬릿 밸브 개구 및 슬릿 밸브를 포함하며, 로드록 챔버 및 전달 챔버는 시스템내의 단계화된 진공 상태가 가능하도록 이러한 각 챔버내의 환경을 진공 격리시킨다. 전달 챔버(104)의 바닥(304)은 중심 통로(306)를 형성하며, 로봇 조립체와 같은 웨이퍼 핸들러(500)가 상기 통로 내로 연장되고 전달 챔버의 바닥에 장착된다. 또한, 바닥(304)은 다수의 통로(308)를 형성하며, 상기 통로를 통해 하나 이상의 슬릿 밸브 작동기가 연장되고 밀봉 장착된다. 가스 퍼지 포트(309)는 펌핑시에 퍼지 가스를 제공하기 위해 전달 챔버(104)의 바닥(304)을 통해 위치된다.
도 14는 전달 챔버(104)를 부분적으로 단면 도시하고 있다. 측벽(302)을 통해 위치된 통로(310)는 두 개의 개별적인 슬릿 밸브 또는 직렬형 슬릿 밸브 조립체를 사용하여 개봉되거나 밀폐될 수 있다. 웨이퍼 가열기 받침대(628) 상의 배치를 위해 챔버(106)내의 처리 영역(618,620) 내로 웨이퍼(502)가 유입될 수 있도록, 통로(310)는 (도 15에 도시되어진)처리 영역(618,620)내의 웨이퍼 통로(610)와 일치(mating) 된다.
슬릿 밸브 및 슬릿 밸브의 제어 방법은 테프만(Tepman)등에게 허여된 미국 특허 제 5,226,632호와 로리머(Lorimer)에게 허여된 미국 특허 제 5,363,872호에 개시되어 있다.
전달 챔버 웨이퍼 핸들러
도 15는 전달 챔버(104) 내에서의 자유로운 회전을 위해 후퇴된 위치에서 본 발명의 자력 결합식 로봇(500)의 평면도를 개략적으로 도시하고 있다. 이중 웨이퍼 핸들링 블레이드(520,522)를 갖는 로봇은 하나의 챔버로부터 다른 챔버로 웨이퍼(502)를 전달하기 위해 전달 챔버(104)내에 위치된다. 본 발명에 의해 사용될 수 있는 "고 생산성(Very High Productivity; VHP)" 형태의 로봇은 발명의 명칭이 "2축의 자력 결합식 로봇(Two-axis Magnetically Coupled Robot)"인 1995년 11월 21일자 미국 특허 제 5,469,035호에 기재되어 있다. 자력 결합식 로봇(500)은 로봇 블레이드의 고정 평면내의 방사상 및 회전 이동을 제공하기 위해 두 개의 진공측 허브(자력 클램프라고도 함)와 이중 웨이퍼 블레이드(520, 522)들 사이에 연결된 개구리-다리형 조립체(a frog-leg type assembly)로 이루어진다. 방사상 이동 및 회전 이동은 하나의 처리 챔버(106)로부터 다른 챔버까지 그리고 이 시스템(100)내의 하나의 위치로부터 다른 위치까지 두 개의 웨이퍼를 픽업하여 전달 및 공급하기 위해 조합될 수 있다.
로봇은 지점(525)에서 제 1 자석 클램프(524)에 단단하게 부착된 제 1 버팀목(504)과 (도 17에도 도시되어 있는)지점(527)에서 (제 1 자석 클램프(524)아래에 동심으로 위치된)제 2 자석 클램프(526)에 단단하게 부착된 제 2 버팀목(506)을 포함한다. 제 3 버팀목(508)은 피봇(510)에 의해 버팀목(504)에 부착되고 피봇(512)에 의해 웨이퍼 블레이드 조립체(540)에 부착된다. 제 4 버팀목(514)은 피봇(516)에 의해 버팀목(506)에 부착되고 피봇(518)에 의해 웨이퍼 블레이드 조립체(540)에 부착된다. 버팀목(504,508,506,514) 및 피봇(510,512,516,518)의 구조는 웨이퍼 블레이드 조립체(540)와 자석 클램프(524,526) 사이에 "개구리-다리형"의 연결부를 형성한다.
자석 클램프(524,526)가 동일한 각속도와 동일한 방향으로 회전할 때, 로봇(500)은 동일한 속도와 동일한 방향으로 축 A를 중심으로 회전한다. 자석 클램프(524,526)가 동일한 절대 각속도로 반대 방향으로 회전할 때, 조립체(500)의 회전은 없으나, 대신에 웨이퍼 블레이드 조립체(540)의 도 16에 도시되어진 위치로의 선형 방사상 이동이 존재한다.
챔버(106)의 처리 영역(618,620)의 내부 또는 외부로 웨이퍼(502)를 전달하기 위해 각각의 웨이퍼 블레이드(520,522)가 전달 챔버(104)의 측벽(302)내의 각각의 웨이퍼 통로(310)를 통해 연장될 수 있다는 것을 도시하기 위해, 두 개의 웨이퍼(502)가 웨이퍼 블레이드 조립체(540)상에 로딩된 상태를 도시하였다. 자력 결합식 로봇(500)은 두 개의 모터의 상대적인 속도에 대응하는 자석 클램프(524,526)의 상대적인 회전 이동에 의해 제어된다. 모터들이 자석 클램프(524, 526)들을 동일한 속도와 동일한 방향으로 회전시키는 제 1 작동 모드가 제공된다. 이러한 모드는 자석 클램프의 상대적인 이동을 야기하지 않으므로, 로봇은 한 쌍의 처리 영역(618,620)과 웨이퍼를 교환할 수 있는 위치로부터 다른 한 쌍의 처리 영역과 웨이퍼를 교환할 수 있는 위치까지 중심축 A를 중심으로 단순하게 회전될 것이다. 더욱이, 완전히 수축된 로봇이 중심축 A를 중심으로 회전됨에 따라, 웨이퍼의 엣지를 따른 최외측 방사상 지점(548)이 로봇 회전에 필요한 최소의 원형 영역(550)을 형성한다. 또한, 자력 결합식 로봇은 모터들이 자석 클램프(524,526)들을 서로 동일한 속도에서 반대 방향으로 회전시키는 제 2 모드를 제공한다. 상기 제 2 모드는 웨이퍼 블레이드 조립체(540)의 웨이퍼 블레이드(520,522)를 통로(310)를 통해 처리 영역(618,620) 내부로 연장시키는데, 또는, 역으로 블레이드를 회수하는데 사용된다. 또 다른 모터 회전의 조합은 로봇(500)이 축 A를 중심으로 회전될 때 웨이퍼 블레이드 조립체(540)의 연장 또는 수축의 동시 발생을 제공하기 위해 사용될 수 있다.
웨이퍼 블레이드 조립체(540)의 웨이퍼 블레이드(520,522)를 회전축 A로부터 방사상으로 이격된 방향으로 유지하기 위해, 피봇 또는 캠(512, 518) 사이에 연동 기구가 사용되어 각 피봇의 동일 또는 반대 방향의 각회전을 보장한다. 상기 연동 기구는 8-자 패턴과 같이 피봇을 중심으로 당겨진 스트랩 또는 상호맞물린 기어 등을 포함하는 많은 디자인을 가질 수 있다. 하나의 바람직한 연동 기구는 웨이퍼 블레이드 조립체(540)의 피봇(512,518)들 사이에서 결합하고 연장하는 한 쌍의 금속 스트랩(542,544)이다. 스트랩(542,544)은 피봇(512,518) 둘레로 8-자 형상을 형성한다. 그러나, 스트랩(542,544)이 각각 조절가능하고 서로 위아래로 위치되는 것이 바람직하다. 예를 들어, 제 1 스트랩(542)의 제 1 단부는 피봇(512)의 후면 둘레로 통과되어 단단하게 결합되며, 제 2 단부는 피봇(518)의 전방면 둘레로 통과하고 조절가능하게 결합된다. 유사하게, 제 2 스트랩(544)의 제 1 단부는 피봇(518)의 후면 둘레로 통과하고 단단하게 결합되며, 제 2 단부는 피봇(512)의 전방면 둘레로 통과하고 조절가능하게 결합된다. 바람직하게, 피봇(512,518)의 전방면과 스트랩 사이의 조절가능한 커플링은 스트랩상에 정확한 장력을 인가하는 스프링을 포함한다. 장력이 발생하게 되면, 스트랩의 단부는 스크류 또는 기타 패스너에 의해 정위치에 단단하게 고정된다. 도 15 및 도 16에서, 스트랩은 U 자형의 이중 블레이드의 기저부에서 로드(546) 둘레로 통과하는 것으로 도시되어 있다.
도 16은 도 15의 로봇 아암과 블레이드 조립체를 연장된 위치에서 도시하고 있다. 상기 연장은 시계 방향으로의 자석 클램프(526) 및 반시계 방향으로의 자석 클램프(524)의 동일 회전이 동시에 발생되어 이루어진다. 웨이퍼 블레이드 조립체(540)의 각각의 블레이드(520,522)는 통로(310)를 통해 연장하고 (도 19 참조)받침대(628) 상에서 웨이퍼(502)를 센터링시킬 수 있을 정도로 충분히 길다. 웨이퍼(502)가 한 쌍의 리프트 핀 조립체에 의해 블레이드로부터 상승되면, 블레이드는 회수되고 통로(310)는 전술한 바와 같이 슬릿 밸브 및 작동기에 의해 밀폐된다.
도 17은 전달 챔버(104) 바닥(304)의 중심 개구(306)에 장착된 로봇 구동 시스템의 단면도를 도시하고 있다. 자력 결합 조립체는 중심축 A를 중심으로 자력 보유 링(524,526)을 회전하도록 구성되며, 그에 따라 시스템내의 웨이퍼 블레이드 조립체(540)를 선형 또는 회전식으로 작동시키기 위한 구동 기구가 제공된다. 부가적으로, 자력 결합 조립체는 미립자 발생을 최소화하기 위해 전달 챔버(104)내의 접촉 이동 부분을 최소화하면서 자력 보유 링(524,526)의 회전 이동을 제공한다. 이러한 실시예에서, 로봇 부품들은 전달 챔버(104)의 위 또는 아래, 바람직하게는 전달 챔버의 아래에 위치된 하우징내에 제 1 및 제 2 스테퍼 또는 서보 모터를 고정시키고 얇은 벽(560)에 인접하여 또는 그 내부에 위치된 자력 링 조립체에 모터의 출력부를 결합함으로써 제공된다. 상기 얇은 벽(560)은 챔버의 외부 환경으로부터 전달 챔버의 내부를 밀봉하기 위해 밀폐된 연결부에서 전달 챔버(104)의 상부 또는 하부 벽(304)에 연결된다. 자력 보유 링(524,526)은 얇은 벽(560)에 인접하여 둘려싸면서 전달 챔버(104)의 진공측에 위치된다.
제 1 모터 출력부(562)는 제 1 샤프트(572) 및 상호결합된 기어(580)를 구동시켜 제 1 자력 보유 링(524)에 자력으로 결합된 제 1 자력 링 조립체(582)에 회전을 제공한다. 제 2 모터 출력부(564)는 제 2 샤프트(586) 및 상호결합된 기어(590)를 구동시켜 제 2 자력 보유 링(526)에 자력으로 결합된 제 2 자력 링 조립체(592)(상기 조립체(582)에 위치된 동심의 원형 부재)에 회전을 제공한다. 각각의 모터의 회전은 얇은 벽(560)을 통해 회전 출력을 자력 보유 링(524,526)에 자력으로 결합시키는 자석 링 조립체(582,592)를 회전시키는 회전 출력부(562,564)를 제공하여, 각각 버팀목(504,506)을 회전시키고 웨이퍼 블레이드 조립체(540)에 회전 및 병진 운동을 제공한다.
각각의 자력 보유 링에 각각의 자석 링 조립체를 결합하기 위해서는, 각각의 자석 링 조립체(582,592) 및 자석 보유 링(524,526)은 바람직하게 벽(560)을 통해 서로 쌍을 이룬 동일한 다수의 자석들을 포함한다. 자력 결합 효과를 증가시키기 위해서, 자석은 자극 부분이 결합(coupling)된 인접 자석을 향해 연장하면서 자극이 수직방향으로 정렬되게 배치될 수 있다. 결합된 자석들은 자성적으로 교대로 배치되므로, N극 대 S극 결합이 얇은 벽의 양측에 위치된 각각의 자극 쌍에서 발생한다. 자력 결합이 바람직하기는 하지만, 모터를 보유 링에 직접 결합하여서도 사용될 수 있다.
로봇의 최적 경로 궤적
웨이퍼를 전달하는 동안 로봇(500)의 이동은 웨이퍼를 파지하기 위한 이중의 웨이퍼 블레이드(520,522)와 웨이퍼 사이의 마찰 신뢰성에 의해 주로 제한된다. 각각의 웨이퍼 블레이드(520,522)의 선형 및 회전 이동은 웨이퍼의 오정렬을 방지하도록 제어되어야 한다. 바람직하게, 로봇의 이동은 웨이퍼의 오정렬을 방지하면서 생산성을 개선하기 위해 최소의 웨이퍼 전달 시간을 제공하도록 최적화된다.
로봇 이동의 최적화에 대해서는 제트.쉴러(Z. Shiller) 및 에스.두보스키(S.Dubowsky)에 의한 1989년 International Journal of Robotics Rearch의 3 ~ 18 쪽의 "장애물, 작동기, 그리퍼, 및 유효 하중 억제부를 가지는 로봇 조작장치용 시간 최적화 경로 설계(Time Optimal Path Planning for Robot Manipulators with Obstacles, Actuator, Gripper and Payload Constrains)"와 제트.쉴러(Z. Shiller) 및 에이취. 에이취.루(H.H.Lu)에 의한 주어진 로봇 배치를 위한 두 개 이상의 점 사이의 최적 시간 경로를 발견하기 위한 수학적 접근을 제공하는, 1991년 측정 및 제어, 동력 시스템 ASME 간행물의 " 특정화된 경로를 따른 최적 시간 이동의 비교(Comparison of Time-Optimal Motions Alongs Specified Paths)" 등에 기술되어 있다. 이러한 접근은 일반적으로 특정화된 경로의 수학적 접근 단계와 최적의 속도 프로파일의 계산 단계 및 모든 알려진 제한 사항에서 특정화된 경로를 따르기 위해 로봇에 필요한 최소의 시간을 찾기 위한 경로 계수를 변화시킴으로써 최적 경로의 계산 단계를 수반한다.
로봇 이동 최적화의 수학적인 해결책은 다수의 대수식 및 비 선형 등차식 또는 비 선형 행렬 등차식의 해결법을 수반하며, 바람직하게 컴퓨터에 의해 도움을 받는다. 그러나, 최적화 방법에서 숙련된 기술자는 때때로 행렬 또는 상기 식의 해결없이 보다 최적의 경로를 찾아낼 수 있다.
전술한 로봇(500)을 사용한 웨이퍼 이동의 최적화는 본 발명의 처리 시스템의 생산성을 개선시키는 것으로 예상되는 몇 개의 최적 시간 경로를 야기한다. 최적 시간 경로는 도 26 내지 도 29에 도시되어 있다. 도 26은 처리 플랫폼 상에서 180° 떨어져 위치된 챔버 사이에서 웨이퍼를 이동시키기 위한 최적의 경로(1500,1502,1504)를 도시하고 있으며 도 27은 이중의 웨이퍼 블레이드(520,522)상의 웨이퍼가 취하는 경로(1502,1504)들 중간의 경로(1500)에 대한 최적의 속도 프로파일을 도시한다. 도 28은 처리 플랫폼 상에서 90°떨어져 위치된 챔버들 사이에서 웨이퍼를 이동시키기 위한 최적의 경로(1510,1512,1514)를 도시하고 있으며 도 29는 이중의 웨이퍼 블레이드(520,522)상의 웨이퍼가 취하는 경로(1512,1514)들 중간의 경로(1510)에 대한 최적의 속도 프로파일을 도시한다.
도 27 및 도 29는 웨이퍼가 이중의 웨이퍼 블레이드(520,522)상에 위치되지 않을 때 로봇(500)에 의해 경로(1500,1510)를 따라 달성될 수 있는 최대 속도를 또한 도시하고 있다. 로봇(500)은 전달 챔버(104)를 통해 웨이퍼를 이동시킬 때 이중의 웨이퍼 블레이드(520,522)가 도 26 내지 도 29에 도시된 최적의 속도 프로파일을 사용하여 최적의 경로를 따르도록 바람직하게 제어된다.
처리 챔버
도 18은 본 발명의 직렬식 처리 챔버(106)의 실시예를 나타낸 도면이다. 챔버 몸체(602)는 전달 챔버(104)에 장착되거나 연결되며, 각각의 웨이퍼가 동시에 처리되는 두 개의 처리 영역을 포함한다. 챔버 몸체(602)는 상기 몸체에 힌지식으로 부착된 리드(604)를 지지하고 다중의 처리 영역 내부로 반응물 또는 세정 가스를 전달하기 위해 상기 영역을 통해 위치된 하나 이상의 가스 분배 시스템(608)을 포함한다.
도 19는 두 개의 처리 영역(618,620)을 한정하는 챔버(106)의 개략적인 단면도를 도시하고 있다. 챔버 몸체(602)는 두 개의 처리 영역(618,620)을 형성하는 측벽(612), 내부 벽(614) 및 하부 벽(616)을 포함한다. 각 처리 영역(618,620)내의 하부 벽(616)은 적어도 두 개의 통로(622,624)를 형성하고 상기 통로를 통해 받침대 가열기(628)의 스템(626)과 웨이퍼 리프트 핀 조립체의 로드(630)가 각각 위치된다. 받침대 리프트 조립체와 웨이퍼 리프트는 하기에 기술되어질 것이다.
측벽(612) 및 내부 벽(614)은 두 개의 원통형의 환형 처리 영역(618,620)을 형성한다. 주변 펌핑 채널(625)은 처리 영역(618,620)으로부터 가스를 배출하고 각각의 영역(618,620)내의 압력을 조절하기 위해 원형의 처리 영역(618,620)을 한정하는 챔버 벽내에 형성된다. 바람직하게 세라믹 등으로 제조된, 챔버 라이너 또는 삽입부(627)는 각 처리 영역의 측면 경계를 형성하며 부식성의 처리 환경으로부터 챔버벽(612,614)을 보호하도록 하며 전극 사이의 전기 절연식 플라즈마 환경을 유지시키도록 처리 영역(618,620)내에 위치된다. 라이너(627)는 각 처리 영역(618,620)의 벽(612,614)내에 형성된 가로대(ledge)(629)상의 챔버내에 지지된다. 상기 라이너에는 다수의 배기 포트(631) 또는 원주 슬롯이 관통 형성되며, 상기 배기 포트 또는 원주 슬롯은 챔버 벽내에 형성된 펌핑 채널(625)과 연통한다. 바람직하게, 약 15°만큼 떨어져 위치되고 처리 영역(618,620)의 외주부에 위치된 약 24개의 포트(631)가 각각의 라이너(627)를 통해 형성된다. 24개의 포트가 바람직하나, 소정의 펌핑 속도와 균일도를 이루기 위해서 어떠한 개수의 포트도 사용될 수 있다. 포트의 수 이외에도, 가스 분배 시스템의 페이스플레이트에 대한 포트의 높이는 처리시에 웨이퍼상에 최적의 가스 흐름 패턴을 제공하기 위해 제어된다.
도 21은 본 발명의 배기 시스템을 예시하는 챔버의 단면도이다. 각각의 처리 영역(618,620)의 펌핑 채널(625)은 바람직하게는 공용의 배기 채널(619)을 경유하여 공용의 배기 펌프에 연결된다. 배기 채널(619)은 배기 도관(621)에 의해 각각의 영역(618,620)의 펌핑 채널(625)에 연결된다. 배기 채널(619)은 (도시되지 않은)배기 라인을 경유하여 배기 펌프에 연결된다. 바람직하게, 각 영역은 선택된 압력까지 펌프에 의해 감압 펌핑되며, 연결된 배기 시스템에 의해 각 영역내의 압력이 균등하게 된다.
도 19를 다시 언급하면, 각각의 처리 영역(618,620)은 바람직하게 동일한 가스 공급원으로부터 처리 영역(618,620) 내부로 가스를 전달하기 위해 챔버 리드(604)를 통해 위치된 가스 분배 조립체(608)를 또한 포함한다. 각각의 처리 영역의 가스 분배 시스템(608)은 샤워 헤드 조립체(642) 내부로 가스를 전달하는 가스 입구 통로(640)를 포함한다. 샤워 헤드 조립체(642)는 페이스플레이트(646)와의 중간에 위치된 차단판(644)을 갖는 환형의 기저판(648)으로 이루어진다. RF 급송 장치는 샤워헤드 조립체의 페이스플레이트(646)와 가열기 받침대(628) 사이에서의 플라즈마의 발생을 용이하게 하기 위해 샤워헤드 조립체에 바이어스 전위를 제공한다. 냉각 채널(652)은 작동중에 플레이트를 냉각시키기 위해 각각의 가스 분배 시스템(608)의 기저판(648)내에 형성된다. 입구(655)는 냉각제 라인(657)에 의해 서로 연결된 채널(652) 내부로 물과 같은 냉각 유체를 전달한다. 냉각 유체는 냉각제 출구(659)를 통해 채널을 빠져나간다. 그 대신에, 냉각 유체가 분기관을 통해 순환될 수도 있다.
챔버 몸체(602)는 가스 분배 시스템을 통해 챔버 내로 전달되어지는 선택 공정에 적합한 각각의 반응 가스 및 세정 가스의 다수의 수직 가스 통로를 형성한다. 가스 입구 연결부(641)는 챔버 벽내에 형성된 가스 통로를 가스 입구 라인(639)에 연결시키기 위해 챔버(106)의 바닥에 위치되어 있다. 도 21에 도시된 바와 같이, 리드와 연결되는 밀봉부를 제공하기 위해 챔버 벽의 상부 표면위에서 챔버 벽을 통해 형성된 각각의 가스 통로 둘레에 O-링이 제공된다. 도 20에 도시되어진 것처럼, 챔버 리드의 상층에 위치된 가스 입구 분기관(670) 내부로 챔버 벽의 하부로부터 가스를 전달하기 위한 정합 통로를 상기 리드가 포함한다. 반응 가스는 가스 분배 조립체에 연결된 가스 출구 분기관(674) 내부와 전압 기울기 급송 장치(672)를 통해 전달된다.
가스 입구 분기관(670)은 챔버 가스 급송 장치로 부터 접지되어진 일정 전압 기울기 급송 장치 내부로 처리 가스를 보낸다. (도시되지 않은)가스 공급관은 전압 기울기 가스 급송 장치(672)를 통해 외부 분기관(674) 내로 처리 가스를 전달하거나 공급 한다. 저항성 슬리브는 챔버내의 플라즈마가 가스 공급관을 따라 이동하는 것을 방지하면서 급송 장치에 걸쳐 선형의 전압 강하를 야기하도록 가스 공급관을 둘러싼다. 가스 공급관은 바람직하게 석영으로 제조되고 슬리브는 바람직하게 합성 세라믹으로 제조된다. 상기 가스 공급관은 온도를 제어하고 열 복사를 방지하며 처리 가스의 액화를 또한 방지하도록 냉각제 채널을 포함하는 절연 블록내에 위치된다. 바람직하게, 절연 블록은 델린(Delrin)으로 제조된다. 석영 공급관은 처리 가스를 차단판(644)에 전달하는 채널인 가스 출력 분기관(674) 내로 가스를 전달하며 가스 분배판(646) 내로 가스를 전달한다.
가스 입구 분기관(670)(도 20 참조)은 챔버 가스 급송 장치로부터 원격 플라즈마 공급원 내부로 세정 가스를 전달하는 통로를 형성한다. 상기 가스는 전압 기울기 급송장치를 우회하고 가스가 다양한 여기 종(excited species)으로 활성화되는 원격 플라즈마 공급원 내부로 공급된다. 상기 여기된 종은 가스 입구 통로(640)에 위치된 도관을 통해 차단판 바로 아래의 위치에서 가스 분배판으로 전달된다. 원격 플라즈마 공급원과 반응성 세정 가스의 전달은 이후 기술되어질 것이다.
각각의 처리 영역의 가스 분배 시스템 내부로 가스를 공급하는 가스 라인(639)은 바람직하게 단일의 가스 공급 라인에 연결되고 공유되며 공통으로 제어되어 각각의 처리 영역(618,620)에 가스를 전달한다. 다중 영역 챔버에 처리 가스를 공급하는 가스 라인은 T형 결합에 의해 다중의 처리 영역으로 분할 공급한다. 각각의 처리 영역에 공급하는 각각의 라인 내부로의 흐름을 용이하게 하기 위해, 폴(PALL) 또는 밀리포어(Millipore)사로부터 이용가능한 소결된 니켈 필터와 같은 필터가 스플리터 상류에서 가스 라인에 위치된다. 필터는 각각의 가스 공급 라인 내부로 가스의 균일한 흐름 및 분배를 향상시킨다.
가스 분배 시스템은 그 하부 표면에 인접하여 위치된 차단판을 갖는 기저판을 포함한다. 페이스플레이트는 처리 영역 내부로 가스를 전달하기 위해 차단판 아래에 위치된다. 하나의 실시예에서, 기저판은 차단판 바로 위의 영역에 처리 가스를 전달하기 위해 관통 가스 통로를 포함한다. 차단판은 그 상부면 위로 처리 가스를 분산시키고 페이스플레이트 위로 가스를 전달한다. 차단판내의 구멍은 처리 가스의 혼합과 페이스플레이트 위로의 분배를 증대시키기 위하여 크기 및 위치가 결정된다. 페이스플레이트에 전달된 가스는 처리를 위해 처리 영역 내부에 위치된 웨이퍼 위로 균일한 방식으로 전달된다.
가스 공급관은 가스 통로 내에 위치되며 원격 플라즈마 공급원으로부터의 출력 라인에 일 단부가 연결된다. 가스 공급관의 일 단부는 원격 플라즈마 공급원으로부터 가스를 전달하기 위해 가스 출구 분기관을 통해 연장한다. 가스 공급관의 다른 단부는 차단판을 지나 페이스플레이트 위의 영역에 가스를 전달시키기 위해 차단판을 통해 위치된다. 페이스플레이트는 가스 공급관을 통해 전달된 가스를 분산시키고 처리 영역 내부로 가스를 전달한다.
이러한 가스 분배 시스템이 바람직하지만, 원격 플라즈마 공급원으로부터의 가스는 챔버 벽을 통해 제공되어진 포트를 통해 처리 영역 내부로 도입될 수 있다. 또한, 처리 가스는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드로부터 이용가능한 가스 분배 시스템과 같은 현재 이용가능한 임의의 가스 분배 시스템을 통해 전달될 수 있다.
가열기 받침대
도 19는 지지판의 하면에 연결되고 구동 시스템(603)에 연결된 챔버 몸체(602)의 바닥을 통해 연장하는 스템(626)에 의해 각각의 처리 영역(618,620)내에서 이동가능하게 위치된 가열기 받침대(628)를 도시하고 있다. 상기 스템(626)은 바람직하게 상기 가열기 받침대(628)의 하면과 지지 접촉하여 위치된 상단부, 및 덮개 판으로 폐쇄된 하단부를 갖는 원통형의 알루미늄 부재이다. 상기 스템의 하단부는 컵 형상의 슬리브내에 수용되며, 구동 시스템에 대한 스템의 연결부를 형성한다. 스템(626)은 상기 처리 영역내에 가열기 받침대(628)를 기계적으로 위치시키고 주위 통로를 또한 형성하며 상기 통로를 통해 다수의 가열판 연결부가 연장될 수 있다. 각각의 가열기 받침대(628)는 상기 받침대 위에 위치된 웨이퍼를 소정의 처리 온도로 가열하기 위한 가열 부재를 포함한다. 상기 가열 부재는 저항성 가열 부재를 포함한다. 이와는 달리, 가열기 받침대는 램프와 같은 외부의 가열 부재에 의해 가열될 수도 있다. 본 발명에서 사용되는 받침대는 캘리포니아, 산타 클라라 소재의 어플라이드 머티어리얼스 인코포레이티드사로부터 이용가능하다. 상기 받침대는 처리중에 상기 받침대 상에 웨이퍼를 고정하기 위해 정전기 척(chuck), 진공 척, 또는 다른 척 장치를 또한 지지한다.
상기 구동 시스템은 캘리포니아, 노바보에 위치한 인더스트리얼 디바이스 코포레이션에 의해 제조된 선형의 전기 작동기를 포함한다. 상기 가열기 조립체는 전달 하우징을 처리(processing) 위치, 세정 위치, 리프트 위치 및 방출 위치로 상하 이동시킴으로써 상승 및 하강된다. 전달 하우징은 일측이 작동기에 연결되며 타측이 운반 플레이트를 통해 선형의 슬라이드에 연결된다. 작동기와 운반대 사이의 연결부는 오정렬을 고려하여 가요성의 조인트(볼 및 소켓)로 제조된다. 선형의 슬라이드와 운반 플레이트는 회전 및 굽힘을 방지하기 위해 서로에 대항하도록 바이어스(편향)된다. 벨로우즈는 가열기의 스템을 둘러싸며 일 단부에서 챔버 바닥으로 연결되며 타단부에서 전달 하우징으로 연결된다. 슬리브내의 스템의 하단부의 외부 표면을 밀봉하기 위해 스템 내의 홈 내에 밀봉 링이 제공된다. 페이스플레이트에 대한 가열기의 높이 조절은 3개의 나사의 사용으로 이루어진다.
그 대신에, 구동 시스템(603)은 챔버(106) 아래에 매달려 있고 정합 커플링 및 리드 나사 조립체로의 구동 벨트에 연결된 모터 및 감소 연동기 조립체를 포함한다. 전달 하우징은 리드 스크류 조립체 상에 수용되며, 이는 상하로 안내되며 선형의 슬라이드에 의해 회전되지 않고 유지된다. 가열기 리프트 기구는 구동 칼라에 의해 챔버에 대해 유지된다. 가열기 조립체는 스테퍼 모터에 의해 구동되는 리드 스크류에 의해 상하로 이동된다. 스테퍼 모터는 모터 브래킷에 의해 가열기 리프트 조립체에 장착된다. 스테퍼 모터는 벨로우즈내의 리드 스크류를 구동시킨다. 벨로우즈는 리드 스크류를 회전시켜 가열기 조립체를 처리 위치 및 해제 위치로 상승 또는 하강시키도록 한다. 밀봉 링은 슬리브 내의 스템의 하단부 외부 표면을 밀봉하기 위해 스템내의 홈에 제공된다.
웨이퍼 위치선정 조립체
스템(626)은 웨이퍼의 처리를 위해 가열기 받침대(628)상으로 웨이퍼를 위치시키거나 회수하도록 챔버내에서 상하로 이동된다. 웨이퍼 위치선정 조립체는 가열기 받침대(628)에 대해 수직으로 이동하고 받침대를 통해 수직으로 위치된 보어(653)내에 수용된 다수의 지지핀(651)을 포함한다. 각각의 핀(651)은 하부 구형 부분(661)에서 종결된 원통형의 샤프트(659)와 샤프트의 외부 연장부로서 형성된 상부 절두 원추형 헤드(663)를 포함한다. 가열기 받침대(628)내의 보어(653)는 보어 내부에 원추형 헤드(663)를 수용하도록 크기가 정해진 상부의 위쪽을 넓힌 부분을 포함하며, 핀(651)이 가열기 받침대(628) 내부로 완전하게 수용될 때, 상기 헤드는 가열기 받침대의 표면위로 연장하지 않는다.
리프트 핀(651)은 받침대가 처리 영역 내부에서 이동함에 따라, 부분적으로 가열기 받침대(628)와 함께, 또는 부분적으로 가열기 받침대와는 독립되어 이동한다. 리프트 핀은 받침대(628)위로 연장하여 로봇 블레이드가 처리 영역으로부터 웨이퍼를 이동시키도록 할 수 있으나, 처리를 위해 웨이퍼를 받침대의 상부면 상에 위치시키기 위해 받침대 내부로 들어갈 수 있어야 한다. 핀(651)을 이동시키기 위해, 웨이퍼 위치선정 조립체는 리프트 핀(651)의 하부 구형 부분(661)과 결합하는 환형의 핀 지지물(655)과, 상기 처리 영역내에 가열기 받침대(628)의 위치에 따라 리프트 핀(651)과 선택적으로 결합하도록 핀 지지물(655)을 위치시키는 구동 부재를 포함한다. 바람직하게 세라믹으로 제조된 핀 지지물(655)은 지지 핀의 하부 구형 부분과 선택적으로 결합하기 위해 가열기 받침대(628) 아래의 스템(626) 주변으로 연장한다.
구동 조립체는 샤프트(630)를 상승 또는 하강시키고 각각의 처리 영역(618,620)내에서 핀(651)을 상하로 이동시키기 위해 핀 지지물(655)에 연결된다. 핀 구동 부재는 받침대 가열기(628)에 대한 핀 지지물 플랫폼(655)의 상대적인 이동을 제어하기 위해 챔버(106)의 바닥위에 바람직하게 위치된다.
진공 시스템 및 챔버 펌프
본 발명의 처리 시스템(100)용 진공 제어 시스템은 다양한 시스템의 영역과 연통하는 다수의 진공 펌프를 포함하며, 각각의 영역은 그 자체의 설정 압력을 갖는다. 그러나, 하나의 챔버 또는 영역으로부터 다른 챔버 또는 영역으로의 웨이퍼의 전달은 슬릿 밸브의 개방을 필요로하며, 이는 서로 연통하는 영역들의 환경이 어느 정도 혼합되게 하고 압력이 균등해지게 한다.
도 22a는 본 발명의 진공 시스템(700)의 개략도를 도시하고 있다. 로드록 챔버(112) 및 전달 챔버(104)는 로드록 챔버와 전달 챔버에 인접한 시스템의 메인 프레임(101)상에 장착된 진공 펌프(121)를 공유한다. 로드록 챔버(112)는 로드록 챔버의 몸체를 통해 위치된 배기 포트(280)를 통해 펌프(121)에 의해 대기압 이하로 펌핑된다. 압력 게이지(705)에 의해 나타난 것처럼, 전달 챔버(104) 내의 진공 압력은 로드록 챔버(112)와 연통함으로서 제공되어, 전달 챔버 내의 압력이 로드록 챔버 내의 압력보다 더 크거나 동일하며, 로드록 챔버 내에 존재하는 임의의 입자는 전달 챔버(104)로 끌려 들어가지 않는다. 로드록 챔버(112) 내의 배기 포트(280)는 배기 라인(704)을 통해 펌프(121)에 연결된다. 압력 게이지(706)는 주어진 시간에서 로드록 챔버내의 압력을 측정하기 위해 배기 라인(704)을 따라 절연 밸브(708)의 상류에 위치된다. 절연 밸브(708)는 로드록 챔버내의 압력을 조절하기 위해 압력 게이지(706)와 펌프(121) 사이의 배기 라인(704)내에 위치된다. 진공 스위치(710)는 또한 절연 밸브(708)와 펌프((121)사이의 배기 라인과 연통하도록 제공된다. 상기 펌프(121)는 바람직하게 러핑 펌프(roughing pump)이지만, 적용 형태에 따라 터보분자 펌프, 저온 펌프 등과 같은 임의의 펌프 형태일 수 있다. 가스 배기(vent) 라인(712,714)은 로드록 챔버 및 전달 챔버 내부로 질소와 같은 배기 가스를 각각 제공하기 위해 로드록 챔버(112)와 전달 챔버(104)에 연결된다.
처리 챔버(106)는 배기 포트(619)와 배기 라인(722)을 경유하여 러핑 펌프, 저온 펌프, 또는 터보 분자 펌프와 같은 펌프(720)에 연결된다. 쓰로틀 밸브(724)등은 작업시에 챔버(106)의 처리 영역(618,620)내의 압력을 조절하기 위해 배기 라인내에 위치된다. 바람직하게 시스템 제어기의 일부인 밸브 제어기(726)는 진공 게이지(728)에 의해 지시된 압력에 따라 쓰로틀 밸브(724)에 제어 신호를 제공한다. 바람직하게, 배기 포트(619)는 (도 21에 도시된)각각의 처리 영역과 연통하고, 각각의 처리 영역으로부터의 배기 라인은 펌프(720)에 연결된 단일의 배기 라인(722) 내부로 연결된다.
본 발명의 하나의 실시양태에 따르면, 전달 챔버(104)와 연통하는 슬릿 밸브 그리고, 각 챔버(106) 및 로드록 챔버(112)의 진공 제어기는 로드록 챔버 또는 임의의 챔버(106)로부터 전달 챔버로 유입되는 오염 입자의 양을 감소시키는 방식으로 작동된다. 본 발명은 챔버 사이의 연통을 제공하는 슬릿 밸브를 개방하기 이전에 인접한 챔버 또는 영역내의 압력과 동일하거나 그보다 더 큰, 바람직하게는 더 큰 로드록 챔버 내의 압력을 요한다. 로드록 압력은 전단부가 개방될 때 대기압보다 더 커야 한다. 상기 압력은 진공 상태에서 전달하기 위해 개방될 때 전달 챔버 압력보다 더 낮아야 한다. 오염 수치가 특히 중요하기 때문에, 처리 챔버와 연통하여 위치될 때 전달 챔버(104)는 비교적 높은 압력에 있는 것이 특히 바람직하다. 예를 들어, 처리 영역(106) 내의 설정 압력이 대략 10-3 torr 정도이면, 챔버(106)의 내부 또는 외부로 웨이퍼를 전달하기 위해 슬릿 밸브를 개방하기 전에, 전달 챔버 내의 압력은 10-3 torr와 같거나 더 커야 하며, 가장 바람직하게는 10-2 torr 보다 커야 한다.
전달 챔버 내의 압력은 두가지 방식으로 제어된다. 먼저, 로드록 챔버(112)와 전달 챔버(104) 사이의 슬릿 밸브를 개방하고 로드록 챔버(112)내의 진공 상태를 전달 챔버내로 도입함으로써 전달 챔버의 내부는 진공 상태가 된다. 상기 방식에서, 전달 챔버 내의 압력은 로드록 챔버내의 압력보다 결코 낮아서는 안되며, 상기 챔버 사이의 가스는 전달 챔버로부터 로드록 챔버(112)로 흘러야만 한다. 전달 챔버가 임의의 처리 챔버와 연통되지 않는 경우에, 전달 챔버와 로드록 챔버 사이의 슬릿 밸브는 개방되어 있는 것으로 예상된다. 둘째, 전달 챔버는 아르곤 또는 질소 공급원 등으로부터 퍼지 가스 유입구를 구비한다. 퍼지 가스는 필요에 따라서 또는 연속적으로 전달 챔버로 공급되어 가스가 전달 챔버의 외부로 확실히 유동할 수 있게 하는 충분한 고압을 제공한다.
특히 바람직한 실시 양태에서, 슬릿 밸브는 처리 챔버 내의 압력 이하로 전달 챔버의 압력이 낮아질 가능성을 제거하기 위해서, 전달 챔버(104)와 처리 챔버(106) 사이에서 웨이퍼가 전달되는 동안 로드록 챔버(112)에 대해 항상 밀폐되어야 한다. 상기와 같이 전달 챔버내의 압력이 로드록 챔버내의 압력 보다 낮은 상태에서는, 많은 오염 입자가 처리 챔버로부터 전달 챔버로 유입되거나 심지어는 로드록 챔버로까지 유입되어 결국 전체 웨이퍼 카세트로 유입될 수 있을 것이다.
도 22b는 전술한 이중 챔버 로드록 장치와 잇점을 갖도록 사용되는 두 개의 펌프 시스템의 개략도를 도시하고 있다. 도면으로부터 도시되어진 것처럼, 두 개의 격실은 소정의 진공 상태로 함께 펌프로 배기되거나 선택적으로 펌프로 배기된다.
가스 박스 및 공급원
시스템의 후단부상의 챔버의 외부에는 증착 및 세정시에 사용되는 가스를 함유하는 가스 공급 패널을 갖는다. 사용되는 특정 가스는 웨이퍼 상으로 증착되거나 챔버 내부로부터 제거되는 재료에 따라 달라진다. 처리 가스는 입구 포트를 통해 가스 분기관 내부로 흐르며 샤워 헤드형 가스 분배 조립체를 통해 챔버 내부로 흐른다. 전자 작동식의 밸브 및 흐름 제어 기구는 가스 공급원으로부터 챔버 내부로의 가스 유동을 제어한다.
본 발명의 일실시예에서, 전구체 가스(precursor gas)는 가스 박스로부터 챔버로 공급되며, 상기 챔버에서는 전술한 바와 같이 챔버 몸체를 통해 가스를 공급하는 두 개의 개별 가스 라인 내부로 가스 라인이 연결된다. 상기 공정에 따라, 다수의 가스가 상기 방식으로 전달될 수 있으며, 챔버의 바닥에 전달되기 이전이나 가스 분배 플레이트로 유입된 후 혼합될 수 있다.
전력 공급원
진보된 소형(compact) RF ("CRF") 전력 전달 시스템은 각각의 가스 분배 시스템에 각각 연결되어 각각의 처리 영역(618,620)에 사용된다. ENI에 의해 제조된, 제니시스 시리즈(Genesis Series), 13.56 MHz RF 발생기는 각 챔버에 대해 시스템의 후단부상에 장착된다. 고주파 발생기는 일정 매치(match)로 사용되도록 설계되며 로드(load)에 전달된 전력을 조절하여, 전진 및 반사된 전력과 관련된 문제를 제거한다. 1.5와 동일하거나 더 적은 VSWR을 갖는 로드 임피던스 내로 1250 와트 이하가 공급된다. 고주파 RF 발생기 및 저주파 RF 발생기를 처리 챔버에 연결하기 위해, 저주파 통과 필터가 일정 매치 엔클로저내로 통합된다.
ENI에 의해 제조된 50 KHz RF 발생기는 시스템의 후단부상에 RF 발생기 랙 내에 위치되며 동축 케이블에 의해 고정된 RF 매치에 연결된다. 저주파 RF 발생기는 저주파 발생 및 소형의 엔클로저내에 고정된 매치 요소를 발생시킨다. 저주파 RF 발생기는 로드에 전달되는 전력을 조절하여 전진 및 반사 전력에 대한 문제를 감소시킨다.
원격 세정 모듈
도 23 및 도 24는 본 발명의 원격 세정 모듈(800)의 사시도 및 단면도를 도시하고 있다. 본 발명에 따라, 원격 세정 모듈(800)은 입구 포트(820)를 통해 챔버(106)의 처리 영역(618,620)에 연결된다. 원격 세정 모듈(800)은 연속적인 처리 진행후 챔버의 내부 표면으로부터 증착된 재료를 제거하기 위해 사용되는 가스를 공급한다.
원격 세정 모듈(800)은 전구체 가스(804)의 공급원, 처리 챔버(106)의 외부에 위치된 원격 활성화 챔버(806), 원격 활성화 챔버내부에서 전구체 가스를 활성화 시키기 위한 전력 공급원(808), 전자 작동식의 밸브 및 흐름 제어 기구(810), 그리고 도관(811)을 경유하여 처리 챔버에 원격 챔버를 연결하는 도관 및 파이프(812)를 포함한다. 밸브 및 흐름 제어 기구(810)는 전구체 가스(804)의 공급원으로부터 원격 활성화 챔버(806) 내부로 사용자가 선택한 유동율로서 가스를 전달한다. 활성화 챔버(806)는 상기 챔버를 통해 위치된 가스 공급관(813)을 갖는 알루미늄 엔클로저(803)를 포함한다. 전력원(808)은 엔클로저(803) 내부로 도파관(805)에 의해 안내된 마이크로파를 발생시킨다. 상기 관(813)은 마이크로파에 투과성이며, 마이크로파는 관을 통과하며 가스 분배 조립체 내부와 처리 챔버 내부로 도관(812)을 통해 흐르는 반응물을 형성하기 위해 전구체 가스를 활성화시킨다. 즉, 상부 전극 및 샤워 헤드(608)는 챔버의 처리 영역 내부로 반응 가스를 전달하는데 사용된다. 바람직한 실시예에서, 원격 챔버는 세라믹 관이며 전력원은 세라믹 관에 조준된 출력을 갖는 2.54 GHz 마이크로파 발생기이다.
선택적으로, 또 다른 밸브 및 흐름 제어 기구(816)를 통해 원격 활성 챔버에 연결된 소량(minor) 캐리어 가스 공급원(814)이 있을 수 있다. 소량 캐리어 가스는 증착 챔버에 활성화물을 전달하는 것을 도와준다. 상기 가스는 사용되어지는 특정 세정 처리와 양립할 수 있는 적절한 비반응성 가스일 수 있다. 예를 들어, 소량의 캐리어 가스는 아르곤, 질소, 헬륨, 수소, 및 산소 등일 수 있다. 증착 챔버에 활성화물을 전달하는 것 이외에도, 캐리어 가스는 세정 공정을 도와주거나 증착 챔버내에서 플라즈마를 발생시키거나 안정화시키는 것을 도와준다.
기술된 실시예에서, 도관 또는 파이프내에 필터(818)가 있으며 상기 도관 또는 파이프를 통해 활성화물이 증착 챔버로 도입되기 이전에 상기 필터를 통과한다. 필터는 반응물이 활성화될 때 형성될 수 있는 특정 재료를 제거한다. 기술된 실시예에서, 필터는 0.01 내지 0.03 미크론의 구경 크기를 갖는 세라믹 재료로 제조된다. 물론, 다른 재료로는 예를 들어 테플론이 사용될 수 있다.
필터는 원격 챔버내의 반응의 부산물로서 발생되는 원치 않는 재료를 제거시키기 위해 또한 사용될 수 있다는 것을 주목하여야 한다. 예를 들어, 반응성 가스가 CF4, SF6, 또는 탄소나 황을 포함하는 일부 다른 할로겐 화합물이라면, 활성화된 탄소 또는 황이 활성화 공정의 부산물로 존재한다. 그러나, 탄소 또는 황은 증착 챔버내에 존재하지 않는 것이 바람직하다. 이는 활성화가 전체적으로 증착 챔버내에서 발생하는 종래의 건식 세정 공정에서는 이러한 화합물이 사용되지 않기 때문이다. 그러나, 본문에 기술되어진 것처럼 활성화가 원격 위치에서 시행될 때, 상기 재료는 적절한 필터 재료를 사용함으로서 쉽게 제거된다. 상기 필터 재료는 상업상 쉽게 이용가능하며 당업자들에게 공지되어 있다.
기술된 실시예에서, 전구체 가스는 NF3이다. 활성화물(activated species)의 유량은 분당 약 0.5 리터 및 약 2리터이며, 챔버 압력은 약 0.5 내지 약 2.5 Torr이다. 전구체 가스를 활성화하기 위해, 마이크로파 공급원은 활성화 챔버에 약 500 내지 약 1500 와트의 전원을 공급한다. 증착 챔버 내에서, RF 공급원은 플라즈마에 약 100 내지 약 200 와트를 공급한다. 현재 시스템용의 경우에, 이는 약 15 내지 약 20 볼트의 상부 및 하부 전극들 사이의 전압을 의미한다. 정확한 전압 및 전류는 압력에 의존하며, 전류는 일정 전압에서 주어진 압력에 비례한다. 어떠한 경우든, 챔버내에 플라즈마를 유도하는 것만이 필요하며, 이는 원격 공급원으로부터 챔버 내부로 흐르는 활성화 종을 유지할 수 있을 정도로 강하기만 하면 충분하다.
공급 가스로서 NF3을 사용함으로써, 실리콘(Si), 도핑된 실리콘, 실리콘 질화물(SI3N+4) 및 실리콘 산화물(SiO2)이 증착된 챔버를 세정할 수 있다. 증착된 필름의 세정 속도는 실리콘 질화물의 경우에 2 마이크론/분이며, 실리콘, 도핑처리된 실리콘 및 실리콘 산화물의 경우에 1 마이크론/분이다. 상기 세정 속도는 13.56 MHz RF에서 약 1 내지 2 킬로와트의 전력 크기를 이용한 국부적인 플라즈마만을 사용하는 종래의 세정 공정에서 보다 2 배 내지 4배 빠르다.
전술한 실시예에서 전구체 가스를 활성화시키기 위해 마이크로파 발생기 사용하였지만, 전구체 가스를 활성화시킬 수 있는 어떠한 전력원도 사용될 수 있다. 예를 들어, 원격 및 국부적인 플라즈마는 DC, RF, 및 방전 기술에 근거한 마이크로파(MW)를 사용할 수 있다. 또한, RF 전력원이 사용된다면, 챔버 내부에서 용량성 또는 유도성 결합이 가능하다. 활성화는 예를 들어 열에 기초한 가스 붕괴 기술, 고 강도의 광원, 또는 X-레이 공급원에 의해 시행될 수 있다.
일반적으로, 반응성 가스는 일반적으로 사용되는 할로겐 및 할로겐 화합물을 포함하여 넓은 범위의 선택 사항으로부터 선택될 수 있다. 예를 들어, 반응성 가스는 염소, 불소 및 그 화합물, 예를 들어 NF3, CF4, SF6, C2F6, CCl4, C2Cl6 일 수 있다. 물론, 사용되는 특정 가스는 제거될 증착 재료에 따라 달라진다. 예를 들어, 텅스텐 증착 시스템에서, 불소 화합물은 증착된 텅스텐을 에칭하거나 제거하는데 사용된다.
원격 플라즈마와 관련하여 국부적인 플라즈마의 사용으로 인해, 원격 활성화 챔버는 챔버로부터 떨어져 위치될 수 있다. 따라서, 국부 공급원에 두 개의 원격 공급원을 연결하는데 배관이 필요하다. 일부 활성화물의 냉각(활성화물의 비활성화)이 전달시에 발생할 수 있다. 그러나, 국부적인 공급원은 발생할 수 있는 냉각을 보상한다. 사실상, 일부 장기간 생존한 활성화물(예를 들어 F*)은 냉각되었을 때 최초 상태로 되돌아가지 않고, 중간 상태로 변환된다. 냉각된 활성화물을 재활성시키기 위해 요구되는 에너지의 양은 원격 활성화 챔버내에서 가스를 활성화시키기 위해 필요한 것보다 훨씬 적다. 결과적으로, 국부적인 활성화 공급원(플라즈마)은 높은 에너지 공급원이 필요하지 않다.
증착 챔버로부터 일정 거리 떨어져 원격 챔버를 위치시킴으로써, 짧은 수명 및 긴 수명의 라디컬이 증착 챔버로 전달될 때, 활성화 처리중 발생되어진 짧은 수명의 라디컬은 긴 수명의 라디컬보다 보다 더 완전하게 냉각될 것임을 주목하여야 한다. 따라서, 증착 챔버 내부로 흐르는 반응 가스는 전달중에 잔존한 긴 수명의 라디컬을 주로 포함한다. 예를 들어, NF3가 반응 가스라면, 두 개의 라디컬 즉, N* 및 F* 가 원격 활성 챔버내에서 발생된다. 질소 라디컬은 짧은 수명이며 불소 라디컬은 긴 수명이다. 질소 라디컬은 원격 챔버로부터 증착 챔버까지 장기간 전달시 잔존하지 못하는 반면, 다량의 불소 라디컬이 잔존한다. 이는 매우 바람직한 시스템 내에서 발생하는 자연 여과의 형태이다. 예를 들어, 질소 라디컬인 경우, NXHYFZ 화합물을 형성하여 펌핑을 방해할 수 있기 때문에 존재하지 않는 것이 바람직하다. 그러나, 종래의 세정 기술에서와 같이 증착 챔버 내에서 활성화가 일어나는 경우에는, 발생된 질소 라디컬을 제거하는 것이 어렵다.
건식 세정 공정에 있어서, 챔버 압력은 작업에 영향을 미치지 않고 광범위한 수치 내의 어디에든지 있도록 선택될 수 있다. 바람직한 압력 범위는 0.1 내지 2 Torr이나, 그 범위외의 압력이 사용될 수도 있다. 또한, 기술된 실시예에서 선택되어진 주파수는 단지 예를 들어 기술한 것이고, 본 발명에서 사용된 주파수는 기술되어진 실시예에서 사용된 주파수로 제한되지 않는다. 예를 들어, RF 전력원에 대해, 광범위한 주파수(400 KHZ 내지 13.56 MHZ)중의 임의의 주파수가 플라즈마와 본 발명에 사용되는 주파수를 발생시키는데 사용될 수 있다. 그러나 일반적으로, 선택되어진 전력 수치, 유량, 및 압력은 시스템 특성에 따라 다르고 공정이 시행되는 특정 시스템에 최적화될 필요가 있다. 특정 시스템에서 최적의 성능을 이루기 위한 처리 조건에서 적절한 조절은 당업자들의 능력하에서 이루어진다.
프로그램 작성
상기 시스템 제어기는 컴퓨터의 하드 디스크 드라이브 상에 저장된 컴퓨터 프로그램의 제어하에서 작동한다. 컴퓨터 프로그램은 처리 시퀀싱 및 타이밍, 가스의 혼합, 챔버 압력, RF 전력 수치, 서셉터 위치선정, 슬릿 밸브 개폐, 웨이퍼 가열 및 특정 처리의 다른 변수를 규정한다. 사용자와 시스템 제어기 사이의 인터페이스는 도 8에 도시되어진 CRT 모니터와 라이트펜을 통해 행한다. 두 개의 모니터가 사용되는 바람직한 실시예에서, 하나의 모니터는 작업자를 위해 청정실 벽에, 다른 하나의 모니터는 서비스 기술자를 위해 벽 뒷편에 설치된다. 두 개의 모니터는 동시에 동일한 정보를 나타내지만, 하나의 라이트펜만이 사용 가능하다. 상기 라이트펜은 펜의 말단부에서 광 센서로 CRT 디스플레이에 의해 방출된 광을 탐지한다. 특정 스크린 또는 작동을 선택하기 위해서는, 작동자는 디스플레이 스크린의 지정 영역을 접촉하여 펜 위의 버턴을 누른다. 상기 디스플레이 스크린은 형상을 밝게 하거나 색을 변화하고, 새로운 메뉴 또는 스크린을 표시함으로써 라이트펜과 접촉된 영역 사이의 전달을 확인한다.
다양한 공정은 예를 들어, 시스템 제어기 상에서 작동하는 컴퓨터 프로그램 제품을 사용하여 시행되어진다. 컴퓨터 프로그램 코드는 예를 들어 68000 어셈블리어, C, C++, 또는 파스칼과 같은 종래의 판독가능한 컴퓨터 언어에 의해 쓰여질 수 있다. 적당한 프로그램 코드는 종래의 문서 편집기를 사용하여 단일 파일, 또는 복수 파일 내부로 유입되거나 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용 매체내에서 저장되거나 구체화된다. 유입된 코드 편집이 고수준의 언어로 되어 있다면, 상기 코드는 편집되어, 최종적인 컴파일러 코드는 미리 편집된 라이브러리 루틴의 대상 코드와 연결된다. 연결되어진 편집 코드를 실행하기 위해서는, 상기 시스템 사용자는 대상 코드를 불러내어, 컴퓨터 시스템이 메모리에서 코드를 로딩시키면서 CPU가 판독되며 프로그램내에 동일화된 작업을 수행하기 위한 코드를 수행한다.
도 25는 컴퓨터 프로그램(1410)의 바람직한 계층 제어 구조를 도시한 블록 선도이다. 사용자는 라이트펜 인터페이스의 사용에 의해 CRT 모니터 상에 전시된 메뉴 또는 스크린에 응답하여 처리 선택기 서브루틴(1420) 내부로 처리 설정수 및 처리 챔버수를 입력한다. 처리 세트는 특정 처리를 수행하기 위해 필요한 내정된 처리 변수 세트를 제공하며, 내정된 세트 수에 의해 동일화된다. 처리 선택기 서브루틴(1420)은 (i) 소정의 처리 챔버 및, (ii) 소정의 처리를 시행하기 위해 처리 챔버를 작동하기 위해 필요한 소정의 처리 변수 세트를 식별한다. 처리 변수는 특정 공정을 수행하기 위하여, 예를 들어 처리 가스 성분 및 유량, 온도, 압력과 같은 처리 조건과, RF 바이어스 전력 수치 및 자기장 전력 수치, 냉각 가스 압력, 그리고 챔버 벽 온도와 같은 플라즈마 조건과 관련되며 레시피(recipe) 형식으로 사용자에게 제공된다. 레시피로 특정화된 변수는 종래 방식으로 입력될 수도 있으나, 가장 바람직하게는 라이트펜/CRT 모니터 인터페이스를 사용함으로써 입력된다.
상기 공정을 측정하기 위한 다양한 기구 및 장치에 의해 제공된 전자 신호는 시스템 제어기의 아나로그 입력 및 디지털 입력 기판을 통해 컴퓨터에 제공된다. 폴링(polling)과 같은 종래의 처리 챔버 모니터링 방법이 사용될 수 있다. 더욱이, 다양한 처리 제어기 또는 장치를 작동하기 위한 전자 신호가 시스템 제어기의 아날로그 출력 및 디지털 출력 기판을 통해 출력된다. 상기 측정 및 제어 장치의 양, 형태 및 설치는 상기 시스템의 특정 사용 목적과 소정의 처리 제어도에 따라 시스템에 따라 달라질 수 있다. 특정 적용을 위한 최적의 열전쌍과 같은 특정 장치의 상술 및 선택은 당업자들에게 공지되어 있다.
처리 순서 결정 장치 서브루틴(1430)은 처리 선택기 서브루틴(1420)으로부터 식별된 처리 챔버 수 및 처리 변수 세트를 수용하고 다양한 처리 챔버의 작동을 제어하기 위한 프로그램 코드로 이루어져 있다. 다수의 사용자가 처리 세트 수 및 처리 챔버 수를 입력할 수 있거나, 사용자가 다수의 처리 챔버 수를 입력 할 수 있어서, 순서 결정 장치 서브루틴(1430)은 소정의 순차로 선택된 처리를 작성하기 위해 작동된다. 바람직하게, 처리 순서 결정 장치 서브루틴(1430)은 (i) 챔버가 사용되는지를 결정하기 위해 처리 챔버의 작동을 측정하는 단계, (ii) 처리가 사용되어지는 챔버 내에서 수행되는지를 결정하는 단계, (iii) 처리 챔버의 이용가능성과 수행되어질 처리 형태에 기초한 소정의 공정을 시행하는 단계를 시행하기 위한 프로그램 코드를 포함한다. 시행되어질 처리를 작성할 때, 상기 처리 순서 결정 장치 서브루틴(1430)은 처리 챔버의 현재 조건을 선택된 처리를 위한 소정의 처리 조건, 또는 각각의 특정 사용자 입력 요건의 "시기(age)", 또는 작성 우선권을 결정하기 위해 시스템 작성자가 포함시키기를 요구하는 임의의 다른 관련된 요소와 비교도록, 설계될 수 있다.
상기 처리 순서 결정 장치 서브루틴(1430)이 처리 챔버 및 다음에 실행되는 처리 세트 조합을 결정하고 나면, 상기 서브루틴(1430)은 상기 처리 순서 결정 장치 서브루틴(1430)에 의해 결정된 처리 세트에 따라 처리 챔버(106) 내에서 다수의 처리 작업을 제어하는 챔버 매니저 서브루틴(1430a-c)에 특정 처리 세트 변수를 전달함으로서 처리 세트를 실행시킨다. 예를 들어, 상기 챔버 매니저 서브루틴(1440a)은 처리 챔버(106) 내의 스퍼터링 및 CVD 처리 조건을 제어하기 위한 프로그램 코드로 이루어진다. 상기 챔버 매니저 서브루틴(1440)은 선택되어진 처리 세트를 수행하기 위해 필요한 챔버 부품의 작동을 제어하는 다양한 챔버 부품 서브루틴의 실행을 제어한다. 챔버 부품 서브루틴의 실시예는 웨이퍼 위치선정 서브루틴(1450), 처리 가스 제어 서브루틴(91460), 압력 제어 서브루틴(1470), 가열기 제어 서브루틴(1480) 및 플라즈마 제어 서브루틴(1490)등이다. 통상의 지식을 가진 당업자들은 시행되어질 처리에 따라 다른 챔버 제어 서브루틴이 처리 챔버(106) 내에 포함될 수 있다는 것을 이해할 것이다. 작동중에, 챔버 매니저 서브루틴(1440a)은 시행되어질 특정 처리 세트에 따라 처리 부품 서브루틴을 작성하거나 소환한다. 상기 챔버 매니저 서브루틴(1440a)은 상기 시퀀서 서브루틴(1430)이 처리 챔버(106) 및 처리 세트가 다음에 어떻게 시행되어질 지를 작성하는 것과 유사하게 처리 부품 서브루틴을 작성한다. 일반적으로, 챔버 매니저 서브루틴(1440a)은 다양한 챔버 부품의 측정 단계, 시행되어질 처리 세트용 처리 변수에 기초하여 작동되기에 필요로 하는 부품을 결정하는 단계, 상기 측정 및 결정 단계에 반응하여 챔버 부품 서브루틴의 실행을 초래하는 단계를 포함한다.
특정 챔버 부품 서브루틴의 작동은 도 25를 참조하여 기술되어질 것이다. 웨이퍼 위치선정 서브루틴(1450)은 받침대(628) 상에 웨이퍼를 로딩시키고, 웨이퍼와 샤워헤드(642) 사이의 간격을 제어하기 위해 웨이퍼를 챔버(106)내의 소정의 높이로 선택적으로 상승시키는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 웨이퍼가 챔버(106) 내부로 로딩될 때, 받침대(628)는 하강하고 리프트 핀 조립체는 웨이퍼를 수용하기 위해 상승되며, 이후 받침대(628)는 예컨대, CVD 처리시에 가스 분배 분기관으로부터 제 1 거리 또는 간격에서 웨이퍼를 유지시키기 위해 챔버 내의 소정의 높이로 상승된다. 작동중에, 웨이퍼 위치선정 서브루틴(1450)은 챔버 매니저 서브루틴(1440a)으로부터 전달되어진 지지물 높이에 관련된 처리 세트 변수에 응답하여 리프트 조립체 및 받침대(628)의 이동을 제어한다.
처리 가스 제어 서브루틴(1460)은 처리 가스 조성 및 유량을 제어하기 위한 프로그램 코드를 갖는다. 처리 가스 제어 서브루틴(1460)은 안전 차단 밸브의 개폐 위치를 제어하고, 소정의 가스 흐름 속도를 얻기 위해 총량 흐름 제어기를 제어한다. 처리 가스 제어 서브루틴(1460)은 챔버 매니저 서브루틴(1440a)에 의해 시작되며, 모든 챔버 부품 서브루틴도 그러하며, 소정의 가스 흐름 속도에 관련된 처리 변수가 챔버 매니저 서브루틴으로부터 수신된다. 일반적으로, 처리 가스 제어 서브루틴(1460)은 가스 공급원과 챔버(106) 가스 공급 라인 사이의 단일 제어 밸브의 개방으로 작동되며, 반복적으로 (i) 질량 유량 속도 측정 단계, (ii) 챔버 매니저 서브루틴(1440a)으로부터 수용된 소정의 흐름 속도와 실제적인 흐름 속도 비교 단계, 및 (iii) 필요에 따라 주요 가스 공급 라인의 흐름 속도를 조절하는 단계를 포함한다. 더욱이, 처리 가스 제어 서브루틴(1460)은 불안정 속도와 관련하여 가스 흐름 속도를 모니터링하는 단계와, 불안정 상태가 감지될 때 안전 차단 밸브를 차단시키는 단계를 포함한다.
일부 공정에서, 아르곤과 같은 불활성 가스는 반응성 처리 가스가 챔버 내부로 유입되기 이전에 챔버 내에 압력을 안정화시키기 위해 챔버(106) 내부로 제공된다. 이러한 공정시에, 처리 가스 제어 서브루틴(1460)은 챔버내의 압력을 안정화시키기 위해 필요한 시간동안 챔버(106) 내부로 불활성 가스를 흐르게 하는 단계를 포함하며, 이어서 전술한 단계들이 수행된다. 부가적으로, 처리 가스가 예를 들어, 테트라에틸오르토실란(TEOS)인 액체 전구체 가스로부터 기화될 때, 처리 제어 서브루틴(1460)은 버블러 조립체내의 액체 전구체 가스를 통해 헬륨과 같은 전달 가스의 거품을 발생시키는 단계를 포함하기 위해 쓰여진다. 상기 형태의 공정에서, 처리 가스 제어 서브루틴(1460)은 소정의 처리 가스 흐름 속도를 이루기 위해 전달 가스의 흐름, 버블러 내의 압력, 버블러 온도를 조절한다. 전술한 바와 같이, 소정의 처리 가스 흐름 속도는 처리 변수와 같이 처리 가스 제어 서브루틴(1460)에 전달된다. 더욱이, 처리 가스 제어 서브루틴(1460)은 필요한 전달 가스 흐름 속도, 버블러 압력, 및 주어진 처리 가스 흐름 속도의 필요치를 포함하는 저장된 데이터 목록에 접근함으로써 소정의 처리 가스 흐름 속도에 대한 버블러 온도를 얻기 위한 단계를 포함한다. 필요한 수치가 얻어지면, 전달 가스 흐름 속도, 버블러 압력 및 버블러 온도가 필요치와 비교하여 측정되며, 조절된다.
압력 제어 서브루틴(1470)은 챔버의 배기 시스템 내의 조절판 밸브의 개구 크기를 조절함으로써 챔버(106)내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 조절판 밸브의 개구 크기는 총 처리 가스 흐름, 처리 챔버의 가스 함유한 체적, 및 배기 시스템에 대한 펌프 설정 압력과 관련하여 소정의 수치에서 챔버압을 제어하기 위해 변화된다. 압력 제어 서브루틴(1470)이 실행될 때, 소정의 설정 압력 수치는 챔버 매니저 서브루틴(1440a)으로부터 변수로 수용된다. 압력 제어 서브루틴(1470)은 챔버에 연결된 하나 이상의 압력계를 사용하여 챔버(106) 내의 압력을 측정하고, 상기 측정된 압력치를 설정 압력과 비교하고, 상기 설정 압력에 대응하는 저장된 압력 목록으로부터 PID(비례, 적분, 미분) 제어 변수를 얻고, 압력 목록으로부터 얻어진 PID 값에 따라 조절판 밸브를 조절하기 위해 작동한다. 그 대신에, 압력 제어 서브루틴(1470)은 상기 챔버(106)를 소정의 압력으로 조절하기 위한 특정 개구 크기로 조절판 밸브를 개폐하기 위해 쓰여질 수 있다. 가열기 제어 서브루틴(1480)은 웨이퍼(502)를 가열시키는데 사용되는 램프 또는 가열기 모듈의 온도를 제어하기 위한 프로그램 코드를 포함한다. 가열기 제어 서브루틴(1480)은 챔버 매니저 서브루틴(1440a)에 의해 실행되며 소정의 설정 온도 변수를 수용한다. 가열기 제어 서브루틴(1480)은 받침대(628) 내에 위치된 열전쌍의 전압 출력을 측정함으로써 온도를 결정하고, 상기 측정된 온도를 설정 온도와 비교하고, 상기 설정 온도를 얻기 위해 가열기에 인가된 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블에서 대응 온도를 찾거나, 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 상기 받침대(628)를 가열시키기 위해 복사 램프가 사용될 때, 가열기 제어 서브루틴(1480)은 램프에 가해지는 전류의 증감을 단계적으로 제어한다. 단계적인 변화는 램프의 수명 및 신뢰성을 증가시킨다. 부가적으로, 조성된 자체-안전(built-in-fail-safe) 모드가 처리 안전도를 탐지하기 위해 포함될 수 있으며, 처리 챔버(106)가 적절하게 설정되지 않으면 램프 또는 가열기 모듈의 작동을 차단할 수 있다.
플라즈마 제어 서브루틴(1490)은 챔버(106) 내의 처리 전극에 가해진 RF 바이어스 전압 수치, 선택적으로 챔버내에서 발생된 자기장 수치를 설정하기 위한 프로그램 코드를 포함한다. 전술한 챔버 부품 서브루틴과 유사하게, 플라즈마 제어 서브루틴(1490)은 챔버 매니저 서브루틴(1440a)에 의해 실행된다.
본 발명의 시스템이 플라즈마 강화 CVD를 참조하여 전술되어졌다 하더라도, 본 발명은 에칭 챔버와 고밀도(HDP) CVD 및 PVD 챔버의 사용을 포함한다. 예를 들어, 본 발명의 시스템은 플라즈마 처리용 직렬식 HDP CVD 챔버를 포함하기에 적합하다. 하나의 실시예에서, 가스 분배/ 리드 조립체는 도옴(dome) 주위에 위치된 유도 코일을 갖는 절연성 도옴과 챔버내의 고밀도 플라즈마의 유도 결합을 가능하게 하기 위해 코일에 연결된 RF 전력 공급원으로 대치될 수 있다. 유사하게, 직렬식 PVD 챔버는 증착재 공급원용으로 상기 챔버 위에 위치된 타겟 조립체로 배치될 수 있다. DC 전력 공급원은 스퍼터링 전력을 제공하기 위해 타겟 조립체에 연결될 수 있다.
상기 설명에서는 본 발명의 바람직한 실시예를 참조하여 본 발명을 상세하게 설명하였지만, 본 기술 분야의 숙련된 당업자들은 하기의 특허 청구범위에 기재된 본 발명의 사상 및 영역을 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
본 발명은 적어도 두 개의 웨이퍼를 동시에 처리하여 웨이퍼 전달 속도를 향상시킬 수 있도록 단일 웨이퍼 처리 시스템의 공정을 제어할 수 있으며 동시에 다수의 웨이퍼를 처리하고 고품질의 웨이퍼 처리, 높은 웨이퍼 작업 처리량, 및 감소된 시스템 풋프린트를 위해 단일의 웨이퍼 처리 챔버와 다중 웨이퍼 조절의 잇점을 결합한 카세트 대 카세트 처리 시스템을 제공할 수 있다.
도 1은 노벨루스 코포레이션(Novellus Corporation)사에 의해 실용화된 배치식 처리용 방사상 클러스터 기구의 평면 개략도,
도 2a 및 도 2b는 매트선 테크놀로지(Mattson Technology)사에 의해 실용화된 배치식 처리용 선형 클러스터 기구의 평면 및 측면 개략도,
도 3은 다수의 단일 웨이퍼 처리 챔버를 갖는 클러스터 기구의 개략도,
도 4는 본 발명의 진공 처리 시스템의 일실시예의 사시도,
도 5는 본 발명의 진공 처리 시스템의 일실시예의 개략 평면도,
도 6은 본 발명의 진공 처리 시스템의 일실시예의 정면도,
도 7는 본 발명의 진공 처리 시스템의 일실시예의 배면도,
도 8은 본 발명의 전단부 로딩 시스템의 전방 사시도,
도 9는 본 발명의 로드록 챔버 내부의 전방 사시도,
도 10은 본 발명의 로드록 챔버의 단면도,
도 11은 로드록 챔버의 전방부 상에 장착된 게이트 밸브 및 작동 조립체를 도시한 로드록 챔버의 사시도,
도 12는 본 발명의 로드록 챔버의 다른 실시예의 사시도,
도 13은 전달 챔버 내부에 위치된 전달 웨이퍼 핸들링 부재와, 두 개의 웨이퍼 카세트를 갖는 전단부 플랫폼 및 웨이퍼의 배치와 센터링용으로 장착된 전단부 웨이퍼 핸들링 부재를 갖는 전달 챔버를 도시하는 본 발명의 평면도,
도 14는 본 발명의 전달 챔버의 측단면도,
도 15는 전달 챔버내에 장착되며 전달 챔버 내측에서 회전되거나 다른 챔버로 연장하기 위해 준비되는 회수 위치에 있는 본 발명의 웨이퍼 핸들링 부재를 도시한 전달 챔버 및 처리 챔버의 평면도,
도 16은 전달 챔버내에 장착되며 처리 챔버내에 블레이드가 위치된 연장 위치에 있는 본 발명의 웨이퍼 핸들링 부재를 도시한 전달 챔버와 처리 챔버의 평면도,
도 17은 본 발명에 따른 웨이퍼 핸들링 시스템의 자력 결합식 작동 조립체의 단면도,
도 18은 본 발명의 처리 챔버의 일실시예의 사시도,
도 19는 본 발명의 처리 챔버의 일실시예의 단면도,
도 20은 가스 분배 조립체의 분해 사시도,
도 21은 본 발명의 처리 시스템이 리드가 제거된 상태에서의 평면도,
도 22a는 본 발명의 진공 시스템의 개략 선도,
도 22b는 본 발명의 다른 진공 시스템의 개략 선도,
도 23은 처리 챔버 위에 장착된 원격 플라즈마 챔버의 사시도,
도 24는 처리 챔버 위에 장착된 원격 플라즈마 챔버의 단면도,
도 25는 처리 제어용 컴퓨터 프로그램의 계층 제어 구조의 블록 선도,
도 26은 본 발명의 로봇용 최적 시간 경로를 도시한 전달 챔버의 평면도,
도 27은 도 26에 도시된 경로에 대한 최적 속도 프로파일을 도시한 그래프,
도 28은 본 발명의 로봇용 최적 시간 경로를 도시하는 전달 챔버의 평면도,
도 29는 도 28에 도시된 경로에 대한 최적 속도 프로파일을 도시한 그래프.
*도면의 주요 부분에 대한 부호의 설명*
100 : 처리 시스템 104: 전달 챔버
105 : 전력 분배 패널 107: 전력 발생기
109 : 웨이퍼 카세트 112 : 로드록 챔버
218 : 로드록 카세트 224 : 샤프트
238 : 작동기 280 : 배기 포트
500 : 자력결합식 로봇 540 : 웨이퍼 블레이드 조립체
628 : 웨이퍼 가열기 받침대 700 : 진공 시스템

Claims (11)

  1. 병렬식 챔버 세트용 배기 시스템으로서,
    (a) 제 1 처리 영역 및 제 2 처리 영역을 가지며, 상기 처리 영역 사이에 공통 챔버 벽이 형성되어 있는 모놀리식 챔버 몸체와,
    (b) 상기 모놀리식 챔버 몸체 내에 형성되며 상기 제 1 처리 영역 주위에 배열되는 제 1 펌핑 채널과,
    (c) 상기 모놀리식 챔버 몸체 내에 형성되며 상기 제 2 처리 영역 주위에 배열되는 제 2 펌핑 채널과,
    (d) 상기 제 1 및 제 2 펌핑 채널과 동시에 연통되어 상기 제 1 및 제 2 처리 영역 각각의 내부에 실질적으로 동일한 압력을 제공하는 공통 배기 채널, 및
    (e) 상기 공통 챔버 벽 내부에 형성되며 상기 공통 배기 채널을 상기 제 1 및 제 2 펌핑 채널과 연결하는 한 쌍의 도관을 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  2. 제 1 항에 있어서,
    상기 배기 채널은 배기 펌프에 연결되는,
    병렬식 챔버 세트용 배기 시스템.
  3. 제 2 항에 있어서,
    상기 펌핑 채널에 인접한 각각의 처리 영역 내에 배열되며 다수의 펌핑 포트가 내부에 배열되어 있는 챔버 라이너를 더 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  4. 제 3 항에 있어서,
    상기 배기 채널 내에 배열된 밸브를 더 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  5. 제 4 항에 있어서,
    상기 밸브는 드로틀 밸브인,
    병렬식 챔버 세트용 배기 시스템.
  6. 제 1 항에 있어서,
    각각의 상기 처리 영역 내의 배기 채널은 챔버의 측벽내에 형성되는,
    병렬식 챔버 세트용 배기 시스템.
  7. 병렬식 챔버 세트용 배기 시스템으로서,
    (a) 제 1 처리 영역 및 제 2 처리 영역을 가지며, 상기 처리 영역 사이에 공통 챔버 벽이 형성되어 있는 모놀리식 챔버 몸체와,
    (b) 상기 모놀리식 챔버 몸체 내에 형성되며 상기 제 1 처리 영역 주위에 배열되는 제 1 펌핑 채널과,
    (c) 상기 모놀리식 챔버 몸체 내에 형성되며 상기 제 2 처리 영역 주위에 배열되는 제 2 펌핑 채널과,
    (d) 상기 제 1 및 제 2 펌핑 채널과 동시에 연통되어 상기 제 1 및 제 2 처리 영역 각각의 내부에 실질적으로 동일한 압력을 제공하는 공통 배기 채널,
    (e) 상기 공통 챔버 벽 내부에 형성되며 상기 공통 배기 채널을 상기 제 1 및 제 2 펌핑 채널과 연결하는 한 쌍의 도관, 및
    (f) 상기 배기 채널에 연결되는 펌프를 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  8. 제 7 항에 있어서,
    관통 형성되는 다수의 포트를 갖는 각각의 상기 제 1 및 제 2 펌핑 채널에 인접하여 배열되는 챔버 라이너를 더 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  9. 제 8 항에 있어서,
    상기 배기 채널과 상기 펌프 사이에 배열되는 밸브를 더 포함하는,
    병렬식 챔버 세트용 배기 시스템.
  10. 두 개의 처리 영역 내부의 압력 조절 방법으로서,
    (a) 제 1 처리 영역 및 제 2 처리 영역을 가지며 상기 처리 영역들 사이에 공통 챔버 벽이 형성되어 있는 모놀리식 챔버 몸체를 제공하는 단계와,
    (b) 상기 제 1 및 제 2 처리 영역 내에 배기 채널을 제공하는 단계와,
    (c) 상기 제 1 및 제 2 펌핑 채널과 동시에 연통되어 상기 제 1 및 제 2 처리 영역 각각의 내부에 실질적으로 동일한 압력을 제공하는 공통 배기 채널과 상기 두 개의 펌핑 채널을 연결시키는 단계와,
    (d) 펌프를 사용하여 상기 배기 채널로부터 가스를 배기시키는 단계를 포함하는,
    두 개의 처리 영역 내부의 압력 조절 방법.
  11. 제 10 항에 있어서,
    상기 배기 채널과 상기 펌프 사이에 배열되는 밸브를 사용하여 압력을 조절하는 단계를 더 포함하는,
    두 개의 처리 영역 내부의 압력 조절 방법.
KR1019970060326A 1996-11-18 1997-11-17 처리챔버의배기시스템 KR100528354B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/749,613 1996-11-18
US08/749,613 US6077157A (en) 1996-11-18 1996-11-18 Process chamber exhaust system
US08/749,613 1996-11-18

Publications (2)

Publication Number Publication Date
KR19980042484A KR19980042484A (ko) 1998-08-17
KR100528354B1 true KR100528354B1 (ko) 2006-01-27

Family

ID=25014474

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970060326A KR100528354B1 (ko) 1996-11-18 1997-11-17 처리챔버의배기시스템

Country Status (4)

Country Link
US (1) US6077157A (ko)
JP (1) JP4603633B2 (ko)
KR (1) KR100528354B1 (ko)
TW (1) TW460925B (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
WO2002023161A1 (en) 2000-09-18 2002-03-21 University Of Washington Microfluidic devices for rotational manipulation of the fluidic interface between multiple flow streams
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20080006650A1 (en) * 2006-06-27 2008-01-10 Applied Materials, Inc. Method and apparatus for multi-chamber exhaust control
KR100796980B1 (ko) * 2007-01-17 2008-01-22 피에스케이 주식회사 기판 처리 장치 및 방법
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US20100193132A1 (en) * 2008-07-23 2010-08-05 New Power Plasama Co., Ltd. Multi-workpiece processing chamber and workpiece processing system including the same
KR101463983B1 (ko) * 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버와 이의 기판 처리 방법
KR101466003B1 (ko) * 2008-07-23 2014-11-27 주식회사 뉴파워 프라즈마 다중 기판 처리 챔버 및 이의 가스 유동 제어 방법
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
JP2013196853A (ja) * 2012-03-16 2013-09-30 Kaneka Corp 耐アーク性能評価装置、耐アーク性能評価システム、耐アーク性能評価方法
US9140732B2 (en) 2012-03-16 2015-09-22 Kaneka Corporation Arc resistance performance evaluation device, arc resistance performance evaluation system, and arc resistance performance evaluation method
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US9960072B2 (en) * 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
DE102016101003A1 (de) 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse
KR101930456B1 (ko) * 2018-05-03 2018-12-18 주식회사 유진테크 기판 처리 시스템
KR20210157338A (ko) * 2020-06-19 2021-12-28 에이에스엠 아이피 홀딩 비.브이. 다중 스테이지 기판 처리 시스템
US20230323532A1 (en) * 2020-09-14 2023-10-12 Lam Research Corporation Rib cover for multi-station processing modules
US20220084794A1 (en) * 2020-09-16 2022-03-17 Applied Materials, Inc. Plasma chamber with a multiphase rotating modulated cross-flow

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043299A (en) * 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH0874028A (ja) * 1994-09-01 1996-03-19 Matsushita Electric Ind Co Ltd 薄膜形成装置および薄膜形成方法
KR960019503A (ko) * 1994-11-30 1996-06-17 제임스 조셉 드롱 화학증착법을 이용한 가공 챔버

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4725204A (en) * 1986-11-05 1988-02-16 Pennwalt Corporation Vacuum manifold pumping system
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US5120019A (en) * 1989-08-03 1992-06-09 Brooks Automation, Inc. Valve
ES2130295T3 (es) * 1989-10-20 1999-07-01 Applied Materials Inc Aparato de tipo robot.
US5226632A (en) * 1990-04-20 1993-07-13 Applied Materials, Inc. Slit valve apparatus and method
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5133284A (en) * 1990-07-16 1992-07-28 National Semiconductor Corp. Gas-based backside protection during substrate processing
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
JPH0812846B2 (ja) * 1991-02-15 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置
US5275303A (en) * 1992-02-03 1994-01-04 Applied Materials, Inc. Valve closure mechanism for semiconductor deposition apparatus
US5366586A (en) * 1992-02-03 1994-11-22 Nec Corporation Plasma formation using electron cyclotron resonance and method for processing substrate by using the same
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5363872A (en) * 1993-03-16 1994-11-15 Applied Materials, Inc. Low particulate slit valve system and method for controlling same
US5642978A (en) * 1993-03-29 1997-07-01 Jenoptik Gmbh Device for handling disk-shaped objects in a handling plane of a local clean room
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
CH687986A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Plasmabehandlungsanlage und Verfahren zu deren Betrieb.
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
KR0149392B1 (ko) * 1994-02-28 1998-12-01 이노우에 아끼라 마그네트론 플라스마 처리 시스템
DE4428136A1 (de) * 1994-08-09 1996-02-15 Leybold Ag Vakuum-Beschichtungsanlage
DE19505258C2 (de) * 1995-02-16 1998-08-06 Samsung Electronics Co Ltd Beschichtungsvorrichtung
US5611886A (en) * 1995-09-19 1997-03-18 Integrated Solutions, Inc. Process chamber for semiconductor substrates
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043299A (en) * 1989-12-01 1991-08-27 Applied Materials, Inc. Process for selective deposition of tungsten on semiconductor wafer
US5043299B1 (en) * 1989-12-01 1997-02-25 Applied Materials Inc Process for selective deposition of tungsten on semiconductor wafer
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH0874028A (ja) * 1994-09-01 1996-03-19 Matsushita Electric Ind Co Ltd 薄膜形成装置および薄膜形成方法
KR960019503A (ko) * 1994-11-30 1996-06-17 제임스 조셉 드롱 화학증착법을 이용한 가공 챔버
KR100279487B1 (ko) * 1994-11-30 2001-02-01 조셉 제이. 스위니 화학증착법을 이용한 처리 챔버

Also Published As

Publication number Publication date
US6077157A (en) 2000-06-20
JPH10154704A (ja) 1998-06-09
KR19980042484A (ko) 1998-08-17
JP4603633B2 (ja) 2010-12-22
TW460925B (en) 2001-10-21

Similar Documents

Publication Publication Date Title
KR100503125B1 (ko) 웨이퍼처리장치및방법
KR100528355B1 (ko) 하나이상의가스를여기시키기위한장치
US5911834A (en) Gas delivery system
US6635115B1 (en) Tandem process chamber
US5902088A (en) Single loadlock chamber with wafer cooling function
US5909994A (en) Vertical dual loadlock chamber
KR100528354B1 (ko) 처리챔버의배기시스템
US5838121A (en) Dual blade robot
US6082950A (en) Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6224312B1 (en) Optimal trajectory robot motion
US5905302A (en) Loadlock cassette with wafer support rails
US6913652B2 (en) Gas flow division in a wafer processing system having multiple chambers
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
US20080202892A1 (en) Stacked process chambers for substrate vacuum processing tool
KR20070121756A (ko) 상이한 기압에서 공정 처리가 가능한 기판 처리 플랫폼
US5803107A (en) Method and apparatus for pressure control in vacuum processors
US20080202419A1 (en) Gas manifold directly attached to substrate processing chamber
WO2023018596A1 (en) Process module chamber providing symmetric rf return path

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee