JP2009147368A - マルチ−チャンバーシステムで半導体素子を製造する方法 - Google Patents

マルチ−チャンバーシステムで半導体素子を製造する方法 Download PDF

Info

Publication number
JP2009147368A
JP2009147368A JP2009062953A JP2009062953A JP2009147368A JP 2009147368 A JP2009147368 A JP 2009147368A JP 2009062953 A JP2009062953 A JP 2009062953A JP 2009062953 A JP2009062953 A JP 2009062953A JP 2009147368 A JP2009147368 A JP 2009147368A
Authority
JP
Japan
Prior art keywords
chamber
wafer
transfer
load lock
lock chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009062953A
Other languages
English (en)
Other versions
JP5134575B2 (ja
Inventor
Kiso Kin
基相 金
圭贊 ▲鄭▼
Keisan Tei
Gyu-Hwan Kwack
奎煥 郭
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2009147368A publication Critical patent/JP2009147368A/ja
Application granted granted Critical
Publication of JP5134575B2 publication Critical patent/JP5134575B2/ja
Expired - Lifetime legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Abstract

【課題】本発明は、多数個の工程チャンバーを多層に直列配置してウェハの移送速度を向上させる等の効果を奏する半導体素子製造用エッチング設備のマルチチャンバーシステムを用いる半導体素子の製造方法の提供を目的とする。
【解決手段】カセットステージから移送通路に沿ってロードロックチャンバーにウェハを移送し、ロードロックチャンバー内に真空圧を形成し、ロードロックチャンバーから複数個の工程チャンバーのうちの第1工程チャンバーにウェハを移送し、第1工程チャンバー内で真空下にウェハに対する第1加工を行い、第1工程チャンバーからロードロックチャンバーにウェハを移送し、ロードロックチャンバー内に大気圧を形成し、ロードロックチャンバーから移送通路に沿ってカセットステージにウェハを移送する。
【選択図】図5

Description

本発明は半導体素子製造用エッチング設備のマルチチャンバーシステムを用いた半導体装置素子の製造方法に関する。
一般に、半導体エッチング工程では、工程の効率及び空間設置効率を向上させるため、多数個のチャンバーでいくつかの種類のウェハ加工作業が同時に進行されることが可能であるマルチチャンバーシステムが採択されている。
特に、プラズマを利用した乾式エッチング工程で使用するマルチチャンバーシステムは、プラズマ生成のために高真空環境が要求される多数個の工程チャンバーを備え、低真空状態の中央チャンバー内で多数個の真空チャンバーにウェハをローディング及びアンローディングするチャンバー内移送装置を備える集中型マルチチャンバーシステムである。
従来の一般的な半導体素子製造用エッチング設備のマルチチャンバーシステムを図1に示す。
図1に示すように、従来の半導体素子製造用エッチング設備の集中型マルチチャンバーシステムは、中央に6角柱型の中央チャンバー16が設置され、中央チャンバー16の側面にそれぞれ工程が行われる4つの工程チャンバー15が連結される構成である。中央チャンバー16とそれぞれの工程チャンバー15の間には、ぞれぞれウェハの選択的な出入が自由である図示しない多数個のゲートが設置される。そのゲートを通じ中央チャンバー16に設置されたチャンバー内移送装置14がウェハを選択的にそれぞれの工程チャンバー15にローディング及びアンローディングすることが可能である。
中央チャンバー16は、連結された工程チャンバー15の個数によって四角形、五角形、七角形等の形態が可能で、図1では最も一般的な六角形構造の中央チャンバーを示した。
また、それぞれの工程チャンバー15と中央チャンバー16は図示しない真空圧形成装置を備える。従って、チャンバー内移送装置14は、真空の環境下でウェハを工程チャンバー15に移送する。
また、このようなマルチチャンバーシステムの中央チャンバー16には、中央チャンバー16にカセット11内のウェハを移送することができるようにウェハの環境を大気圧で低真空状態に転換し、ウェハの中間待機場所としてローディング前、またはアンローディング後のウェハが積載されるロードロックチャンバー13が設置される。通常このようなロードロックチャンバー13は、加工前のウェハが積載される入力側のロードロックチャンバー及び加工を終えた後のウェハが積載される出力側ロードロックチャンバーによって構成される。
また、このような2つのロードロックチャンバー13には、大気中でカセット単位のウェハ運搬が容易であるようにカセット11が安着されるカセットステージ12が連結されて設置される。
従って、従来の半導体素子製造用エッチング設備のマルチチャンバーシステムは、カセットステージ12にカセット11が安着されると、ロードロックチャンバー13の内部に設置された自動移送装置または作業者等がウェハを積載したカセット11をロードロックチャンバー13に供給し、ロードロックチャンバー13は密閉された後低真空状態になる。ロードロックチャンバー13が所定水準の真空状態に到達すると、ロードロックチャンバー13のゲートが開放され、中央チャンバー16のチャンバー内の移送装置14が低真空状態でウェハを個別または一定な個数単位に図示しない移送アームに安着させた後、特定角度に水平回転しながら特定角度線上に位置した特定工程チャンバーに先進して移送する。
工程チャンバー15内にウェハが移送されると、工程チャンバー15のゲートが密閉された後に工程が遂行され、工程を終えたウェハは、再びチャンバー内移送装置14によって逆方向に移送されてロードロックチャンバー13内のカセットに再積載される。
ここで、特定チャンバーで工程が進行される途中に、チャンバー内移送装置14は連続して他の工程チャンバー15にウェハをローディング及びアンローディングすることが可能である。従って、多数個の工程チャンバーで同時に多数個のウェハを加工することが可能である。
しかし、このような従来の集中型マルチチャンバーシステムは、前述したように六角形型の中央チャンバーを構成することにおいて(基本的に4つの工程チャンバーと2つのロードロックチャンバーで構成される場合)、六角形型の中央チャンバーが占める面積のため、設備全体の面積は勿論、製造ライン内の設備配置において重視される設備幅"w"が必要以上に増大し、中央チャンバーを真空状態に維持するのに必要な真空設備の規模が増大し設備費用及び設置費用が増加する。
また、このような中央チャンバーの面積は、設置される工程チャンバーの個数の増加に伴って増加するものである。例えば、設置される同一の大きさの工程チャンバーを4つから6つに増加させようとすると、中央チャンバーを正八角形型に構成しなければならない。この場合中央チャンバーの面積増加はさらに増大される。
従って、必要な工程チャンバーの個数が増加すると、前述のような集中型マルチチャンバーシステムをさらに一つ追加して設置するようになる。
しかし、集中型マルチチャンバーシステムを購入する購入費が増加し、また設置費が過重に所要され、必要以上に設備の面積が広くなるので設備の設置面積が増加し、高価な維持費が所要される清浄室を広く占有し、工程チャンバーやロードロックチャンバーに付設される各種の工程ガス及び真空関連装置が重複するなどの問題があった。
従って、マルチチャンバーシステムの工程チャンバの個数を増やす方法が多角度から考えられた。
このような集中型マルチチャンバーシステムにおいて工程チャンバーの個数を増加に伴う設置面積の増加を抑制する方法の一つは、図2に示すようにそれぞれ3個の工程チャンバー15と連結された2つの中央チャンバー16を互いに連結し、2つの中央チャンバーを互いに連結するための連結ロードロックチャンバー13を間に設置し、従来の集中型マルチチャンバーシステム10を互いに連結して結合させるものである。
しかし、この方法においても、7個の工程チャンバー15を設置する場合、集中型マルチチャンバーシステム10を一つさらに購入して設置することに比べ、高価の維持費が所要される清浄室内の占有面積を効果的に削減し、工程チャンバーやロードロックチャンバーに付設される各種の工程ガス及び真空関連装置が重複する等の問題点を克服することができなかった。
また、図3に示すように構造的にカセットステージが設備の前方に配置される従来の集中型マルチチャンバーシステム10が、半導体製造ライン内に他工程設備20と一緒に設置されると、集中型マルチチャンバーシステムを始めとした他工程設備20のカセットステージが全て前方に向くようになるので設備から設備にカセットを運搬する自動カセット運搬車または作業者等が必要になり、附随的なカセット運搬装置を備えなければならないという問題点があった。
また、前述の集中型マルチチャンバーシステムは、チャンバー内の移送装置が真空の環境下でウェハを移送するためウェハを真空吸着することができない。このため移送アームがウェハを単純に重力支持する構成であり、移動時ウェハの慣性によってウェハが離脱しないようにウェハの移送速度を非常に低速にしなければならないという問題点があった。
本発明は、上述の問題点を解決するために創作されたものであり、多数個の工程チャンバーを多層に直列配置して、設備の面積及び設備の幅を画期的に縮小し、不必要な真空面積を縮小することで装置費及び設置費を最小化させ、他工程設備との連結及び空間活用が容易で、ウェハの移送速度を向上させる半導体素子製造用エッチング設備のマルチチャンバーシステムを用いる半導体素子の製造方法を提供することを目的とする。
本発明の請求項1に記載のマルチ−チャンバーシステムで半導体素子を製造する方法によると、長方形のウエハー移送チャンバーと隣接して前記ウエハー移送チャンバーと分離されたカセットステージ上に第1ウエハーを提供する段階と、前記第1ウエハーを前記カセットステージからロードロックチャンバーに前記長方形のウエハー移送チャンバーに位置する移送メカニズムによって移送するが、前記ロードロックチャンバーは、前記ウエハー移送チャンバーと隣接して前記ウエハー移送チャンバーの長辺に沿って平行に整列され前記長方形のウエハー移送チャンバーは前記カセットステージと前記ロードロックチャンバーとの間で非真空で前記第1ウエハーの移送のための空間を提供する段階と、前記ロードロックチャンバーに真空圧を提供する段階と、前記第1ウエハーを前記ロードロックチャンバーに配置された移送アームを用いて前記ロードロックチャンバーから前記長方形のウエハー移送チャンバーの長辺に平行に整列された多数個の工程チャンバーのうち1つの工程チャンバーに直接的に移送するが、前記ロードロックチャンバーは前記移送アームを移動させるための内部移送装置を含み、ゲートは前記長方形のウエハー移送チャンバーの一辺と前記工程チャンバーのうち1つの工程チャンバーの一辺上にそれぞれ形成され、前記ゲートは選択的に開閉され前記第1ウエハーの通過を許容する段階と、前記工程チャンバーのうち前記1つの工程チャンバーに高真空圧を提供する段階と、前記工程チャンバーのうち、前記1つの工程チャンバーで前記第1ウエハーを加工する段階と、を含み、前記ロードロックチャンバーは前記ウエハー移送チャンバーから移送された多数個のウエハーを収容するように構成されたことを特徴とする。
本発明による半導体素子製造用エッチング設備のマルチチャンバーシステムによると、多数個の工程チャンバーを多層に直列配置して設備の面積及び設備の幅を画期的に縮小することができる。また、不要な真空面積を縮小することにより設備費用及び設置費用を最小化する事ができる。さらに、他工程設備との連結及び空間活用が容易であり、また、ウェハの移送速度が向上する。
従来の半導体素子製造用エッチング設備の集中型マルチチャンバーシステムを示す模式的な平面図である。 図1の半導体素子製造用エッチング設備の集中型マルチチャンバーシステムを連結して結合させた状態を示す模式的な平面図である。 図1の半導体素子製造用エッチング設備の集中型マルチチャンバーシステムが半導体製造ライン内に設置された状態を示す図である。 本発明の第1実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムを示す模式的な平面図である。 本発明の第1実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムを示す斜視図である。 本発明の第1実施例においてウェハの移送状態を概略的に示した側面図である。 本発明の第2実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムを示す模式的な平面図である。 本発明の第2実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムが半導体製造ライン内に設置された一例を示す平面図である。 本発明の第2実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムが延長された状態を示した模式的な平面図である。 本発明の第3実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムが半導体製造ライン内に設置された一例を示す平面図である。
以下、本発明の実施の形態を示す複数の実施例を、添付した図面に基づき詳細に説明する。
本発明の第1実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムを図4に示す。
まず、図4を参照して説明すると、本発明の第1実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムは、前方にウェハを積載したカセット41が安着されるカセットステージ42と、ウェハの移送に必要な空間である長方形移送通路の両側面に並んで多層に配列されウェハの加工が行われる8つの工程チャンバー45と、前記移送通路に設置され、前記カセットステージ42に積載されたウェハを前記8つの工程チャンバー45にそれぞれローディング及びアンローディングさせることが可能なウェハ移送装置52とを備える。
カセットステージ42は、前記カセットが昇下降することができるようにカセットエレベーターが設置され得るし、カセット間の位置を交代することができるように左右に水平移動され得る。
前記工程チャンバー45は、単層構造も可能であるが、空間の効率性を考慮して図5に図示されたように2層をなし、各層にはそれぞれ4つの工程チャンバー45が直列で並んで配列される構成である。
マルチチャンバーシステム40が単層になる構成である場合、図1の従来の集中型マルチチャンバーシステム10と比べると、従来のような規格の4つの工程チャンバー45と2つのロードロック43を設置するとき、図4でのように、本発明のマルチチャンバーシステム40の設備幅"W"は2つの工程チャンバー45の幅と1つの移送通路の幅を合わせたものと同じである。必要以上に肥大化した従来の中央チャンバーの幅をウェハ1枚の幅を超える最小の幅に製作することができる移送通路の幅に代置することができる。このため、設備の幅"W"を最小化するようになることは勿論であり、このような設備の幅Wの縮小は設備の長さにも適用することができ、従来の中央チャンバーの長さをロードロックチャンバー43の長さに代置することができ、その中でロードロックチャンバー43の形態も1辺の長さがウェハ1枚の直径を超える範囲で薄い正四角柱型に最小化して全体設備の幅及び長さを最小化することができるようになる。
従って、設備の幅"W"と設備の長さを積算した設備面積は、本発明の単層構造であるマルチチャンバーシステムの場合、従来と比べ大幅に縮小され、さらに複層構造が可能な本発明の多層構造のマルチチャンバーは、設備をさらにコンパクトに構成することができるものである。
また、ロードロックチャンバー43の空間を最小化することによって真空設備等付設装置の容量を減らすようになり装置費及び設置費を最小化することができる。
また、前記移送通路には、前記ウェハ移送装置52が真空圧を利用して把持することでウェハを高速移動させることが可能であるように別途の真空圧形成装置を設置する必要がない構成である。
このように前記ウェハ移送装置52が設置される移送通路は、従来の中央チャンバーのように真空環境が形成されたものではない、即ち大気圧又は大気圧以下の任意の圧力状態(但し、真空圧状態を除く)を含む非真空圧下であるので、前記工程チャンバーの多層構造が可能で、中央チャンバー内で移送アームがウェハを単純に重力支持して移動時、ウェハの慣性によってウェハが離脱しないように低速で移動させる従来の場合より高速なウェハの移送が可能である。
一方、ベースオーブン(Base Oven)工程、アシング(Ashig)工程等エッチング工程の前後工程で比較的低真空状態が要求される前記工程チャンバーの場合、ウェハの出入が可能で、選択的に開閉されるように前記移送通路方向にゲート(図示しない)が形成されることが可能である。
しかし、一般的に乾式エッチング工程が遂行される前記工程チャンバー45は、内部の真空圧を形成するための真空圧形成装置が設置されるので、プラズマを形成するために内部に高真空環境を形成することが可能な高真空用工程チャンバーを設置する場合が多い。
従って、大気圧環境に工程チャンバーの内部が直接露出されて再び高真空状態に真空圧が形成されるのにかかる時間及びエネルギーの浪費を最小化するために前記工程チャンバー45の一側面にウェハの待機場所として低真空が形成されるロードロックチャンバー43を連結し、ロードロックチャンバー43の一面に移送通路方向にゲート46、49を形成する。
即ち、このような前記ロードロックチャンバー43は、内部に前記ウェハ移送装置52からウェハを引受け、これを前記工程チャンバーに移送するようにウェハが安着される図6の移送アーム54及び前記移送アーム54を移動させるチャンバー内移送装置44が設置され、移送通路側の一面にウェハの出入が可能で、選択的な開閉が可能な図4のゲート46、49が形成され、それぞれ2つの工程チャンバー側の一面にウェハの出入が可能で、選択的な開閉が可能なゲート47、48、50、51が形成される構成である。
前記ロードロックチャンバー43の移送アーム及びチャンバー内移送装置44は、2つの工程チャンバーにそれぞれ1枚ずつのウェハを同時に個別移送することができるように前記ロードロックチャンバー内に2つが設置され得る。
また、前記ロードロックチャンバー43には、前記高真空環境の工程チャンバー45の間に設置されたゲート47、48、50、51が開いてウェハが移送される時、前記工程チャンバー内部の急激な真空圧相殺形状が起こらないように前記ロードロックチャンバー43内部の低真空圧を形成するための真空圧形成装置(図示しない)が設置される。
このような真空圧形成装置は、多様な形態が可能で、真空ポンプを利用する一般的な真空圧形成装置として、当業者にとって実施が容易なものなので詳しい説明は省略する。
また、前記工程チャンバー45は、図4及び図5に図示されるように、2つの工程チャンバー45がロードロックチャンバー43の前後に位置して1つのロードロックチャンバー43を共有する構成であるが、3つまたは多数個の工程チャンバーが1つのロードロックチャンバーを供給するようにする構成も可能である。
また、前記工程チャンバーは、工程チャンバーで加工を終えたウェハが次の工程が行われる工程チャンバーに直接移送することができるようにゲートを通じて工程チャンバーと工程チャンバーがお互い連結される形態として、工程チャンバーと工程チャンバーの間のウェハ移送が可能な形態に製作されることも可能である。
一方、前記移送通路に設置される本発明の前記ウェハ移送装置52は、ウェハを選択的に把持する図6の移送アーム53と、前記移送アーム53を移動させることで前記工程チャンバーにウェハをローディング及びアンローディングさせることが可能な移送ロボット(図示しない)と、前記移送ロボットを水平移動させる水平移動駆動部(図示しない)と、前記移送ロボットを昇下降させる昇下降駆動部(図示しない)及び前記移送ロボット、昇下降駆動部及び水平移動駆動部に制御信号を与えてこれらを制御する制御部(図示しない)を備える。
前記移送アーム53は、ウェハを選択的に真空吸着することが可能であるように真空ライン(図示しない)が設置される。また、前記移送アーム53は、図5に図示されたように、一度に一枚のウェハを移送するように設置されることも可能であるがウェハの移送時間を短縮させるために各層にそれぞれ2つずつ設置されたロードロックチャンバーに、それぞれ2枚ずつのウェハを同時に個別移送することができるように4つが連結されて設置されるフォーアームシステム(4-Arm System)であることが可能である。
4つのウェハを独自的に移送するフォーアームシステムを始めとして2枚及び3枚のウェハを同時に移送することができるツーアームシステム(2-Arm System)、スリーアームシステム(3-Arm System)などは、半導体工程で常用化された技術で、当業者にとって多様な形態の変更及び実施が可能であることは当然なものである。
前記水平移動駆動部(図示しない)及び昇下降駆動部(図示しない)は、モータまたは空圧シリンダーを駆動源として移動する経路を案内するレールまたはガイド棒に沿って水平移動する水平移動駆動部に再び移動する経路を案内するレールまたはガイド棒に沿って昇下降移動する昇下降駆動部に前記移送アーム及び移送ロボットが設置されるものである。これもまた、半導体工程で常用化された技術で、当業者において多様な形態の変更及び実施が容易であることは当然なことであるので詳しい説明は省略する。
従って、前述したような構成を有する本発明の半導体素子製造用エッチング設備のマルチチャンバーシステムは、図6に示すように、多数個のウェハを積載したカセット41が前記カセットステージ42に安着されると、制御部の制御信号を受けた前記ウェハ移送装置52の水平移動駆動部及び昇下降駆動部が駆動して前記移送ロボットを前記カセット41内に積載されたウェハに接近させる。
前記ウェハにウェハ移送装置52が十分に接近すると、前記移送ロボットは、制御部の制御信号を受け前記移送アーム53を前記ウェハに接触させ、真空ラインが設置された前記移送アーム53は前記ウェハを真空吸着して一側面に固定させる。
前記移送アーム53に固定されたウェハが1層の特定工程チャンバー45に移送されるウェハである場合には、制御部が前記水平移動駆動部を制御して1層の特定工程チャンバー45と連結されたロードロックチャンバー43に移送する。
このとき、前記ロードロックチャンバー43の移送通路方向に形成されたゲート46が開き、前記ウェハ移送装置52の移送アーム53が挿入された後、真空ラインの真空圧が切れると前記ウェハが前記ロードロックチャンバー43の内部の移送アーム54に安着される。
前記ウェハ移送装置52の移送アーム53が前記ロードロックチャンバー43を抜けると、前記ゲート46が閉じられ、前記ロードロックチャンバー43の真空圧形成装置が稼動してロードロックチャンバー43の内部を低真空状態にする。
前記ロードロックチャンバー43が所定水準の低真空状態に到達すると、前記ロードロックチャンバー43の工程チャンバー側のゲート50が開き、前記ロードロックチャンバー43のチャンバー内移送装置44は、前記移送アーム54に安着されたウェハを前記工程チャンバー45内に移送する。
このとき、ロードロックチャンバー43の低真空状環境によってウェハの真空吸着は難しいが、前記ロードロックチャンバー43の面積が従来の場合のように広くないので、移送アームがウェハを安着させ、低速移動される区間が相対的に非常に短い。
前記移送アーム54が前記工程チャンバー45から抜けると、前記ゲート46が閉じられ、前記工程チャンバー45に設置された真空圧形成装置が稼動して前記工程チャンバー45内に高真空を形成してエッチング工程が遂行されるようにする。
一方、前記把持したウェハが2層の特定工程チャンバー45に移送されるウェハである場合には、制御部が前記水平移動駆動部及び昇下降駆動部を制御して2層の特定工程チャンバー45と連結されたロードロックチャンバー43に移送する。
このときのウェハは前記移送ロボットの移送アーム53に真空吸着された状態に昇降して前記ロードロックチャンバー43内に挿入された後、以後の過程は前述された1層の移送経路と同一である。
このように多数個の工程チャンバー45内にウェハを移送するウェハローディング作業が終ると、前記ウェハ移送装置52が工程が完了される順にウェハをアンローディングして、再び前記カセットステージ42に移送するか、次の工程が遂行される特定層の特定工程チャンバーに制御部の制御信号を受けて前記ウェハを移送する過程を経るようになる。
本発明のウェハ移送装置52にフォーアームシステムを設置する場合には、前記ウェハ移送装置52は、前記カセット内に積載されたウェハを4枚ずつ移送して特定工程チャンバーと連結されたロードロックチャンバーにそれぞれ2枚ずつ移送するようになり、前記チャンバー内移送装置及び移送アームはツーアームシステムに設置され2つの工程チャンバーにそれぞれ1枚ずつウェハを移送した後、工程が終ると、再びウェハ移送装置52に2枚または1枚のウェハを伝達して後続加工が行われるようにする。
本発明の第2実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムは、図7に示すように、加工前のウェハを積載するカセットが安着される第1カセットステージ60と、加工後のウェハを積載するカセットが安着される第2カセットステージ70と、ウェハの移送に必要な空間である長方形移送通路の両側面に多層をなして並んで配列されウェハの加工が行われる多数個の工程チャンバー45と、前記移送通路に設置されて垂直及び水平往復運動が可能で、前記第1カセットステージ60に積載されたウェハを前記多数個の工程チャンバー45にそれぞれ移送し、加工を終えたウェハを前記第2カセットステージ70に移送することが可能な移送ロボットを含むウェハ移送装置52とを備える。
本発明の第2実施例によるマルチチャンバーシステムは前述した第1実施例のウェハ加工を全て終えたウェハが前記第2カセットステージ70に積載されて図8に示すように他工程設備20と連結されることが容易であるように形成された構成である。
即ち、図8に示すように、設備の前方に設置された第1カセットステージ60を通じて本発明のマルチチャンバーシステムに供給されて多数個の工程チャンバー45内で工程を終えたウェハが設備の後方に設置された第2カセットステージ70に積載された後、再び他工程設備20の自動移送装置によって他工程設備20で他工程が遂行された後、前記他工程設備20の側方に移送され、また異なる他工程設備20を経た後、本発明のマルチチャンバーシステムの右側前方の他工程設備カセットステージに積載されるウェハ経路を構成することができるものである。
これは本実施例が半導体製造ライン内に設置される一例であって、本実施例が製造ライン内に設置される場合、多様な形態の適用が可能であることを示している。
従って、構造的にカセットステージが設備の前方に配置される従来の集中型マルチチャンバーシステムのカセットステージが全て前方に向くようになるので、設備から設備にカセットを運搬する作業者または自動カセット運搬車等が必ず必要になり、付随的なカセット運搬装置を備えなければならないという事とは別に、本発明の第2実施例によると、設備から設備にカセットを運搬する作業者または自動カセット運搬車等の付随的なカセット運搬装置をなくすか、または大幅に減らすことができるという利点がある。
また、図9に示すように本実施例によるマルチチャンバーシステムを延長させて設置される工程チャンバー45の個数をさらに増加させる場合には、前記移送通路を延長し、また、いくつかの工程チャンバー45とロードロックチャンバー43を前記移送通路の両側面に直列に並んでさらに配置させることが可能である。
この場合、前記移送通路の長さが長くなるとき、互いに引受け、引継ぐことが可能な2つの第1ウェハ移送装置62及び第2ウェハ移送装置72を設置する事が可能である。
従って、従来の集中型マルチチャンバーシステムとは別に、設備幅は変わらず、理論的に設置される工程チャンバーを無限に増加させることが可能なものである。しかし、このような場合、設備の長さの限界と、設備制御の限界等の制約がある。
本発明の第3実施例による半導体素子製造用エッチング設備のマルチチャンバーシステムは、図10に示すように、ウェハを積載したカセットが安着されるカセットステージ42と、ウェハの移送に必要な空間である長方形移送通路の一側面に多層をなして並んで配列されウェハの加工が行われる多数個の工程チャンバー45と、前記移送通路に設置されて垂直及び水平往復運動が可能で、前記カセットステージに積載されたウェハを前記多数個の工程チャンバーにそれぞれローディング及びアンローディングさせる事が可能であるウェハ移送装置52とを備える。
即ち、前記工程チャンバー45は、前記移送通路の一側面にのみ多層に配列される構成で、やはり一側面にウェハの待機場所であるロードロックチャンバー43が連結される。
また、前記ロードロックチャンバー43は、内部に前記ウェハ移送装置52からウェハを引受け、これを前記工程チャンバーに移送するようにウェハが安着される移送アーム及び前記移送アームを移動させるチャンバー内移送装置が設置され、移送通路側の一面と工程チャンバー側の一面にそれぞれウェハの出入が可能で、選択的な開閉が可能なゲートが形成される。
ここで、本実施例による前記ウェハ移送装置52の構成は、第1実施例や第2実施例と実質的に同じであり、前記工程チャンバー45及びロードロックチャンバー43が前記移送通路の一側面にのみ配置されているので、前記ウェハ移送装置52は前記カセットステージ42でウェハを真空吸着して90度水平回転した後、一側の方向にのみ前記ウェハをローディングするようになるという点で異なる。
また、前記ウェハ移送装置52は、工程が行われる前のウェハを積載したカセットが安着される第1カセットステージ60で前記ウェハを前記工程チャンバー45に移送し、前記工程チャンバーで工程を終えたウェハを次の工程のためのウェハの移送が便利な位置に設置された第2カセットステージ70に移送する。
即ち、このような第2カセットステージ70は、図10に図示されるように前記工程チャンバー45及びロードロックチャンバー43が設置された移送通路の一側方向に対向する方向に設置されて工程を終えたウェハが前記他工程設備20に供給されることが容易であるように形成される。
従って、本発明の第3実施例の半導体素子製造用エッチング設備のマルチチャンバーシステムによると、半導体製造ラインを設置するとき、多様な形態と面積を有する各種設備を清浄室に設置し、残りの清浄室の空き地に効果的に配置して空間効率を高める利点がある。
以上、本発明は記載された具体例に対してのみ詳しく説明されたが、本発明の技術思想範囲内で多様な変形及び修正が可能であることは当業者にとって明白なことであり、このような変形及び修正が添付された特許請求の範囲に属することは当然なことである。
1 ウェハ
10 集中型マルチチャンバーシステム
11、41 カセット
12、42 カセットステージ
13、43 ロードロックチャンバー
14、44 チャンバー内移送装置
15、45 工程チャンバー
16 中央チャンバー
17 連結ロードロックチャンバー
20 他工程設備
40 直列型マルチチャンバーシステム
46、47、48、49、50、51 ゲート
52 ウェハ移送装置
53 真空吸着移送アーム
54 移送アーム
60 第1カセットステージ
62 第1ウェハ移送装置
70 第2カセットステージ
72 第2ウェハ移送装置
w、W 設備幅

Claims (9)

  1. 長方形のウエハー移送チャンバーと隣接して前記ウエハー移送チャンバーと分離されたカセットステージ上に第1ウエハーを提供する段階と、
    前記第1ウエハーを前記カセットステージからロードロックチャンバーに前記長方形のウエハー移送チャンバーに位置する移送メカニズムによって移送するが、前記ロードロックチャンバーは、前記ウエハー移送チャンバーと隣接して前記ウエハー移送チャンバーの長辺に沿って平行に整列され前記長方形のウエハー移送チャンバーは前記カセットステージと前記ロードロックチャンバーとの間で非真空で前記第1ウエハーの移送のための空間を提供する段階と、
    前記ロードロックチャンバーに真空圧を提供する段階と、
    前記第1ウエハーを前記ロードロックチャンバーに配置された移送アームを用いて前記ロードロックチャンバーから前記長方形のウエハー移送チャンバーの長辺に平行に整列された多数個の工程チャンバーのうち1つの工程チャンバーに直接的に移送するが、前記ロードロックチャンバーは前記移送アームを移動させるための内部移送装置を含み、ゲートは前記長方形のウエハー移送チャンバーの一辺と前記工程チャンバーのうち1つの工程チャンバーの一辺上にそれぞれ形成され、前記ゲートは選択的に開閉され前記第1ウエハーの通過を許容する段階と、
    前記工程チャンバーのうち前記1つの工程チャンバーに高真空圧を提供する段階と、
    前記工程チャンバーのうち、前記1つの工程チャンバーで前記第1ウエハーを加工する段階と、を含み、
    前記ロードロックチャンバーは前記ウエハー移送チャンバーから移送された多数個のウエハーを収容するように構成されたことを特徴とするマルチ−チャンバーシステムで半導体素子を製造する方法。
  2. 前記長方形のウエハー移送チャンバーを通じて前記移送メカニズムによって第2ウエハーを前記カセットステージから前記第1ウエハーの隣の領域に移送させる段階を更に含むが、前記長方形のウエハー移送チャンバーは前記カセットステージと前記ロードロックチャンバーとの間で非真空で前記第2ウエハーの移送のための空間を提供し、前記ウエハーは前記長方形のウエハー移送チャンバーに平行に整列されることを特徴とする請求項1記載のマルチ−チャンバーシステムで半導体素子を製造する方法。
  3. 前記ウエハーを加工する段階は、前記ウエハーをエッチングするか、またはアッシングする段階を含むことを特徴とする請求項1記載のマルチ−チャンバーシステムで半導体素子を製造する方法。
  4. 長方形のウエハー移送チャンバーと隣接して前記ウエハー移送チャンバーと分離されたカセットステージ上に第1ウエハーを提供する段階と、
    前記第1ウエハーを前記カセットステージからロードロックチャンバーに前記長方形のウエハー移送チャンバーに位置する移送メカニズムによって移送するが、前記長方形のウエハー移送チャンバーは前記カセットステージと前記ロードロックチャンバーとの間で非真空で前記第1ウエハーの移送のための空間を提供する段階と、
    前記長方形のウエハー移送チャンバーを通じて前記移送メカニズムによって第2ウエハーを前記カセットステージから前記第1ウエハーの隣の領域に移送するが、前記移送チャンバーは前記カセットステージと前記ロードロックチャンバーとの間で非真空で前記第2ウエハーの移送のための空間を提供し、前記第1及び第2ウエハーは前記ウエハー移送チャンバーに平行に整列される段階と、
    前記ロードロックチャンバーに真空圧を提供する段階と、
    前記第1ウエハーを前記ロードロックチャンバーに配置された移送アームを用いて前記ロードロックチャンバーから前記長方形のウエハー移送チャンバーの長辺に平行に整列された多数個の工程チャンバーのうち1つの工程チャンバーに直接的に移送するが、前記ロードロックチャンバーは前記移送アームを移動させるための内部移送装置を含み、ゲートは前記長方形のウエハー移送チャンバーの一辺と前記工程チャンバーのうち、前記1つの工程チャンバーの一辺上にそれぞれ形成され、前記ゲートは選択的に開閉され前記ウエハーの通過を許容する段階と、
    前記工程チャンバーに高真空圧を提供する段階と、
    前記工程チャンバーのうち前記1つの工程チャンバーで前記第1ウエハーをエッチングする段階と、を含み、
    前記ロードロックチャンバーは前記ウエハーを収容するように構成されたことを特徴とするマルチ−チャンバーシステムで半導体素子を製造する方法。
  5. 多数個の工程チャンバーのうち1つの工程チャンバーで真空圧下でウエハーをエッチングする段階と、
    前記多数個の工程チャンバーのうち前記1つの工程チャンバーの一辺上に形成された第1ゲートを通じて前記ロードロックチャンバーに配置される移送アームによって前記ウエハーを前記工程チャンバーからロードロックチャンバーに移送するが、前記ロードロックチャンバーは多数個のウエハーを収容するように構成され、前記移送アームと前記第1ゲートを移動させるための内部移送装置を含む段階と、
    前記第1ウエハーを前記ロードロックチャンバーから前記工程チャンバーのうち前記1つの工程チャンバーと逆方向に長方形のウエハー移送チャンバーに位置する移送メカニズムによって前記ウエハー移送チャンバーを通過して非真空で移送するが、前記工程チャンバーは前記長方形のウエハー移送チャンバーに沿って平行に配列され、前記ウエハー移送チャンバーは前記ウエハーの移送のための空間を提供する段階と、
    を含むことを特徴とするマルチ−チャンバーシステムで半導体素子を製造する方法。
  6. カセットステージ上に第1ウエハーを提供する段階と、
    前記カセットステージから分離され隣接した長方形のウエハー移送チャンバーを非真空に維持するが、前記移送チャンバーは前記第1ウエハーの移送のための空間を提供する段階と、
    前記第1ウエハーを前記移送チャンバーを通じて前記長方形の移送チャンバーに位置する移送メカニズムによって前記カセットステージから前記ウエハー移送チャンバーに隣接したロードロックチャンバーに移送するが、前記ロードロックチャンバーは前記移送チャンバーの長辺と平行に整列される段階と、
    前記ロードロックチャンバーに低真空圧を提供する段階と、
    前記第1ウエハーを前記ロードロックチャンバーに設置された移送アームを用いて前記ロードロックチャンバーから前記ロードロックチャンバーに隣接した多数個の工程チャンバーのうち1つの工程チャンバーに直接的に移送する段階と、
    前記多数個の工程チャンバーのうち前記1つの工程チャンバーで前記第1ウエハーをエッチングする段階と、
    前記第1ウエハーを前記多数個の工程チャンバーのうち前記1つの工程チャンバーから前記ロードロックチャンバーに前記移送アームを使用して移送する段階と、
    前記第1ウエハーを前記工程チャンバーのうち前記1つの工程チャンバーと逆方向に前記移送メカニズムによって前記移送チャンバーを通じて非真空に移送する段階と、を含み、
    前記ロードロックチャンバーは前記移送メカニズムから多数個のウエハーを収容するように構成されたことを特徴とするマルチ−チャンバーシステムで半導体素子を製造する方法。
  7. 前記第1ウエハーをエッチングする前に、前記多数個の工程チャンバーのうち前記1つの工程チャンバーに高真空圧を提供する段階を更に含むことを特徴とする請求項6記載のマルチ−チャンバーシステムで半導体素子を製造する方法。
  8. 前記工程チャンバーは、前記ウエハー移送チャンバーの長辺と平行に整列されたことを特徴とする請求項6記載のマルチ−チャンバーシステムで半導体素子を製造する方法。
  9. 前記長方形のウエハー移送チャンバーを通じて前記移送メカニズムによって第2ウエハーを前記カセットステージから前記第1ウエハーの隣の領域に移送させる段階を更に含むが、前記長方形のウエハー移送チャンバーは、前記カセットステージと前記ロードロックチャンバーとの間で非真空に前記第2ウエハーの移送のための空間を提供し、前記第1及び第2ウエハーは前記長方形のウエハー移送チャンバーに平行に整列されることを特徴とする請求項6記載のマルチ−チャンバーシステムで半導体素子を製造する方法。
JP2009062953A 1998-04-21 2009-03-16 マルチ−チャンバーシステムで半導体素子を製造する方法 Expired - Lifetime JP5134575B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1019980014228A KR100265287B1 (ko) 1998-04-21 1998-04-21 반도체소자 제조용 식각설비의 멀티챔버 시스템
KR1998P14228 1998-04-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006270706A Division JP2007036284A (ja) 1998-04-21 2006-10-02 半導体装置素子の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012137348A Division JP5491579B6 (ja) 1998-04-21 2012-06-18 マルチ−チャンバーシステムで半導体素子を製造する方法

Publications (2)

Publication Number Publication Date
JP2009147368A true JP2009147368A (ja) 2009-07-02
JP5134575B2 JP5134575B2 (ja) 2013-01-30

Family

ID=19536537

Family Applications (3)

Application Number Title Priority Date Filing Date
JP10293387A Pending JPH11307614A (ja) 1998-04-21 1998-10-15 半導体素子製造用エッチング設備のマルチチャンバーシステム
JP2006270706A Pending JP2007036284A (ja) 1998-04-21 2006-10-02 半導体装置素子の製造方法
JP2009062953A Expired - Lifetime JP5134575B2 (ja) 1998-04-21 2009-03-16 マルチ−チャンバーシステムで半導体素子を製造する方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP10293387A Pending JPH11307614A (ja) 1998-04-21 1998-10-15 半導体素子製造用エッチング設備のマルチチャンバーシステム
JP2006270706A Pending JP2007036284A (ja) 1998-04-21 2006-10-02 半導体装置素子の製造方法

Country Status (5)

Country Link
US (5) US6503365B1 (ja)
JP (3) JPH11307614A (ja)
KR (1) KR100265287B1 (ja)
DE (2) DE19901426B4 (ja)
TW (1) TW502284B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312153B2 (en) 2010-08-06 2016-04-12 Tokyo Electron Limited Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6919001B2 (en) * 2000-05-01 2005-07-19 Intevac, Inc. Disk coating system
KR20070037517A (ko) * 2000-09-15 2007-04-04 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6790286B2 (en) * 2001-01-18 2004-09-14 Dainippon Screen Mfg. Co. Ltd. Substrate processing apparatus
KR100398877B1 (ko) * 2001-05-09 2003-09-19 삼성전자주식회사 현상기 소음 및 진동방지구조를 갖는 화상형성장치
KR100422467B1 (ko) * 2001-05-09 2004-03-12 삼성전자주식회사 반도체장치 제조설비
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
JP4078813B2 (ja) * 2001-06-12 2008-04-23 ソニー株式会社 成膜装置および成膜方法
US20030022498A1 (en) * 2001-07-27 2003-01-30 Jeong In Kwon CMP system and method for efficiently processing semiconductor wafers
KR100440762B1 (ko) * 2001-08-30 2004-07-21 주성엔지니어링(주) 적층식 다중 클러스터 장비
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
JP2003183728A (ja) * 2001-12-14 2003-07-03 Jh Corp 真空熱処理装置
KR100454393B1 (ko) * 2001-12-18 2004-10-26 코스텍시스템(주) 복층 수직형 매엽식 반도체 웨이퍼 처리장치
JP2003293134A (ja) * 2002-04-09 2003-10-15 Tdk Corp 薄膜形成装置および方法、および当該装置を用いた電子部品の製造方法
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
WO2004010476A2 (en) * 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US6939403B2 (en) * 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
US6822244B2 (en) * 2003-01-02 2004-11-23 Loma Linda University Medical Center Configuration management and retrieval system for proton beam therapy system
KR100566697B1 (ko) * 2003-08-05 2006-04-03 삼성전자주식회사 반도체 소자 제조용 멀티 챔버 시스템 및 이를 이용한반도체 소자의 제조방법
JP2005101584A (ja) * 2003-08-28 2005-04-14 Suss Microtec Test Systems Gmbh 基板を検査する装置
CN101894778A (zh) * 2003-08-29 2010-11-24 交叉自动控制公司 用于半导体处理的方法和装置
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
KR100578134B1 (ko) * 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
EP1684951B1 (en) 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7274429B2 (en) * 2003-12-10 2007-09-25 Asml Netherlands B.V. Integrated lithographic fabrication cluster
CN101866828B (zh) * 2004-06-02 2013-03-20 应用材料公司 电子装置制造室及其形成方法
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US7611322B2 (en) * 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
SG163544A1 (en) * 2005-03-30 2010-08-30 Panasonic Corp Impurity introducing apparatus and impurity introducing method
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
KR100758298B1 (ko) * 2006-03-03 2007-09-12 삼성전자주식회사 기판 처리 장치 및 방법
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8741096B2 (en) * 2006-06-29 2014-06-03 Wonik Ips Co., Ltd. Apparatus for semiconductor processing
KR100829920B1 (ko) * 2006-07-25 2008-05-16 세메스 주식회사 복층 구조의 반도체 제조 설비 및 그의 웨이퍼 이송 방법
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
KR100717990B1 (ko) * 2007-01-16 2007-05-14 (주)인터노바 반도체 자재 처리를 위한 이송 시스템
US20080219807A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
DE102007022431A1 (de) 2007-05-09 2008-11-13 Leybold Optics Gmbh Behandlungssystem für flache Substrate
JP5006122B2 (ja) * 2007-06-29 2012-08-22 株式会社Sokudo 基板処理装置
JP5128918B2 (ja) 2007-11-30 2013-01-23 株式会社Sokudo 基板処理装置
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus
JP5179170B2 (ja) 2007-12-28 2013-04-10 株式会社Sokudo 基板処理装置
JP5001828B2 (ja) 2007-12-28 2012-08-15 株式会社Sokudo 基板処理装置
JP5405235B2 (ja) * 2008-09-05 2014-02-05 Ntn株式会社 生産設備及び生産システム
US20100116205A1 (en) * 2008-11-07 2010-05-13 Jacob Newman Process equipment architecture
JP4920667B2 (ja) * 2008-12-03 2012-04-18 アドヴァンスド・ディスプレイ・プロセス・エンジニアリング・コーポレーション・リミテッド 基板処理装置
US7897525B2 (en) * 2008-12-31 2011-03-01 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US20100162955A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Systems and methods for substrate processing
US20100162954A1 (en) * 2008-12-31 2010-07-01 Lawrence Chung-Lai Lei Integrated facility and process chamber for substrate processing
US8110511B2 (en) * 2009-01-03 2012-02-07 Archers Inc. Methods and systems of transferring a substrate to minimize heat loss
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101146981B1 (ko) * 2009-06-02 2012-05-22 삼성모바일디스플레이주식회사 증착 장치 및 그 제어 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
CN103003916A (zh) * 2010-05-07 2013-03-27 纳米半导体(株) 集成的半导体处理设备
CN102569011A (zh) * 2010-12-13 2012-07-11 北京北方微电子基地设备工艺研究中心有限责任公司 晶片处理系统和晶片处理方法
JP5395841B2 (ja) * 2011-04-08 2014-01-22 美津濃株式会社 シューズのアッパー構造
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW202203356A (zh) * 2012-02-10 2022-01-16 美商布魯克斯自動機械公司 基材處理設備
US9293317B2 (en) * 2012-09-12 2016-03-22 Lam Research Corporation Method and system related to semiconductor processing equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093489A (ja) * 2012-11-06 2014-05-19 Tokyo Electron Ltd 基板処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20150064911A1 (en) 2013-08-27 2015-03-05 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and storage medium
WO2015066624A1 (en) 2013-11-04 2015-05-07 Applied Materials, Inc Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9818633B2 (en) * 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105870008B (zh) * 2016-04-18 2018-10-23 武汉华星光电技术有限公司 蚀刻设备及蚀刻方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
JP2018006534A (ja) * 2016-06-30 2018-01-11 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216623B (zh) * 2019-07-10 2022-12-23 长鑫存储技术有限公司 刻蚀机台及其控制方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR102571741B1 (ko) * 2020-09-18 2023-08-25 세메스 주식회사 기판 처리 장치 및 이를 구비하는 기판 처리 시스템
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11598579B2 (en) 2021-07-01 2023-03-07 King Yuan Dar Metal Enterprise Co., Ltd. Continuous working system
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230317478A1 (en) * 2022-03-11 2023-10-05 Applied Materials, Inc. Modular multi-chamber processing tool having link chamber for ultra high vaccum processes
CN114777427B (zh) * 2022-05-10 2023-11-17 星恒电源股份有限公司 一种方形叠片锂离子电池电芯的干燥方法
KR102510315B1 (ko) 2022-12-27 2023-03-15 주식회사 우원기술 로드락 장치 및 이를 이용한 반도체 제조 시스템

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH06163669A (ja) * 1992-11-20 1994-06-10 Tokyo Electron Ltd 搬送装置
JPH11307614A (ja) * 1998-04-21 1999-11-05 Samsung Electronics Co Ltd 半導体素子製造用エッチング設備のマルチチャンバーシステム

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JPH03274746A (ja) * 1990-03-24 1991-12-05 Sony Corp マルチチャンバ装置
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
US5273244A (en) * 1990-10-31 1993-12-28 Tokyo Electron Sagami Limited Plate-like member conveying apparatus
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3238432B2 (ja) * 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
US5376212A (en) * 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
JP3030160B2 (ja) * 1992-04-28 2000-04-10 東京エレクトロン株式会社 真空処理装置
JPH06136669A (ja) 1992-10-27 1994-05-17 Yuko Teramura ウールの植物染色法
JPH06188229A (ja) * 1992-12-16 1994-07-08 Tokyo Electron Yamanashi Kk エッチングの後処理方法
US5431529A (en) * 1992-12-28 1995-07-11 Brooks Automation, Inc. Articulated arm transfer device
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
TW276353B (ja) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
US5565034A (en) * 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
US5518542A (en) * 1993-11-05 1996-05-21 Tokyo Electron Limited Double-sided substrate cleaning apparatus
JPH07211763A (ja) 1994-01-18 1995-08-11 Hitachi Ltd マルチチャンバ装置およびその制御方法
JPH07297194A (ja) * 1994-04-25 1995-11-10 Sony Corp マルチチャンバー装置及び半導体装置の製造方法
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JPH081923A (ja) 1994-06-21 1996-01-09 Sanyo Electric Co Ltd 立体静止画像のプリント方法
KR0129582B1 (ko) * 1994-06-23 1998-04-06 김주용 다중 기판 전달 장치
TW295677B (ja) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
TW309503B (ja) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100244041B1 (ko) * 1995-08-05 2000-02-01 엔도 마코토 기판처리장치
US5788868A (en) * 1995-09-04 1998-08-04 Dainippon Screen Mfg. Co., Ltd. Substrate transfer method and interface apparatus
JP3218425B2 (ja) * 1996-03-25 2001-10-15 東京エレクトロン株式会社 処理方法及び処理装置
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
KR100234539B1 (ko) * 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH1145929A (ja) 1997-05-30 1999-02-16 Sharp Corp プラズマ処理装置
KR19990025706A (ko) 1997-09-13 1999-04-06 윤종용 마이크로카드형 패키지 및 그 제조방법
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6722834B1 (en) * 1997-10-08 2004-04-20 Applied Materials, Inc. Robot blade with dual offset wafer supports
US6136211A (en) * 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6315512B1 (en) * 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
JPH11312640A (ja) 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
US6358128B1 (en) 1999-03-05 2002-03-19 Ebara Corporation Polishing apparatus
US6606210B1 (en) 1999-04-21 2003-08-12 Seagate Technology Llc Intelligent sector recovery algorithm
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6939403B2 (en) 2002-11-19 2005-09-06 Blue29, Llc Spatially-arranged chemical processing station
WO2005034227A1 (en) * 2003-10-08 2005-04-14 Semes Co., Ltd Facility with multi-storied process chamber for cleaning substrates and method for cleaning substrates using the facility
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US20060182535A1 (en) 2004-12-22 2006-08-17 Mike Rice Cartesian robot design
WO2006115745A1 (en) 2005-04-22 2006-11-02 Applied Materials, Inc. Cartesian robot cluster tool architecture
US7628574B2 (en) 2006-03-28 2009-12-08 Arcus Technology, Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US20080166210A1 (en) * 2007-01-05 2008-07-10 Applied Materials, Inc. Supinating cartesian robot blade
US20080206022A1 (en) * 2007-02-27 2008-08-28 Smith John M Mult-axis robot arms in substrate vacuum processing tool
US20090056116A1 (en) * 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63252439A (ja) * 1986-12-19 1988-10-19 アプライド マテリアルズインコーポレーテッド 多チャンバの統合処理システム
JPH06163669A (ja) * 1992-11-20 1994-06-10 Tokyo Electron Ltd 搬送装置
JPH11307614A (ja) * 1998-04-21 1999-11-05 Samsung Electronics Co Ltd 半導体素子製造用エッチング設備のマルチチャンバーシステム
JP2007036284A (ja) * 1998-04-21 2007-02-08 Samsung Electronics Co Ltd 半導体装置素子の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9312153B2 (en) 2010-08-06 2016-04-12 Tokyo Electron Limited Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element

Also Published As

Publication number Publication date
JP5491579B2 (ja) 2014-05-14
JP5134575B2 (ja) 2013-01-30
US6503365B1 (en) 2003-01-07
DE19901426A1 (de) 1999-11-04
KR19990080759A (ko) 1999-11-15
US20050236092A1 (en) 2005-10-27
US6930050B2 (en) 2005-08-16
DE19901426B4 (de) 2008-04-03
TW502284B (en) 2002-09-11
US20090203211A1 (en) 2009-08-13
JPH11307614A (ja) 1999-11-05
KR100265287B1 (ko) 2000-10-02
JP2007036284A (ja) 2007-02-08
US7776226B2 (en) 2010-08-17
US20090291558A1 (en) 2009-11-26
DE19964479B4 (de) 2011-03-31
JP2012186506A (ja) 2012-09-27
US20030073323A1 (en) 2003-04-17

Similar Documents

Publication Publication Date Title
JP5134575B2 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
US6641350B2 (en) Dual loading port semiconductor processing equipment
US6979168B2 (en) Method and apparatus for transferring substrate
US6235634B1 (en) Modular substrate processing system
JPH0936198A (ja) 真空処理装置およびそれを用いた半導体製造ライン
JPH04190840A (ja) 真空処理装置
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
US20060026857A1 (en) Multi-chambers system having compact installation set-up for an etching facility for semiconductor device manufacturing
WO2001040085A1 (en) Small footprint carrier front end loader
CN110164794B (zh) 基板输送装置和基板处理系统
JP5388279B2 (ja) 基板搬送処理装置及び方法
KR100598917B1 (ko) 매엽식 기판 세정 장치 및 방법
JP2873761B2 (ja) 半導体製造装置
JP5491579B6 (ja) マルチ−チャンバーシステムで半導体素子を製造する方法
KR101039231B1 (ko) 기판 제조 장치
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
JP3469230B2 (ja) 真空処理装置
KR100730736B1 (ko) 반도체 소자 제조용 장치
KR20040003477A (ko) 반도체소자 제조용 멀티챔버 설비
JP2003086650A (ja) 真空処理装置およびそれを用いた半導体製造ラインおよび試料の真空処理方法
JPH1012701A (ja) 半導体製造設備
JP2000223561A (ja) 半導体ウエハカセット用ストッカー
JP2003115524A (ja) 試料の真空処理方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111129

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120618

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20120625

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20120706

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121109

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151116

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term