KR100758298B1 - 기판 처리 장치 및 방법 - Google Patents

기판 처리 장치 및 방법 Download PDF

Info

Publication number
KR100758298B1
KR100758298B1 KR1020060020304A KR20060020304A KR100758298B1 KR 100758298 B1 KR100758298 B1 KR 100758298B1 KR 1020060020304 A KR1020060020304 A KR 1020060020304A KR 20060020304 A KR20060020304 A KR 20060020304A KR 100758298 B1 KR100758298 B1 KR 100758298B1
Authority
KR
South Korea
Prior art keywords
chamber
process chamber
transfer
sidewall
substrate
Prior art date
Application number
KR1020060020304A
Other languages
English (en)
Inventor
김기상
최규상
서병규
박순천
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060020304A priority Critical patent/KR100758298B1/ko
Priority to US11/711,124 priority patent/US7988812B2/en
Application granted granted Critical
Publication of KR100758298B1 publication Critical patent/KR100758298B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판을 처리하는 장치를 제공한다. 기판 처리 장치는 삼각형 형상의 기판 이송 모듈을 가진다. 기판 이송 모듈의 제 1 측벽을 따라 용기가 놓이는 로드 포트들이 제공되고, 기판 이송 모듈의 제 2 측벽 및 제 3 측벽에는 처리실이 제공된다. 처리실은 제 2 측벽 및 제 3 측벽을 따라 배치되는 복수의 챔버들을 가진다. 제 2 측벽 및 제 3 측벽 각각에 이송 챔버가 제공되고, 공정 챔버들 중 하나의 챔버는 제 2 측벽 및 제 3 측벽에 제공된 이송 챔버로부터 기판을 이송받도록 배치되고, 다른 하나의 챔버는 제 2 측벽에 위치된 이송 챔버로부터 기판을 이송받도록 배치되고, 또 다른 하나의 챔버는 제 3 측벽에 위치된 이송 챔버로부터 기판을 이송받도록 배치된다.
삼각형, 기판 이송 모듈, 이송 챔버, 공정 챔버, 식각 챔버, 애싱 챔버

Description

기판 처리 장치 및 방법{APPARATUS AND METHOD FOR TREATING SUBSTRATES }
도 1은 일반적인 기판 처리 장치를 개략적으로 보여주는 도면;
도 2는 본 발명의 바람직한 일 실시예에 따른 기판 처리 장치의 구조를 개략적으로 보여주는 도면;
도 3은 모듈 로봇의 일 예를 개략적으로 보여주는 도면;
도 4는 제 1 챔버 로봇의 평면도;
도 5는 제 1 챔버 로봇의 종단면도;
도 6과 도 7은 각각 도 2의 장치에서 웨이퍼 이송 경로를 보여주는 도면들;
도 8은 제 1 챔버 로봇을 사용하여 제 1 공정과 제 2 공정이 수행되는 과정의 일 예를 개략적으로 보여주는 도면;
도 9는 본 발명의 기판 처리 장치의 다른 예를 보여주는 도면;
도 10은 본 발명의 애싱 챔버의 구조를 개략적으로 보여주는 도면;
도 11은 도 2의 장치에서 웨이퍼들의 이송 경로를 개략적으로 보여주는 도면; 그리고
도 12는 본 발명의 기판 처리 장치의 또 다른 예를 보여주는 도면이다.
* 도면의 주요 부분에 대한 부호의 설명 *
10 : 기판 이송 모듈 12, 14, 16 : 제 1, 2, 3 측벽
20 : 로드 포트 30 : 처리실
31 - 35 : 제 1 - 5 공정 챔버 36, 37 : 제 1, 2 이송 챔버
40 : 게이트 밸브 50 : 모듈 로봇
60, 70 : 제 1, 2 챔버 로봇
본 발명은 반도체 기판을 처리하는 장치에 관한 것이다.
반도체 소자 제조를 위해 반도체 기판인 웨이퍼 상에는 증착, 식각, 애싱 등과 같은 다양한 공정이 수행된다. 도 1은 일반적으로 사용되고 있는 복수의 챔버들이 제공된 기판 처리 장치의 일 예를 보여준다. 도 1을 참조하면, 처리실은 육각 형상의 트랜스퍼 챔버(920), 트랜스퍼 챔버(920)의 주위에 배치된 복수의 공정 챔버들(942, 944), 그리고 트랜스퍼 챔버(920)의 전방에 배치된 로드록 챔버들(960)을 가진다. 처리실의 전방에는 설비 전방 단부 모듈(equipment front end module)(980)이 제공된다. 설비 전방 단부 모듈(980)은 로드 포트(990)에 놓인 용기(F)와 처리실 간에 웨이퍼들을 이송한다. 웨이퍼는 설비 전방 단부 모듈(980) 내에 제공된 로봇(982)에 의해 내부가 진공으로 유지된 로드록 챔버(960)에 수납되며, 이후에 트랜스퍼 챔버(920)에 제공된 로봇(922)에 의해 공정 챔버들(942, 944)로 이송된다.
일반적인 기판 처리 장치를 사용하여 공정 진행시 웨이퍼가 용기(F), 설비 전방 단부 모듈(980), 로드록 챔버(960), 트랜스퍼 챔버(920), 그리고 공정 챔버(942, 944) 순으로 순차적으로 이동되기 때문에 웨이퍼가 용기(F)로부터 공정 챔버(942, 944)로 이송되기까지 많은 시간이 소요된다. 또한, 일반적인 기판 처리 장치는 설비 전방 단부 모듈(980), 로드록 챔버(960), 그리고 트랜스퍼 챔버(920)가 각각 구비하므로, 설비 면적이 커진다.
또한, 일반적인 기판 처리 장치에서 순차적으로 일련의 공정들이 수행되는 경우, 일련의 공정을 수행하는 공정 챔버들이 쌍을 이루도록 제공된다. 즉, 기판 처리 장치 내에서 제 1 공정과 제 2 공정이 순차적으로 이루어질 때, 기판 처리 장치는 제 1 공정을 수행하는 공정 챔버(942)와 제 2 공정을 수행하는 공정 챔버(944)를 동일한 수로 구비한다. 따라서 예컨대, 제 2 공정에 소요되는 시간이 제 1 공정에 소요되는 시간에 비해 짧은 경우, 제 2 공정 수행을 위한 공정 챔버의 가동률이 저하되며, 많은 공정 챔버로 인해 설비 면적이 커진다.
본 발명은 효율적으로 기판을 처리할 수 있는 구조를 가지는 기판 처리 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 작은 설비 면적을 가지는 기판 처리 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 공정에 소요되는 시간을 단축할 수 있는 구조를 가지는 기판 처리 장치를 제공하는 것을 목적으로 한다.
또한, 본 발명은 공정 챔버의 가동률을 향상시킬 수 있는 구조를 가지는 기 판 처리 장치를 제공하는 것을 목적으로 한다.
본 발명은 기판을 처리하는 장치를 제공한다. 상기 장치는 처리실, 기판들이 수용된 용기가 놓이는 로드 포트, 그리고 상기 로드 포트와 상기 처리실 사이에 위치되며 상기 로드 포트와 상기 처리실 간에 기판을 이송하는 로봇이 제공된 기판 이송 모듈을 포함한다. 상기 기판 이송 모듈은 제 1 측벽, 상기 제 1 측벽의 일단 또는 이와 인접한 영역에서 상기 제 1 측벽과 경사지게 제공되는 제 2 측벽, 그리고 상기 제 1 측벽의 타단 또는 이와 인접한 영역에서 상기 제 1 측벽과 경사지게 제공되는 제 3 측벽을 포함하며, 상기 로드 포트는 상기 제 1 측벽을 따라 제공되고, 상기 처리실은 상기 제 2 측벽 및 상기 제 3 측벽을 따라 배치되는 복수의 챔버들을 포함한다.
일 예에 의하면, 상기 제 1 측벽, 상기 제 2 측벽, 그리고 상기 제 3 측벽은 삼각형 형상으로 제공된다. 제 1 측벽과 상기 제 2 측벽은 수직하게 제공될 수 있다. 또한, 상기 처리실의 챔버들은 "ㄱ" 자 형상으로 배열될 수 있다.
일 예에 의하면, 상기 처리실은 상기 제 2 측벽의 일측에 제공되며 기판을 이송하는 로봇이 설치된 제 1 이송 챔버, 상기 제 3 측벽의 일측에 제공되며 기판을 이송하는 로봇이 설치된 제 2 이송 챔버, 상기 제 1 이송 챔버와 대향되는 측벽 및 상기 제 2 이송 챔버와 대향되는 측벽을 가지는 제 1 공정 챔버, 상기 제 1 이송 챔버를 기준으로 상기 제 1 공정 챔버와 대향되는 위치에 제공되는 제 2 공정 챔버, 그리고 상기 제 2 이송 챔버를 기준으로 상기 제 1 공정 챔버와 대향되는 위치에 제공되는 제 3 공정 챔버를 포함한다.
상기 제 1 이송 챔버와 마주보는 제 1 공정 챔버의 측벽 및 상기 제 2 이송 챔버와 마주보는 제 1 공정 챔버의 측벽 각각에는 기판이 출입되는 출입구가 제공될 수 있다.
일 예에 의하면, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버에서 수행되는 공정은 상기 제 1 공정 챔버에서 수행되는 공정과 상이한 공정을 수행하며, 상기 기판 처리 장치는 상기 제 1 이송 챔버 및 상기 제 2 이송 챔버에 제공된 로봇들을 제어하는 제어기를 더 포함하고, 상기 제어기는 상기 제 2 공정 챔버 및 상기 제 3 공정 챔버 각각에서 공정이 수행된 기판들이 제 1 공정 챔버로 순차적으로 이송되도록 상기 로봇들을 제어하거나 상기 제 1 공정 챔버에서 공정이 수행된 기판들이 제 2 공정 챔버 및 제 3 공정 챔버로 순차적으로 이송되도록 제어한다.
일 예에 의하면, 상기 처리실은 2개의 공정을 연속적으로 수행하며, 상기 제 1 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 긴 공정을 수행하는 챔버이다. 상기 제 1 공정 챔버는 플라즈마를 이용하여 애싱 공정을 수행하되, 상기 제 1 공정 챔버는 공정가스로부터 플라즈마를 발생시키는 유도결합 플라즈마 소스를 가지며, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 식각 공정을 수행할 수 있다.
일 예에 의하면, 상기 처리실은 상기 제 1 이송 챔버를 기준으로 상기 이송 모듈과 대향되는 위치에 제공된 제 4 공정 챔버와 상기 제 2 이송 챔버를 기준으로 상기 이송 모듈과 대향되는 위치에 제공된 제 5 공정 챔버를 더 포함한다. 상기 처리실은 연속적으로 공정이 이루어지는 2개의 공정을 수행하며, 상기 제 1 공정 챔버는 2개의 공정 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버 내지 제 5 공정 챔버는 2개의 공정 중 비교적 소요 시간이 긴 공정을 수행하는 챔버일 수 있다. 예컨대, 상기 제 1 공정 챔버는 유도결합 플라즈마 소스를 사용하여 애싱 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버 내지 상기 제 5 공정 챔버는 식각 공정을 수행하는 챔버일 수 있다.
선택적으로, 상기 처리실은 연속적으로 공정이 이루어지는 2개의 공정을 수행하며, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고 상기 제 1 공정 챔버, 상기 제 4 공정 챔버, 그리고 상기 제 5 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 긴 공정을 수행하는 챔버일 수 있다. 예컨대, 상기 제 1 공정 챔버, 상기 제 4 공정 챔버, 그리고 상기 제 5 공정 챔버는 식각 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 유도결합 플라즈마 소스를 사용하여 애싱 공정을 수행하는 챔버일 수 있다.
일 예에 의하면, 기판 이송 모듈과 마주보는 상기 제 1 이송 챔버와 상기 제 2 이송 챔버의 측벽에는 기판이 출입되는 출입구가 제공되고, 상기 기판 처리 장치는 상기 출입구를 개폐하는 게이트 밸브를 더 포함하며, 상기 제 1 이송 챔버와 상기 제 2 이송 챔버에는 공정 진행시 그 내부를 기설정된 진공압으로 유지하는 진공라인이 연결된다.
일 예에 의하면, 상기 이송 챔버에 제공된 로봇과 상기 이송 모듈에 제공된 로봇은 직접 기판을 주고받도록 형상 지어진다. 예컨대, 상기 이송 챔버에 제공된 로봇과 상기 이송 모듈에 제공된 로봇 중 어느 하나는 'ㅡ'자 형상의 기판이 놓이는 블레이드를 가지고, 다른 하나는 'C'자 형상의 기판이 놓이는 블레이드를 가질 수 있다.
상기 제 1 이송 챔버 및 상기 제 2 이송 챔버에 제공된 로봇 각각은 하부 아암, 상기 하부 아암 상에서 회전되도록 결합되며 상기 하부 아암과는 독립적으로 구동되는 상부 아암, 그리고 상기 상부 아암 상에서 회전되도록 결합되며 상기 하부 아암 및 상기 상부 아암과 독립적으로 구동되는 핸드를 포함할 수 있다. 상기 핸드는 상기 상부아암 상에 회전되도록 결합되는 연결로드와 상기 연결로드의 양단에 각각 제공되며 기판이 놓여지는 블레이드들을 포함할 수 있다.
이하, 본 발명의 실시예를 첨부된 도면 도 2 내지 도 12를 참조하면서 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예로 인해 한정되는 것으로 해석돼서는 안 된다. 본 실시예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다.
도 2는 본 발명의 바람직한 일실시예에 따른 기판 처리 장치(1)를 개략적으로 보여주는 도면이다. 도 2를 참조하면, 기판 처리 장치(1)는 기판 이송 모듈(substrate transfer module)(10), 로드 포트(load port)(20), 그리고 처리실 (process room)(30)을 가진다. 웨이퍼들(W)은 용기(F)에 적재되어 기판 처리 장치(1)로 이동된다. 용기(F)는 오버헤드 트랜스퍼(overhead transfer) 등과 같은 이송 장치(도시되지 않음) 또는 작업자에 의해 로드 포트(20)에 놓인다. 용기(F)는 외부로부터 밀폐된 공간을 제공하도록 도어(도시되지 않음)를 가지는 전면 개방 일체형 포드(front open unified pod, FOUP)이 사용될 수 있다. 처리실(30)은 웨이퍼(W)에 대해 공정을 수행한다. 기판 이송 모듈(10)은 로드 포트(20)와 처리실(30) 사이에 배치되며, 로드 포트(20)에 놓여진 용기(F)와 처리실(30) 간에 웨이퍼(W)를 이송한다.
기판 이송 모듈(10)은 제 1 측벽(12), 제 2 측벽(14), 그리고 제 3 측벽(16)을 가진다. 제 1 측벽(12)은 대체로 일직선으로 제공된다. 제 2 측벽(14)은 제 1 측벽(12)의 일단 또는 이와 인접한 영역에서 제 1 측벽(12)과 경사지게 제공된다. 제 3 측벽(16)은 제 1 측벽(12)의 타단 또는 이와 인접한 영역에서 제 1 측벽(12)과 경사지게 제공된다. 제 3 측벽(16)은 제 2 측벽(14)과 반대방향으로 경사져 제 2 측벽(14)의 끝단과 제 3 측벽(16)의 끝단은 서로 만나거나 인접하게 위치된다. 제 2 측벽(14)이 제 1 측벽(12)의 일단으로부터 연장되고 제 3 측벽(16)이 제 1 측벽(12)의 타단으로부터 연장되며 제 2 측벽(14)과 제 3 측벽(16)의 끝단이 서로 만나는 경우, 상부에 바라볼 때 기판 이송 모듈(10)은 대체로 삼각형의 형상을 가진다.
기판 이송 모듈(10) 내에는 로봇(50)이 설치된다. 로봇(50)은 로드 포트(20) 상에 놓여진 용기(F)와 처리실(30) 간에 웨이퍼(W)를 직접 이송한다. 기판 이송 모 듈(10) 내 상단에는 외부로부터 청정 공기를 유입하는 팬필터 유닛(도시되지 않음)이 제공될 수 있다. 또한, 기판 이송 모듈(10) 내에는 용기(F)의 도어를 자동으로 개폐하는 도어 개폐기(door opener)(도시되지 않음)가 제공될 수 있다
로드 포트(20)는 제 1 측벽(12)의 일측에 위치된다. 로드 포트(20)는 하나 또는 복수개가 제공된다. 로드 포트(20)가 복수 개 제공되는 경우, 로드 포트들(20)은 제 1 측벽(12)을 따라 일렬로 배치된다. 처리실(30)은 복수의 공정 챔버들(31, 32, 33)과 이송 챔버들(36, 37)을 가진다. 공정 챔버들(31, 32, 33)과 이송 챔버들(35, 36)은 제 2 측벽(14)과 제 3 측벽(16)을 따라 배치된다. 일 예에 의하면, 처리실(30)은 3개의 공정 챔버들(31, 32, 33)과 2개의 이송 챔버들(36, 37)을 가진다. 제 1 공정 챔버(31)는 제 2 측벽(14)과 제 3 측벽(16)이 교차하는 점을 기준으로 기판 이송 모듈(10)과 대칭되도록 배치된다. 제 2 공정 챔버(32)는 제 1 측벽(12)과 인접한 영역에서 제 2 측벽(14)의 일측에 제공된다. 제 3 공정 챔버(33)는 제 1 측벽(12)과 인접한 영역에서 제 3 측벽(16)의 일측에 제공된다. 제 1 이송 챔버(36)는 제 1 공정 챔버(31)와 제 2 공정 챔버(32) 사이에 배치되도록 제 2 측벽(14)의 일측에 위치되고, 제 2 이송 챔버(37)는 제 1 공정 챔버(31)와 제 3 공정 챔버(33) 사이에 배치되도록 제 3 측벽(16)의 일측에 위치된다.
기판 이송 모듈(10)은 대체로 직각 이등변 삼각형의 형상으로 제공되며, 이 때 처리실(30) 내 챔버들(31, 32, 33, 36, 37)은 대체로 'ㄱ'자 형상으로 배치된다. 각각의 공정 챔버(31, 32, 33)와 이송 챔버(36, 37)는 상부에서 바라볼 때 대체로 직사각 형상을 가진다. 각각의 공정 챔버(31, 32, 33)는 상부에서 바라볼 때 대체로 정사각 형상으로 제공되는 것이 바람직하다.
또한, 도 2에서는 제 2 측벽(14)의 일측 및 제 3 측벽(16)의 일측 각각에 하나의 공정 챔버와 하나의 이송 챔버가 제공되는 것으로 설명하였으나, 제 2 측벽(14)의 일측 및 제 3 측벽(16)의 일측 각각에는 복수개의 공정 챔버들과 복수개의 이송 챔버들이 제공되고, 각각의 이송 챔버는 공정 챔버들 사이에 위치될 수 있다.
제 1 이송 챔버(36)에는 제 1 공정 챔버(31), 제 2 공정 챔버(32), 그리고 기판 이송 모듈(10) 간에 웨이퍼(W)를 이송하는 로봇(60)이 설치된다. 또한, 제 2 이송 챔버(37)에는 제 1 공정 챔버(31), 제 3 공정 챔버(33), 그리고 기판 이송 모듈(10) 간에 웨이퍼(W)를 이송하는 로봇(70)이 설치된다. 이하, 기판 이송 모듈(10)에 설치된 로봇을 모듈 로봇(50)이라 칭하고, 제 1 이송 챔버(36)에 설치된 로봇을 제 1 챔버 로봇(60)이라 칭하며, 제 2 이송 챔버(37)에 설치된 로봇을 제 2 챔버 로봇(70)이라 칭한다. 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70)은 동일한 형상 및 구조를 가진다. 제 1 챔버 로봇(60)과 모듈 로봇(50)은 직접 기판을 주고받을 수 있는 형상 및 구조를 가진다. 예컨대, 제 1 챔버 로봇(60)과 모듈 로봇(50) 중 어느 하나는 대체로 'ㅡ'자 형상의 블레이드를 가지고, 다른 하나는 대체로 'C'자 형상의 블레이드를 가진다.
도 3은 모듈 로봇(50)의 일 예를 개략적으로 보여준다. 도 3을 참조하면, 모듈 로봇(50)은 블레이드(52)와 아암부(54)를 가진다. 아암부(54)는 상부 아암(54a)과 하부 아암(54b)을 가진다. 상부 아암(54a)과 하부 아암(54b)은 회전축(도시되지 않음)에 의해 결합되며, 상부 아암(54a)은 하부 아암(54b) 상에서 회전된다. 블레 이드(52)와 상부 아암(54a)은 회전축(도시되지 않음)에 의해 결합되며, 블레이드(52)는 상부 아암(54a) 상에서 회전된다. 블레이드(52)는 'ㅡ'자 형상을 가지며 진공에 의해 웨이퍼(W)를 흡착한다. 모듈 로봇(50)은 하나의 구동 모터(도시되지 않음)를 구비하며, 상부 아암(54a), 하부 아암(54b), 그리고 블레이드(52)의 이동은 풀리들(도시되지 않음)과 벨트들(도시되지 않음)의 조합에 의해 이루어질 수 있다.
도 4는 제 1 챔버 로봇(60)의 평면도이고, 도 5는 제 1 챔버 로봇(60)의 종단면도이다. 제 2 챔버 로봇(70)은 제 1 챔버 로봇(60)과 동일한 형상 및 구조를 가지므로 아래에서는 제 1 챔버 로봇(60)에 대해서만 설명한다. 도 4와 도 5를 참조하면, 제 1 챔버 로봇(60)은 아암부와 핸드부를 가진다. 제 1 챔버 로봇(60)은 핸드부(320)와 아암부(340)를 가진다. 핸드부(320)는 막대 형상의 연결로드(324)와 연결로드(324)의 일단 및 타단에 각각 배치되는 블레이드들(322)을 가진다. 각각의 블레이드(322)는 'C' 형상을 가지며 웨이퍼(W)를 지지한다. 각각의 블레이드(322)는 진공에 의해 기판을 흡착할 수 있다.
아암부(340)는 핸드부(320)를 이동시키는 부분으로 상부아암(342)과 하부아암(344)을 가진다. 상부아암(342)은 그 일단이 핸드부(320)의 연결로드(324) 중앙에 결합되고, 하부아암(344)은 그 일단이 상부아암(342)의 타단과 결합된다. 핸드부(320)는 상부아암(342) 상에서 상부아암(342)와 독립적으로 회전되고, 상부아암(342)은 하부아암(344) 상에서 하부아암(344)에 대해 독립적으로 회전된다.
도 5는 핸드부(320), 상부아암(342), 그리고 하부아암(344)을 각각 회전시키는 아암 구동부의 일 예를 보여준다. 아암 구동부는 하부아암 구동부(520), 상부아암 구동부(540), 그리고 핸드부 구동부(560)를 가진다. 하부아암 연결축(345)은 하부아암(344)의 일단에서 아래로 수직하게 연장되며 하부아암 구동부(520)에 의해 회전된다. 하부아암 구동부(520)는 구동 모터(522), 제 1 하부 풀리(524a), 제 2 하부 풀리(524b), 그리고 하부 벨트(526)를 가진다. 제 1 하부 풀리(524a)는 구동 모터(522)에 의해 회전되도록 구동 모터(522)와 연결되고, 제 2 하부 풀리(524b)는 하부아암 연결축(345)의 일단에 배치된다. 제 2 하부 풀리(524b)는 하부아암 연결축(345)과 일체형으로 형성될 수 있으며, 선택적으로 제 2 하부 풀리(524b)와 하부아암 연결축(345)은 각각 형성된 후 서로 결합될 수 있다. 하부 벨트(526)는 제 1 하부 풀리(524a)와 제 2 하부 풀리(524b)에 연결되어 구동 모터(522)의 회전력을 하부아암 연결축(345)에 전달한다.
상부아암 연결축(343)은 상부아암(342)의 일단으로부터 아래로 수직하게 연장되어 하부아암(344)과 결합된다. 상부아암 구동부(540)는 상부아암 연결축(343)을 기준으로 하부아암(344) 상에서 상부아암(342)을 회전시킨다. 상부아암 구동부(540)는 구동 모터(542), 제 1 상부 풀리(544a), 제 2 상부 풀리(544b), 제 1 상부벨트(546a), 제 3 상부 풀리(544c), 제 4 상부 풀리(544d), 제 2 상부벨트(546b), 그리고 제 1 회전축(548)을 가진다. 제 1 상부 풀리(544a)는 구동 모터(542)에 의해 회전되도록 구동 모터(542)와 연결된다. 제 1 회전축(548)은 하부아암 연결축(345) 내에 삽입되며, 제 1 회전축(548)의 일단에는 제 2 상부 풀리(544b)가 결합되고, 타단에는 제 3 상부 풀리(544c)가 결합된다. 제 1 상부벨트(546a)는 제 1 상부 풀리(544a)와 제 2 상부 풀리(544b)에 연결되어 구동 모터(542)의 회전력을 제 1 회전축(548)으로 전달한다. 또한, 제 4 상부 풀리(544d)는 상부아암 연결축(343)의 일단에 배치된다. 제 4 상부 풀리(544d)는 상부아암 연결축(343)과 일체로 형성될 수 있으며 선택적으로 제 4 상부 풀리(544d)와 상부아암 연결축(343)은 각각 형성된 후 결합될 수 있다. 제 2 상부벨트(546b)는 제 1 회전축(548)의 회전력을 상부아암 연결축(343)으로 전달한다.
핸드부 연결축(326)은 로드형상을 가지며, 일단은 핸드부의 연결로드(324) 중심에 고정되고, 타단이 상부아암(342)에 연결된다. 핸드부 구동부(560)는 핸드부 연결축(326)을 기준으로 상부아암(342) 상에서 핸드부(320)를 회전시킨다. 핸드부 구동부(560)는 구동 모터(562), 제 1 핸드부 풀리(564a), 제 2 핸드부 풀리(564b), 제 1 핸드부 벨트(566a), 제 3 핸드부 풀리(564c), 제 4 핸드부 풀리(564d), 제 2 핸드부 벨트(566b), 제 5 핸드부 풀리(564e), 제 6 핸드부 풀리(564f), 제 3 핸드부 벨트(566c), 제 2 회전축(568a), 그리고 제 3 회전축(568b)을 가진다. 제 1 핸드부 풀리(564a)는 구동 모터(562)에 의해 회전되도록 구동 모터(562)와 연결된다. 제 2 회전축(568a)은 상술한 제 1 회전축(548)을 내삽하도록 하부아암 연결축(345) 내에 삽입되며, 제 2 회전축(568a)의 일단에는 제 2 핸드부 풀리(564b)가 결합되고, 타단에는 제 3 핸드부 풀리(564c)가 결합된다. 제 1 핸드부 벨트(566a)는 제 1 핸드부 풀리(564a)와 제 2 핸드부 풀리(564b)에 연결되어 구동 모터(562)의 회전력을 제 2 회전축(568a)으로 전달한다. 제 3 회전축(568b)은 상부아암 연결축(343) 내에 삽입되며, 제 3 회전축(568b)의 일단에는 제 4 핸드부 풀리(564d)가 결합되고, 타단에는 제 5 핸드부 풀리(564e)가 결합된다. 제 2 핸드부 벨트(566b)는 제 3 핸드부 풀리(564c)와 제 4 핸드부 풀리(564d)에 연결되어 제 2 회전축(568a)의 회전력을 제 3 회전축(568b)에 전달한다. 또한, 제 6 핸드부 풀리(564f)는 핸드부 연결축(326)에 연결된다. 제 3 핸드부 벨트(566c)는 제 3 회전축(568b)의 회전력을 핸드부 연결축(326)으로 전달한다. 상술한 아암 구동부의 구조에 의해 핸드부(320), 상부아암(342), 그리고 하부아암(344)은 각각 독립적으로 구동 가능하다.
상술한 바와 달리 제 1 챔버 로봇(60)의 상부 아암(342), 하부 아암(344), 그리고 핸드부(320)는 하나의 모터에 의해 서로 연동되는 구조를 가질 수 있다. 선택적으로 제 1 챔버 로봇(60)의 상부 아암(342)과 하부 아암(344)은 하나의 구동부에 의해 연동하여 구동되고, 제 1 챔버 로봇(60)의 핸드부(320)는 아암부(324)와 독립적으로 구동될 수 있다.
다시 도 2를 참조하면, 기판 이송 모듈(10)에는 제 1 이송 챔버(36)와 기판 이송 모듈(10) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(10a)와 기판 이송 모듈(10)과 제 2 이송 챔버(37) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(10b)가 형성된다. 또한, 제 1 공정 챔버(31)에는 제 1 이송 챔버(36)와 제 1 공정 챔버(31) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(31a)와 제 2 이송 챔버(37)와 제 1 공정 챔버(31) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(31b)가 형성된다. 또한, 제 2 공정 챔버(32)에는 제 1 이송 챔버(36)와 제 2 공정 챔버(32) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(32a)가 형성되고, 제 3 공정 챔버(33)에는 제 2 이송 챔버(37)와 제 3 공정 챔버(33) 간에 웨이퍼(W)의 이동 통로로서 제공되는 출입구(33a)가 형성된다.
각각의 공정 챔버에서 공정이 진공 상태에서 수행되는 경우, 각각의 공정 챔버와 이송 챔버가 외부로부터 밀폐되는 공간을 가지도록 각각의 출입구(10a, 10b, 31a, 31b, 32a, 33a)는 게이트 밸브들(40)에 의해 개폐된다. 각각의 공정 챔버(31, 32, 33) 및 이송 챔버(36, 37)에는 그 내부를 진공으로 유지하도록 진공펌프(도시되지 않음)가 장착된 진공라인(31c, 32c, 33c, 36c, 37c)이 연결된다.
제 1 공정 챔버(31)는 제 1 이송 챔버(36)와 마주보는 측벽 및 제 2 이송 챔버(37)와 마주보는 측벽에 각각 출입구(31a, 31b)를 구비하므로 웨이퍼(W)는 제 1 챔버 로봇(60) 또는 제 2 챔버 로봇(70) 모두에 의해 제 1 공정 챔버(31)로/로부터 로딩/언로딩될 수 있다.
일 예에 의하면, 제 1 공정 챔버(31), 제 2 공정 챔버(32), 그리고 제 3 공정 챔버(33) 모두에서 동일한 공정이 수행된다. 도 6에 도시된 바와 같이, 제 2 공정 챔버(32)와 기판 이송 모듈(10) 간에 웨이퍼(W)의 이송은 제 1 챔버 로봇(60)에 의해 이루어지고, 제 3 공정 챔버(33)와 기판 이송 모듈(10) 간에 웨이퍼(W)의 이송은 제 2 챔버 로봇(70)에 의해 이루어지며, 제 1 공정 챔버(31)와 기판 이송 모듈(10) 간에 웨이퍼(W)의 이송은 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70) 모두에 의해 이루어진다. 제 1 공정 챔버(31)와 기판 이송 모듈(10) 간에 웨이퍼(W)의 이송은 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70) 중 로드(load)가 걸리지 않은 로봇에 의해 이루어질 수 있으며, 선택적으로 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70)에 의해 번갈아가면서 이루어질 수 있다.
이와 달리, 도 7에 도시된 바와 같이 기판 이송 모듈(10)에서 제 1 공정 챔 버(31)로 웨이퍼(W) 이송은 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70) 중 어느 하나의 로봇에 의해 이루어지고, 제 1 공정 챔버(31)에서 기판 이송 모듈(10)로 웨이퍼(W) 이송은 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70) 중 다른 하나의 로봇에 의해 이루어질 수 있다.
다른 예에 의하면, 처리실(30)에서 공정에 소요되는 시간이 서로 상이한 제 1 공정과 제 2 공정이 웨이퍼(W)에 순차적으로 이루어진다. 이 경우, 제 1 공정 챔버(31)는 공정 소요 시간이 짧은 공정을 수행하고, 제 2 공정 챔버(32)와 제 3 공정 챔버(33)는 공정 소요 시간이 긴 공정을 수행한다. 예컨대. 제 1 공정이 제 2 공정보다 소요시간이 길고 먼저 이루어지는 경우, 제 2 공정 챔버(32)에서 제 1 공정이 이루어진 웨이퍼(W)와 제 3 공정 챔버(33)에서 제 1 공정이 이루어진 웨이퍼(W)는 번갈아가면서 제 1 챔버 로봇(60) 또는 제 2 챔버 로봇(70)에 의해 제 1 공정 챔버(31)로 이송되어 제 2 공정이 수행된다.
도 8은 제 1 챔버 로봇(60)을 사용하여 제 1 공정과 제 2 공정이 수행되는 과정의 일 예를 개략적으로 보여주는 도면이다. 아래에서는 제 2 공정 챔버(32)에서 먼저 공정을 수행한 후 제 2 공정 챔버(32)에서 웨이퍼(W)에 대해 공정을 수행하는 경우를 예로 들어 설명한다. 처음에 모듈 로봇(50)에 의해 제 1 웨이퍼(W1)가 제 1 챔버 로봇(60)의 제 1 블레이드(322a) 상에 놓여진다. 제 1 챔버 로봇(60)은 제 1 웨이퍼(W1)를 제 2 공정 챔버(32)로 이송한다. 제 2 공정 챔버(32)에서 공정이 수행되는 동안 제 2 웨이퍼(W2)가 모듈 로봇(50)에 의해 제 1 챔버 로봇(60)의 제 2 블레이드(322b) 상에 놓여진다. 제 2 공정 챔버(32)에서 제 1 웨이퍼(W1)에 대해 공정이 완료되면 제 1 블레이드(322a)에 의해 제 2 공정 챔버(32)로부터 제 1 웨이퍼(W1)가 이송된다. 제 2 블레이드(322b)에 놓여진 제 2 웨이퍼(W2)가 제 2 공정 챔버(32)로 이송되고 제 2 공정 챔버(32)에서 제 2 웨이퍼(W2)에 대해 공정이 수행된다. 그리고 제 1 블레이드(322a)에 놓여진 제 1 웨이퍼(W1)가 제 1 공정 챔버(31)로 이송되고, 제 1 공정 챔버(31)에서 제 1 웨이퍼(W1)에 대해 공정이 수행된다.
제 3 웨이퍼(W3)가 모듈 로봇(50)에 의해 제 1 챔버 로봇(60)의 제 1 블레이드(322a) 상에 놓여진다. 제 1 공정 챔버(31)에서 제 1 웨이퍼(W1)에 대해 공정이 완료되면, 제 1 챔버 로봇(60)의 제 2 블레이드(322b)에 의해 제 1 웨이퍼(W1)가 모듈 로봇(50)으로 인계된다. 제 1 챔버 로봇(60)의 제 2 블레이드(322b)에 의해 제 2 공정 챔버(32)로부터 제 2 웨이퍼(W2)가 꺼내어지고, 제 1 블레이드(322a) 상에 놓여진 제 3 웨이퍼(W3)가 제 2 공정 챔버(32)로 이송된다. 제 2 블레이드(322b) 상에 놓여진 제 2 웨이퍼(W2)는 제 1 공정 챔버(31)로 이송된다. 그리고 제 4 웨이퍼(W4)가 제 1 블레이드(322a) 상에 놓여진다. 상술한 과정은 계속적으로 반복된다. 상술한 웨이퍼들(W)의 이동순서는 일 예를 보여주는 것이며, 웨이퍼들(W)의 이동순서는 이와 상이한 방법으로 이루어질 수 있다.
본 발명에 의하면, 제 1 챔버 로봇(60)이 2개의 블레이드(322a, 322b)를 구비하므로, 공정 챔버에서 하나의 웨이퍼(W)에 대해 공정이 수행되는 동안 다음에 공정이 수행될 웨이퍼(W)가 미리 대기할 수 있다. 따라서 공정에 소요되는 시간을 단축할 수 있다.
도 9는 본 발명의 다른 예에 의한 기판 처리 장치(2)를 보여준다. 기판 처리 장치(2)에는 도 1의 기판 처리 장치(1)에 비해 제 4 공정 챔버(34)와 제 5 공정 챔버(35)가 더 제공된다. 제 4 공정 챔버(34)는 제 1 이송 챔버(36)를 기준으로 기판 이송 모듈(10)과 대향되는 위치에 제공되고, 제 5 공정 챔버(35)는 제 2 이송 챔버(37)를 기준으로 기판 이송 모듈(10)과 대향되는 위치에 제공된다. 제 4 공정 챔버(34)에는 제 1 이송 챔버(36)와 제 4 공정 챔버(34) 간에 웨이퍼(W)의 이동통로인 출입구(34a)가 형성되고, 제 5 공정 챔버(35)에는 제 2 이송 챔버(37)와 제 5 공정 챔버(35) 간에 웨이퍼(W)의 이동통로인 출입구(35a)가 형성된다. 진공에서 공정이 수행되는 경우, 내부가 외부로부터 격리되도록 출입구(34a, 35a)를 개폐하는 게이트 밸브(40)가 각각 제공된다.
도 9와 같이 기판 처리 장치(2)가 구성된 경우, 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70)은 핸드부(320)가 아암부(340)에 대해 독립적으로 구동되는 구조를 가진다. 또한, 제 1 이송 챔버(36)와 제 2 이송 챔버(37)는 아암부(340)가 고정된 상태에서 핸드부(320)가 아암부(340) 상에서 360도(°) 회전될 수 있는 크기를 가진다.
제 1 공정 챔버(31) 내지 제 5 공정 챔버(35)에서는 동일한 공정이 수행될 수 있다. 선택적으로 제 1 공정 챔버(31) 내지 제 5 공정 챔버(35)에서는 제 1 공정과 제 2 공정이 연속적으로 이루어질 수 있다. 하나 또는 두 개의 공정 챔버에서는 공정 소요 시간이 짧은 공정을 수행하고, 나머지 공정 챔버에서는 공정 소요 시간이 비교적 긴 공정을 수행한다.
아래에서는 제 1 공정과 제 2 공정이 순차적으로 이루어지고, 제 1 공정이 제 2 공정에 비해 많은 시간이 소요되는 경우를 예로 들어 설명한다. 일 예에 의하면, 제 2 공정 챔버(32) 내지 제 5 공정 챔버(35)는 제 1 공정을 수행하고, 제 1 공정 챔버(31)는 제 2 공정을 수행한다. 제 2 공정 챔버(32) 내지 제 5 공정 챔버(35)에서 제 1 공정이 수행된 웨이퍼(W)는 제 1 챔버 로봇(60) 및 제 2 챔버 로봇(70)에 의해 번갈아가면서 제 1 공정 챔버(31)로 이송되어 제 2 공정이 수행된다.
다른 예에 의하면, 제 1 공정 챔버(31), 제 4 공정 챔버(34), 그리고 제 5 공정 챔버(35)는 제 1 공정을 수행하고, 제 2 공정 챔버(32)와 제 3 공정 챔버(33)는 제 2 공정을 수행한다. 제 4 공정 챔버(34)에서 제 1 공정이 수행된 웨이퍼(W)는 제 1 챔버 로봇(60)에 의해 제 2 공정 챔버(32)로 이송되어 제 2 공정이 수행되고, 제 5 공정 챔버(35)에서 제 1 공정이 수행된 웨이퍼(W)는 제 2 챔버 로봇(70)에 의해 제 3 공정 챔버(33)로 이송되어 제 2 공정이 수행된다. 제 1 공정 챔버(31)에서 공정이 수행된 웨이퍼(W)는 제 1 챔버 로봇(60) 또는 제 2 챔버 로봇(70)에 의해 번갈아가면서 제 2 공정 챔버(32) 또는 제 3 공정 챔버(33)로 이송되어 제 2 공정이 수행된다. 이와 달리 제 1 공정 챔버(31)에서 공정이 수행된 웨이퍼(W)는 현재 제 2 공정 챔버(32)와 제 3 공정 챔버(33) 중 공정이 진행되지 않은 공정 챔버로 이송되어 제 2 공정이 수행될 수 있다.
상술한 예에서는 제 1 공정 챔버(31)의 양측벽에 출입구(31a, 31b)가 각각 제공되어 제 1 챔버 로봇(60)과 제 2 챔버 로봇(70)으로부터 웨이퍼(W)가 제 1 공정 챔버(31)로 이송되는 것으로 설명하였다. 그러나 선택적으로 제 1 공정 챔버 (31)는 일측벽에만 출입구가 형성되어, 제 1 챔버 로봇(60) 또는 제 2 챔버 로봇(70) 중 어느 하나에 의해서만 웨이퍼(W)가 제 1 공정 챔버(31)로/로부터 로딩/언로딩될 수 있다.
다음에는 도 2의 구조를 가지는 기판 처리 장치(1)에서 식각 공정 및 애싱 공정을 수행하는 경우를 예로 들어 공정 챔버들의 개략적인 구조 및 웨이퍼들(W)의 이송 과정을 설명한다. 웨이퍼(W) 상에서 식각되는 막은 금속막이다. 본 실시예에서 금속막의 식각은 플라즈마를 이용하여 이루어진다. 식각 챔버(도시되지 않음)는 공정 가스로부터 플라즈마를 발생하기 위해 다양한 종류의 플라즈마 소스를 사용할 수 있다. 예컨대, 플라즈마 소스는 용량 결합형 플라즈마(Capacitively Coupled Plasma, CCP) 소스, 유도 결합형 플라즈마(Inductively Coupled Plasma, ICP) 소스, 반응 이온 플라즈마(Reactive Ion Etching Plasma, RIE) 소스, 자기 강화 반응 이온 플라즈마(Magnetically Enhanced Reactive Ion Etch Plasma, MERIE) 소스, 전자 공명 플라즈마(Electron Cyclotron Resonance, ECR) 소스 등과 같이 일반적으로 사용되고 있는 다양한 종류가 사용될 수 있다.
애싱 챔버(600)는 유도 결합형 플라즈마 소스를 사용하여 애싱 공정을 수행한다. 도 10은 본 실시예에서 애싱 챔버(600)의 구조를 개략적으로 보여주는 도면이다. 도 10을 참조하면, 애싱 챔버(600)는 하우징(620), 지지 부재(640), 플라즈마 소스, 그리고 공정가스 공급부재(660)를 가진다. 하우징(620)은 상부 몸체(622)와 하부 몸체(624)를 가진다. 상부 몸체(622) 내 공간과 하부 몸체(624) 내 공간은 서로 통한다. 상부에서 바라볼 때 하부 몸체(624)는 상부 몸체(622)에 비해 좁은 면적으로 제공된다. 하부 몸체(624) 내에는 지지 부재(640)가 배치된다. 지지 부재(640)는 공정 진행시 웨이퍼(W)를 지지한다. 상부 몸체(622)의 상단에는 공정가스 공급부재(660)가 연결된다. 공정가스 공급부재(660)는 하우징(620) 내로 공정가스를 공급하며 밸브(662a)가 설치된 공급관(662)을 가진다. 상부 몸체(622)의 외측 둘레에는 코일(680)이 제공된다. 코일(680)에는 고주파 발생기(682)로부터 고주파가 인가된다. 상부 몸체(622) 내로 유입된 공정가스로부터 상부 몸체(622) 내에서 플라즈마가 발생되며, 이는 하부 몸체(624) 내 지지 부재(640) 상에 놓여진 웨이퍼(W)와 반응한다.
일반적인 애싱 챔버는 마이크로파를 이용하여 플라즈마를 발생시키고 공정을 진행하기 때문에 공정에 많은 시간이 소요된다. 따라서 일반적인 기판 처리 장치는 식각 챔버와 애싱 챔버를 동일한 수로 구비하여야 하므로 장치의 설비면적이 증대된다. 이에 반해, 본 실시예에서 애싱 장치(700)는 유도 결합형 플라즈마 소스를 사용하여 공정을 수행하므로 공정에 소요되는 시간은 매우 짧다. 따라서, 도 2나 도 9 등과 같이 장치 구성을 함으로써 기판 처리 장치(1, 2)에 제공되는 애싱 챔버(600)의 수를 줄일 수 있다.
기판 처리 장치(1)가 도 2와 같이 구성된 경우, 제 1 공정 챔버(31)는 애싱 챔버이고 제 2 공정 챔버(32)와 제 3 공정 챔버(33)는 식각 챔버이다. 도 11은 도 2의 장치에서 공정이 수행되는 과정을 순차적으로 보여준다. 처음에 제 1 웨이퍼(W1)가 용기(F)로부터 꺼내어져 제 2 공정 챔버(32)로 이송되며, 제 1 웨이퍼(W1)에 대해 식각 공정이 진행된다. 다음에 제 2 웨이퍼(W2)가 제 3 공정 챔버(33)로 이송되어 제 2 웨이퍼(W2)에 대해 식각 공정이 수행된다. 이후, 제 1 웨이퍼(W1)가 제 2 공정 챔버(32)로부터 제 1 공정 챔버(31)로 이송되어 제 1 공정 챔버(31)에서 제 1 웨이퍼(W1)에 대해 애싱 공정이 수행되고, 제 2 공정 챔버(32)로 제 3 웨이퍼(W3)가 이송되어 제 2 공정 챔버(32)에서 제 3 웨이퍼(W3)에 대해 식각 공정이 수행된다. 이후, 제 1 공정 챔버(31)에서 제 1 웨이퍼(W1)가 꺼내어져 용기(F)로 로딩되고, 제 3 공정 챔버(33)에서 제 2 웨이퍼(W2)가 제 1 공정 챔버(31)로 이송되며, 제 4 웨이퍼(W4)가 제 3 공정 챔버(33)로 이송된다. 제 1 공정 챔버(31)에서 제 2 웨이퍼(W2)에 대해 애싱 공정을 수행하며, 제 3 공정 챔버(33)에서 제 4 웨이퍼(W4)에 대해 식각 공정을 수행한다. 다음에 제 2 웨이퍼(W2)가 제 1 공정 챔버(31)로부터 용기(F)로 로딩되고, 제 3 웨이퍼(W3)가 제 2 공정 챔버(32)로부터 제 1 공정 챔버(31)로 이송되며, 제 5웨이퍼(W5)가 제 3 공정 챔버(33)로 이송된다. 제 1 공정 챔버(31)에서 제 3 웨이퍼(W3)에 대해 애싱 공정을 수행하고, 제 2 공정 챔버(32)에서 제 5웨이퍼(W5)에 대해 식각 공정을 수행한다. 상술한 과정은 반복된다.
또한, 기판 처리 장치(2)가 도 9와 같이 구성된 경우, 제 1 공정 챔버(31)는 애싱 챔버이고, 제 2 공정 챔버(32) 내지 제 5 공정 챔버(35)는 식각 챔버일 수 있다. 선택적으로 제 2 공정 챔버(32)와 제 3 공정 챔버(33)는 애싱 챔버이고, 제 1 공정 챔버(31), 제 4 공정 챔버(34), 그리고 제 5 공정 챔버(35)는 식각 챔버일 수 있다.
상술한 예들에서는 기판 이송 모듈(10)에 하나의 모듈 로봇(50)이 제공된 경 우를 예로 들어 설명하였다. 그러나 기판 이송 모듈(10)에서 웨이퍼(W) 이송에 로드가 발생되는 경우, 도 12에 도시된 바와 같이 기판 이송 모듈(10)에는 2개 이상의 모듈 로봇(50)들이 제공될 수 있다.
본 발명에 의하면 기판 이송 모듈이 대체로 삼각형 형상으로 제공되고 처리실이 기판 이송 모듈의 측벽을 따라 배치되는 챔버들을 구비하므로, 기판 처리 장치의 설비 면적을 줄일 수 있다.
또한, 본 발명에 의하면, 기판 이송 모듈에 제공된 로봇과 이송 챔버에 제공되어 공정 챔버로 웨이퍼를 이송하는 로봇 간에 웨이퍼가 직접 이송되므로, 트랜스퍼 챔버와 로드록 챔버를 모두 제공할 필요가 없다. 따라서 기판 처리 장치의 설비 면적을 줄일 수 있다.
또한, 본 발명에 의하면, 공정에 소요되는 시간이 짧은 공정 챔버는 각각의 이송 챔버에 제공된 로봇으로부터 웨이퍼를 이송받을 수 있도록 배치되므로, 연속적으로 공정이 이루어지는 경우 처음에 공정을 수행하는 공정 챔버들의 수와 나중에 공정을 수행하는 공정 챔버들의 수를 동일하게 제공할 필요가 없다. 따라서 공정 챔버의 수가 줄어드므로 기판 처리 장치의 설비 면적을 줄일 수 있다.

Claims (23)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 처리실과;
    기판들이 수용된 용기가 놓이는 로드 포트와;
    상기 로드 포트와 상기 처리실 사이에 위치되며 상기 로드 포트와 상기 처리실 간에 기판을 이송하는 로봇이 제공된 기판 이송 모듈을 포함하되,
    상기 기판 이송 모듈은,
    제 1 측벽, 상기 제 1 측벽의 일단 또는 이와 인접한 영역에서 상기 제 1 측벽과 경사지게 제공되는 제 2 측벽, 그리고 상기 제 1 측벽의 타단 또는 이와 인접한 영역에서 상기 제 1 측벽과 경사지게 제공되는 제 3 측벽을 구비하여 삼각형 형상을 가지도록 제공되며,
    상기 로드 포트는 상기 제 1 측벽을 따라 제공되고,
    상기 처리실은 상기 제 2 측벽 및 상기 제 3 측벽을 따라 배치되는 복수의 챔버들을 포함하되,
    상기 처리실은,
    상기 제 2 측벽의 일측에 제공되며 기판을 이송하는 로봇이 설치된 제 1 이송 챔버와;
    상기 제 3 측벽의 일측에 제공되며 기판을 이송하는 로봇이 설치된 제 2 이송 챔버와;
    상기 제 1 이송 챔버와 대향되는 측벽 및 상기 제 2 이송 챔버와 대향되는 측벽을 가지는 제 1 공정 챔버와;
    상기 제 1 이송 챔버를 기준으로 상기 제 1 공정 챔버와 대향되는 위치에 제공되는 제 2 공정 챔버와;
    상기 제 2 이송 챔버를 기준으로 상기 제 1 공정 챔버와 대향되는 위치에 제공되는 제 3 공정 챔버를 포함하는 것을 특징으로 하는 기판 처리 장치.
  6. 제 5 항에 있어서,
    상기 제 1 이송 챔버와 마주보는 제 1 공정 챔버의 측벽 및 상기 제 2 이송 챔버와 마주보는 제 1 공정 챔버의 측벽 각각에는 기판이 출입되는 출입구가 제공되는 것을 특징으로 하는 기판 처리 장치.
  7. 제 6 항에 있어서,
    상기 제 2 공정 챔버와 상기 제 3 공정 챔버에서 수행되는 공정은 상기 제 1 공정 챔버에서 수행되는 공정과 상이한 공정을 수행하며,
    상기 기판 처리 장치는 상기 제 1 이송 챔버 및 상기 제 2 이송 챔버에 제공된 로봇들을 제어하는 제어기를 더 포함하며,
    상기 제어기는 상기 제 2 공정 챔버 및 상기 제 3 공정 챔버 각각에서 공정이 수행된 기판들이 상기 제 1 공정 챔버로 번갈아가면서 이송되도록 상기 로봇들을 제어하거나, 상기 제 1 공정 챔버에서 공정이 수행된 기판들이 상기 제 2 공정 챔버 및 상기 제 3 공정 챔버로 번갈아가면서 이송되도록 제어하는 것을 특징으로 하는 기판 처리 장치.
  8. 제 7 항에 있어서,
    상기 처리실은 2개의 공정을 연속적으로 수행하며,
    상기 제 1 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 긴 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 장치.
  9. 제 8 항에 있어서,
    상기 제 1 공정 챔버는 플라즈마를 이용하여 애싱 공정을 수행하되, 상기 제 1 공정 챔버는 공정가스로부터 플라즈마를 발생시키는 유도결합 플라즈마 소스를 가지며,
    상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 식각 공정을 수행하는 것을 특징으로 하는 기판 처리 장치.
  10. 제 5 항 또는 제 6 항에 있어서,
    상기 처리실은,
    상기 제 1 이송 챔버를 기준으로 상기 이송 모듈과 대향되는 위치에 제공된 제 4 공정 챔버와;
    상기 제 2 이송 챔버를 기준으로 상기 이송 모듈과 대향되는 위치에 제공된 제 5 공정 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  11. 제 10 항에 있어서,
    상기 처리실은 연속적으로 공정이 이루어지는 2개의 공정을 수행하며,
    상기 제 1 공정 챔버는 2개의 공정 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버 내지 제 5 공정 챔버는 2개의 공정 중 비교적 소요 시간이 긴 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 장치.
  12. 제 11 항에 있어서,
    상기 제 1 공정 챔버는 유도결합 플라즈마 소스를 사용하여 애싱 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버 내지 상기 제 5 공정 챔버는 식각 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 장치.
  13. 제 10 항에 있어서,
    상기 처리실은 연속적으로 공정이 이루어지는 2개의 공정을 수행하며,
    상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고 상기 제 1 공정 챔버, 상기 제 4 공정 챔버, 그리고 상기 제 5 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 긴 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 장치.
  14. 제 13 항에 있어서,
    상기 제 1 공정 챔버, 상기 제 4 공정 챔버, 그리고 상기 제 5 공정 챔버는 식각 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 유도결합 플라즈마 소스를 사용하여 애싱 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 장치.
  15. 제 5 항 또는 제 6 항에 있어서,
    상기 기판 이송 모듈과 마주보는 상기 제 1 이송 챔버와 상기 제 2 이송 챔버의 측벽에는 기판이 출입되는 출입구가 제공되고,
    상기 기판 처리 장치는 상기 출입구를 개폐하는 게이트 밸브를 더 포함하며,
    상기 제 1 이송 챔버와 상기 제 2 이송 챔버에는 공정 진행시 그 내부를 기설정된 진공압으로 유지하는 진공라인이 연결된 것을 특징으로 하는 기판 처리 장치.
  16. 제 5 항 또는 제 6 항에 있어서,
    상기 제 1 이송 챔버 및 상기 제 2 이송 챔버 각각에 제공된 로봇과 상기 기판 이송 모듈에 제공된 로봇은 직접 기판을 주고받도록 형상 지어진 것을 특징으로 하는 기판 처리 장치.
  17. 제 16 항에 있어서,
    상기 제 1 이송 챔버 및 상기 제 2 이송 챔버 각각에 제공된 로봇과 상기 기판 이송 모듈에 제공된 로봇 중 어느 하나는 'ㅡ'자 형상의 기판이 놓이는 블레이드를 가지고, 다른 하나는 'C'자 형상의 기판이 놓이는 블레이드를 가지는 것을 특징으로 하는 기판 처리 장치.
  18. 제 5 항 또는 제 6 항에 있어서,
    상기 제 1 이송 챔버 및 상기 제 2 이송 챔버에 제공된 로봇 각각은,
    하부 아암과;
    상기 하부 아암 상에서 회전되도록 결합되며 상기 하부 아암과는 독립적으로 구동되는 상부 아암과;
    상기 상부 아암 상에서 회전되도록 결합되며 상기 하부 아암 및 상기 상부 아암과 독립적으로 구동되는 핸드부를 포함하는 것을 특징으로 하는 기판 처리 장치.
  19. 제 18 항에 있어서,
    상기 핸드부는,
    상기 상부아암 상에 회전되도록 결합되는 연결로드와;
    상기 연결로드의 양단에 각각 제공되며 기판이 놓여지는 블레이드를 포함하는 것을 특징으로 하는 기판 처리 장치.
  20. 제 6 항에 기재된 상기 기판 처리 장치를 사용하여 기판을 처리하는 방법에 있어서,
    상기 제 2 공정 챔버 및 상기 제 3 공정 챔버 각각에서 공정이 수행된 기판들은 상기 제 1 공정 챔버로 번갈아가면서 이송되는 것을 특징으로 하는 기판 처리 방법.
  21. 제 6 항에 기재된 상기 기판 처리 장치를 사용하여 기판을 처리하는 방법에 있어서,
    상기 제 1 공정 챔버에서 공정이 수행된 기판들은 상기 제 2 공정 챔버 및 상기 제 3 공정 챔버로 번갈아가면서 이송되는 것을 특징으로 하는 기판 처리 방법.
  22. 제 20 항 또는 제 21 항에 있어서,
    상기 제 1 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 짧은 공정을 수행하는 챔버이고, 상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 2개의 공정들 중 비교적 소요 시간이 긴 공정을 수행하는 챔버인 것을 특징으로 하는 기판 처리 방법.
  23. 제 20 항 또는 제 21 항에 있어서,
    상기 제 1 공정 챔버는 플라즈마를 이용하여 애싱 공정을 수행하되, 상기 제 1 공정 챔버는 공정가스로부터 플라즈마를 발생시키는 유도결합 플라즈마 소스를 가지며,
    상기 제 2 공정 챔버와 상기 제 3 공정 챔버는 식각 공정을 수행하는 것을 특징으로 하는 기판 처리 방법.
KR1020060020304A 2006-03-03 2006-03-03 기판 처리 장치 및 방법 KR100758298B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020060020304A KR100758298B1 (ko) 2006-03-03 2006-03-03 기판 처리 장치 및 방법
US11/711,124 US7988812B2 (en) 2006-03-03 2007-02-27 Substrate treatment apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060020304A KR100758298B1 (ko) 2006-03-03 2006-03-03 기판 처리 장치 및 방법

Publications (1)

Publication Number Publication Date
KR100758298B1 true KR100758298B1 (ko) 2007-09-12

Family

ID=38518014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060020304A KR100758298B1 (ko) 2006-03-03 2006-03-03 기판 처리 장치 및 방법

Country Status (2)

Country Link
US (1) US7988812B2 (ko)
KR (1) KR100758298B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100978887B1 (ko) 2007-12-27 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100051502A1 (en) * 2008-09-04 2010-03-04 3M Innovative Properties Company Carrier having integral detection and measurement of environmental parameters
US8777547B2 (en) * 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
WO2010080983A2 (en) 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
FR2947097B1 (fr) * 2009-06-23 2011-11-25 Riber Sa Appareil de fabrication de galettes de semi-conducteur et appareil de depot par evaporation de materiaux par jet moleculaire
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
JP5476171B2 (ja) * 2010-03-16 2014-04-23 株式会社日立ハイテクノロジーズ 真空処理装置
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
JP5923288B2 (ja) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理装置の運転方法
CN104428884B (zh) 2012-07-05 2017-10-24 应用材料公司 吊杆驱动装置、多臂机械手装置、电子器件处理系统及用于在电子器件制造系统中传送基板的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020063664A (ko) * 2001-01-30 2002-08-05 삼성전자 주식회사 반도체 소자 제조용 설비의 멀티챔버 시스템

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04271139A (ja) * 1991-02-27 1992-09-28 Fuji Electric Co Ltd 半導体製造装置
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
TW295677B (ko) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
JPH1145929A (ja) 1997-05-30 1999-02-16 Sharp Corp プラズマ処理装置
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2004265894A (ja) 2003-01-17 2004-09-24 Tokyo Electron Ltd 基板処理装置
KR100578134B1 (ko) 2003-11-10 2006-05-10 삼성전자주식회사 멀티 챔버 시스템
KR100583727B1 (ko) 2004-01-07 2006-05-25 삼성전자주식회사 기판 제조 장치 및 이에 사용되는 기판 이송 모듈

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020063664A (ko) * 2001-01-30 2002-08-05 삼성전자 주식회사 반도체 소자 제조용 설비의 멀티챔버 시스템

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100978887B1 (ko) 2007-12-27 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 진공처리장치
KR101400157B1 (ko) * 2011-07-29 2014-05-30 세메스 주식회사 기판처리장치, 기판처리설비 및 기판처리방법
US8974601B2 (en) 2011-07-29 2015-03-10 Semes Co., Ltd. Apparatuses, systems and methods for treating substrate

Also Published As

Publication number Publication date
US7988812B2 (en) 2011-08-02
US20070217896A1 (en) 2007-09-20

Similar Documents

Publication Publication Date Title
KR100758298B1 (ko) 기판 처리 장치 및 방법
US8007218B2 (en) Unit and method for transferring substrates and apparatus and method for treating substrates with the unit
US6824621B2 (en) Single wafer type substrate cleaning method and apparatus
US9263307B2 (en) Apparatus and method for treating substrate
KR101050275B1 (ko) 반도체 프로세싱 도구 내 챔버 간의 상호 오염 감소 방법
US20100147396A1 (en) Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
JP2020524901A (ja) 側方収納ポッド、機器フロントエンドモジュール、及び、基板を処理する方法
JP2008182255A (ja) 基板の搬送方法、基板処理方法および半導体装置の製造方法
US6986261B2 (en) Method and system for controlling chiller and semiconductor processing system
US20160293459A1 (en) Apparatus for processing sustrate and semiconductor fabrication line including the same
CN104733351A (zh) 衬底处理模块、包括该衬底处理模块的衬底处理设备以及衬底传输方法
US8794896B2 (en) Vacuum processing apparatus and zonal airflow generating unit
KR101964327B1 (ko) 웨이퍼 이송 장치
KR101994918B1 (ko) 기판 처리 장치 및 기판 처리 방법
US10665479B2 (en) Substrate treatment device and substrate treatment method
JP2000058619A (ja) 基板処理装置及び基板処理方法
TWI832350B (zh) 基板處理設備及驅動門組合件的方法
US11551942B2 (en) Methods and apparatus for cleaning a substrate after processing
KR102377036B1 (ko) 정렬 장치, 그리고 이를 포함하는 기판 처리 장치
KR102139613B1 (ko) 기판 반송 장치 및 기판 처리 장치
KR20230028930A (ko) 기판 처리 장치
KR100884333B1 (ko) 기판 지지 부재, 그리고 이를 포함하는 기판 처리 장치
CN115881590A (zh) 集成电路设备和半导体器件的制备方法
KR20080081593A (ko) 기판처리장치 및 기판처리장치를 제조하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120831

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130902

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee