TW200811926A - Batch processing platform for ALD and CVD - Google Patents

Batch processing platform for ALD and CVD Download PDF

Info

Publication number
TW200811926A
TW200811926A TW096122950A TW96122950A TW200811926A TW 200811926 A TW200811926 A TW 200811926A TW 096122950 A TW096122950 A TW 096122950A TW 96122950 A TW96122950 A TW 96122950A TW 200811926 A TW200811926 A TW 200811926A
Authority
TW
Taiwan
Prior art keywords
substrate
transfer
processing chamber
substrate processing
processing
Prior art date
Application number
TW096122950A
Other languages
English (en)
Inventor
Aaron Webb
Adam Brailove
Joseph Yudovsky
Nir Merry
Andrew Constant
Efrain Quiles
Michael R Rice
Gary J Rosen
Vinay K Shah
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200811926A publication Critical patent/TW200811926A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

200811926 九、發明說明: 【發明所屬之技術領域】 本發明之實施例大體上是關於處理基材的設備。特別 是,本發明是關於進行原子層沉積(ALD)和化學氣相沉積 (CVD)處理基材的批式處理平臺。 【先前技術】 製造半導體裝置的製程常施行於具多個處理室的基材 處理平台。在一些例子中,多室處理平台或群集工具的目 的是為了在控制環境中依序進行二個以上的基材處理製 程。然在其他例子中,多室處理平台可能只進行單一基材 處理步驟;附加的處理室則用來增進平台處理基材的速 度。後者處理基材的方式一般為批式製程,其中相當多的 基材(例如2 5或5 0片)同時在一特定處理室中進行處理。 批式製程尤其有助於以省錢的方式進行耗時的基材處理製 程,例如原子層沉積(ALD)製程和部分化學氣相沉積(CVD) 製程。 基材處理平台或系統的效力通常以成本(c〇st 〇f ownership,COO)定量。影響COO的因素很多,但主要影 響因素為系統佔據面積(footprint),即操作製造廠之系統 所需的總地板面積’、和系統產量,即每小時處理基材的數 量。佔據面積一般包括維修系統時鄰近的進入區域。故即 便基材處理平台很小,若其需要從各方進入操作及維修, 則系統的有效佔據面積仍過大。 6 200811926 半‘體產業對製程變異的容忍度隨著半導體聲置 縮小而不斷減低。為滿足日益嚴苛的製程要 寸 展許多符合製程操作範圍要求嚴格的新製程,然這此製x 通常很費時。例如,形成銅擴散阻障層以共形覆蓋t⑰2 比之65奈米(nm)或更小内連線特徵的表面,就需採用木見 製程。ALD為CVD的變形,其展現比CVD更佳的階2 = 蓋性。ALD以原子層磊晶(ALE)為基礎,其原先是=來製 造電致發光顯示器。ALD利用化學吸附,使反應前驅分子 的飽和單層沉積在基材表面。此達成方法為交替脈衝輪送 適合的反應前驅物至沉積室中。每次引入反應前驅物之間 一般間隔通入鈍氣,以提供先前沉積層新的原子層而於基 材上形成均勻的膜層。上述循環不斷重複直到膜層達預定 厚度。使用ALD技術的最大缺點在於,其沉積速率遠比典 型CVD技術慢至少1 〇倍以上。例如,某些alE>製程需要 耗費约1 0至約200分鐘才能沉積高品質膜層於基材表面。 因應裝置性能需求而不得已選擇此類製程,若仍以傳統單 一基材處理方式製造裝置,將因基材產量太低而大幅提高 成本。故批式處理方式通常結合用於此類製程,使其較具 經濟效益。 因此’需要用於ALD與CVD的批式處理平台’其具 有最大產篁及最小佔據面積。 【發明内容】 本發明之實施例提出用於ALD或CVD處理基材的批 7 200811926
A嚴坦十口 ,且具有最小佔據面積和高產量。在一實施例 中,處理平台包含大氣傳送區、至少一具緩衝室與架台的 批式處理室、和置於傳送區内的傳送機械裝置,其中傳送 機械裝置具有至少一含有多個基材搬運葉片的基材傳送 臂°傳送機械裝置可用來傳送基材於處理匿與架台匣之 間更了用於一棒連結的(two bar linkage)機械裝置。平台 可包括二批式處理室,二者間配有維修道供進入傳送機械 裝置與沉積站進行維修之用。流體輸送系統可連通至少一 批式處理至的内部處理體積,且可設置在附近的設施塔。 月*J開式日日圓盒(front 0pening unif〇rm p〇d,F〇Up)管理系統 可設置鄰接平台。 ” 在另一實施例中,處理平台包含至少一抵式處理室、 用來傳送基材⑨F0UP與處理匣之間的基材傳送機械裴 置、T含有E搬運機械裝置的昆傳送區。g傳送區可維持 呈^氣®,ϋ搬運機械裝置可為▼垂直升降#、線性促動器 或旋轉桌。或# £傳送區可維持呈低於大氣壓,且還可 包含或多個裝载鎖件(1〇ad 1〇ek),用以支擇鄰近基材傳 送機械裝置的處理s。在此態樣+,£搬運機械裝置可為 可垂直升降的線性促動器或可垂直升降的旋轉桌。在一 置中’平台包含二裝載鎖件和二批式處理$,而: 用來轉動放置E盒於各裝載鎖件下方和各沉積室下方γ 用來垂直傳送S盒於s傳送區與沉積室之間㈣傳^區^ 裝載:件之間。流體輸送系统可連通至少一抵式處=的 内部處理體積’且可設置在附近的設施塔eFOUP管理系
S 200811926 統可設置鄰接平台。 【實施方式】 在此提出用於ALD與CVD的批式處理平台,其具有 最大產I及最小佔據面積。在一實施例中,產量的增進是 利用多臂機械裝置傳送基材。在另一實施例中,匣搬運機 械裝置是用來傳送整個匣盒以增進產量。 多臂機械裝置平台 在此實施例中,具多個臂的機械裝置利用具多個葉片 的臂傳送基材於架台匣與處理匣之間,以減少傳送時間。 ώ於處:ί里室於基材傳送時為閒置狀態,因此最好減少基材 進出處理Ε的時間,以增進系統產量。機械裝置還利用另 目 0 口 一,、早—葉片的臂傳送基材於基材傳送盒與架台匣之間, 以適應傳送盒與架台匣的不同基材間距。配置包括以笛卡 兒(cartesian)式機械裝置為基礎的平台、和包括二批式處 理至與共用進入空間的結構,使平台的所有組件皆不需從 平台旁側進入維修。 第1 A圖為根據本發明一態樣,使用多臂機械裝置來 傳送基材之批式處理平台的平面視圖,在此指系統1 00。 ’系統1 0 0包括一或多個批式處理站1 0 1 A、1 0 1 B、系統控 制盗111、含有傳送機械構件103與一或多個裝载台 1 04的工作介面(factory interface,FI) 1 02、和可設在設 施塔1 3 〇内的處理流體輸送系統。為便於說明,傳送機械 9 200811926 構件103同時繪於三個位置,即鄰近裝載台1 〇4A-C、鄰近 反應器121A、和鄰近反應器ι21Β處。批式起理站μια、 101B設置鄰接FI 1〇2,且彼此相鄰,以縮減系統100的整 體佔據面積及縮短傳送機械構件1 〇3將基材傳送至裝載台 104A-C與批式處理站1〇1A、1〇1B所需的距難。第1A圖 繪有二批式處理站i 〇丨A、1 〇〗b,但無疑也可增設處理站。 設施塔1 3 0可相距批式處理站1 〇〗b與ρ I丨〇 2 一段維修距 離137 ’並可經由高架件140連接系統1〇〇的其他組件。 維修距離137可打開入口 135A供維修傳送機械構件1〇3 之用。 批式處理站l〇1A、1〇1B可用來進行同樣的批式製程 以同時處理不同組基材,或者其可用來進行二種不同的批 式製程以依序處理同組基材。就前者而言,各批式處理站 開始處理基材的時間可為階段性的,即輪流進行,以縮短 傳送基材進出批式處理站i 〇丨A、〗〇丨B相關的閒置時間; 傳送機械構件1G3每次只f裝卸一批式處理站。就後者而 吕,一組基材先在一批式處理站中經第一批式製程處理, 接著在另一批式處理站中經第二批式製程處理。或者,系 統100可結合配置批式處理站和單一基材處理站。此種系 、先配置方式特別適用於當不穩定的批式層需經如覆蓋製程 等後處理製程處理時,如此批式處理的基材可立即以預定 的後處理製程處理。 一般操作時,基材通常傳送到系统100中設於裝载台 104A-C上的前開式晶圓盒(F〇up)。傳送機械構件可 10 200811926 傳送第一批基材 主抵式處理站旁的架a面 . 處理處理£中的第二批基:广批式處 有單—葉片的機赫辟 傳k機械構件103可
乃的機械臂於FOUP盥牟厶M 後,傳送機械構件103可使用二:間進行傳送 A J£ ^ ^ 夕個葉片的機械 =處=處理£間交換基材。若…。。存有任 =“,則傳送機械構件103使用配有單一葉 械臂於早一基材處理室與適當的架台間傳送基材。 在依序進行批式製㈣理以基#的系統1〇〇 材可在處理前從第-架台S傳送至&式處$站,再 後傳送至第一架台匣。例如,傳送機械構件…可 基材從架台123A傳送至批式處理站1〇1 A,以進行 式製程。完成第一批式製程後,傳送機械構件1〇3 基材從批式處理站101A傳送至架台123b。當批式 101B可執行處理時,傳送機械構件1〇3接著將此組 架台1 2 3 B傳送至批式處理站1 〇1 B,以進行第二 程。如上所述,配有多個葉片的機械臂是用於架台 式處理站間進行傳送,因基材間距在二者間並無不 批式處理站 第1B圖為系統1〇〇的立體視圖,包括存取面 120B,且為清楚說明,省略繪示設施塔 130。參 及1B圖,批式處理站101A包括含有内部處理體 反應器121A、鄰接反應器121A的缓衝室122A、 撐反應器121A之架台匣(未繪示)的架台123A。 理站則 使用配 。處理 臂於架 一單一 片的機 中,基 於處理 將一組 第一批 將此組 處理站 基材從 批式製 匣與批 同0 120A' 第1A 127的 用來支 樣地, 200811926 批式處理站101B包括反應器121B、緩衝室122B、和用來 支撐反應器121B之架台匣(未繪示)的架台123B。 第1C圖為系統100的垂直剖面圖,繪有FI 102、反 應器 121A、121B、緩衝室 122A、122B、和架台 123A、123B。
較佳如第1B及1C圖所示,緩衝室122A、122B不只鄰接 反應器1 2 1 A、1 2 1 B,其還分別對準反應器1 2 1 A、1 2 1 B, 以減少批式系統1 〇〇的佔據面積(footprint)。在第1 B及1 C 圖的配置中,緩衝室122A、122B分別設在反應器121A、 121B的正下方。緩衝室122A、122B做為真空裝载鎖件(i〇aci lock),用以個別裝卸處理匣146進出反應器121 A、121 B。 緩衝室1 22A、1 22B連通真空源。真空源可為遠端真空源 或設於系統1 0 0内的真空幫浦1 7卜縮短緩衝室1 2 2 A、1 2 2 B 的抽氣與通氣時間是很重要的,因為反應器121A、121B 在緩衝室進行抽氣與通氣期間為閒置狀態。為此,緩衝室 122A、122B設計成放置處理£所需的最小體積,以加快 抽氣與通氣過程。以支撐垂直對齊之圓形基材的處理匣為 例,緩衝室122A、122B最好為圓柱形室;如第a圖所示, 其於處理E上方和下方有最小的垂直空間.,且於處理匣與 基材周圍有最小的徑向空間。緩衝室122A、122B更包括 升降機制600、傳送口 36、37、和真空密閉門156、157。 升降機制600可為氣壓促動器、步進馬達、或其他此技藝 熟知的垂直促動器。 運作時,處理匣146利用傳送機械構件1〇3裝上架台 匣186的基材W,而緩衝室(此實施例為緩衝室i22A)排放 12 200811926
成大氣壓,且打開傳送口 1 3 6連通傳送區1 3 5。為清楚說 明,第1 C圖僅繪示一配有五個葉片1 6 1的機械臂1 60。基 材裝載/卸載過程將配合第1F -II圖描述於下。關閉真空密 閉門156,並將緩衝室122A抽成與處理體積127相同的真 空度,一般為約0.5-20托耳。接著打開真空密閉門157, 升降機制600則將處理匣146送入處理體積127,以進行 ALD或CVD處理基材W。就某些ALD和CVD製程而言, 期加壓循環緩衝室122 A中的基材W,即缓衝室122A或可 抽氣成製程壓力且通入非常乾燥的氣體來移除基材 W表 面和處理匣146吸附的水氣。在一配置中,於處理體積127 進行處理期間,升降機制600降回緩衝室122A,且關閉真 空密閉門157。處理完後,升降機制600將處理匣146傳 回緩衝室1 22 A,並關閉真空密閉門1 5 7以隔開處理體積 127與緩衝室122A。缓衝室122A接著排放成大氣壓,且 基材W為傳送到架台匣1 86,以進行降溫及後續移開系統 100 〇 以真空密閉門157隔開處理體積127與緩衝室122A 及傳送基材W至架台匣1 86,可盡量維持處理體積1 27中 每批基材的製程溫度和壓力一致。如此可增進製程再現性 和產量,因其只需很短的時間即可穩定處理體積1 27内的 製程條件。批式處理室的處理體積1 27可能很大以容納典 型的處理匣146,例如高度為1公尺(m)。因此排放成大氣 壓後,再穩定處理體積1 27内的壓力和溫度是很耗時的。 藉著在傳送基材於處理匣146與架台匣186期間隔離處理 13
200811926 體積1 27,可有效縮短處理室間置的時間,此實施例 定時間。另外,也可減少因傳送處理匣1 4 6於缓衝室 與反應器1 2 1 A間所引起的污染物進入處理體積1 2 7。 在一配置中,升降機制6 0 0還可協助維修反應器 照第1B圖,升降機制600可將反應器121A令難以接 組件降低至緩衝室122A,藉以可輕鬆移開存取 1 2 0 A。較佳的維修性可減少維修導致系統停工的時間 而 雨 C 〇 〇。 反應器121Α、121Β是用來進行CVD製程及/或 製程處理處理匣14 6中的基材W。可用於本發明部分 之ALD或CVD反應器的詳細說明可參見西元2 005 月22曰申請之美國專利申請案號1 1/286,063申請案 一併引用於此且不與本發明之申請專利範圍相悖。反 1 2 1 A、1 2 1 Β連通處理流體輸送系統,其可供應製程 的適當反應前驅物和其他處理流體。較佳如第1 A圖戶; 處理流體輸送系統内設於設施塔1 3 0,且經由高架件 連接反應器1 2 1 A、1 2 1 B。設施塔1 3 0將配合第1 N圖 於下。諸如系統控制器1 1 1的電子和其他裝置也可設 施塔1 3 0。或者,流體輸送系統可遠離製造廠設置, 透過地底連接器(未繪示)連通反應器12 ΙΑ、121B。 參照第1C圖,架台123A、123B設置在FI 102, 別支撐鄰接反應器1 2 1 A、1 2 1 B的架台匣1 8 6。一般而 基材放置在可密封的基材傳送盒,在此是指前開式晶 (FOUP),且放置密度小於以ALD室或CVD室進行批 為穩 122A 。參 近的 面板 ,進 ALD 配置 年11 ,其 應器 所需 •示, 140 描述 於設 然後 且分 言, 圓盒 式處 14 200811926 理的密度,即FOUP中的基材間距為ι〇毫米,而處理匣 146中的基材間距為6·8亳米。值得注意的是,鄰接批式 處理室的架台匣186支撐基材的密度可同於處理匣146支 稽基材的密度,以實質提供產率和成本優勢。例如,簡單 的單葉片機械臂(如以下第1G圖所述)可傳送基材於架台 123Α、123Β與裝載台104A-C之間。雖然以多葉片機械臂 傳送基材比單葉片機械臂快,但其通常不會增進產量。此 乃因基材於架台123Α、123Β與裝載台1〇4A-C間的傳送在 反應器1 2 1 A、1 2 1 B處理基材時可能為,,離線,,狀態。直接 影響系統產ϊ的傳送時間為架台123A、123B與緩衝室 122A、122B間的傳送時間,其已配合第i A_c圖描述於上。 由於架台匣186支撐基材的密度可同於處理匣146支 撐基材的密度,故可使用如以下第n圖所述之多葉片且固 定間距的機械裝置傳送基材。多“機械裝置一次可傳送 夕個基材因此大‘縮短了基材傳送時間。因傳送時間縮 短減少了反應益間置的時4-U 'όΓ -tr L· & 1旧畔間,故可有效增進系統產量。 架台匿186和處理 & 146可用來支撐相當多的基材, 即多於標準FOUP的一如六曰 ,_ 奴谷篁。由於部分諸如ALD等製程 非常費時’因此期於單一 早批二人中盡夏處理很多基材,以利
於coo考1。故架台A 比186和處理匣146最好每批次支撐 約5 0-100個基材。當麸益 田然母批次也可支撐更多基材,但操作 如此大的匣盒易引起可貪 — J彝度與女全性的問題。處理匣146 可由任一耐高溫材料组 、、且成,例如石奂、碳化矽、或石墨, 其視預定的製程特性而定 15 200811926 架台123A、123B也可當作冷卻平台,基材自反應器 121A、121B卸下後可放置其上降溫。自ALD室與CVD室 卸下的基材通常溫度很高(即> 1 〇〇。〇),因而無法直接放入 標準F0UP中。架台123A、123B亦可搭配傳統的垂直移 動機械構件187(第1C圖)。為降低系統100的複雜度,架 台123A、123B最好為固定組件,而傳遞基材所需的垂直 動作最好由傳送機械構件1 〇 3執行。 在系統100之一配置中,架台123A、123B上的架台 E 1 8 6含有的基材支推板1 8 5數量可比緩衝室1 2 2 A、1 2 2 B 中處理匣146含有的基材支撐板多。如此,交換架台匣186 和處理匣146的基材不需使用第三基材架台位置,也不需 使用額外的傳送機械構件,如以下第1H圖所述之第二傳 送機械裝置86B。例如參照第1C圖,處理匣146含有9 個基材支撐板185,架台匣186含有9個基材支撐板185 和一或多個附加板1 8 5 A。傳送機械構件1 〇 3可移出處理匣 W6中已處理之基材w,並放置到空的附加板185A。傳送 機械構件103接著移出架台匣186中未處理之基材,並放 置到處理匣146中現為空的支撐板185,而留下架台匣ι86 中空缺的支撐板1 8 5。然後重複上述程序,直到原於處理 S 146中的基材全部換成原於架台匣186中的基材。在一 類似配置中,若傳送機械構件丨03包括多葉片機械臂(如以 下第II圖所述),以傳送基材於架台匣186與處理匣146 之間,則附加板1 85A的數量最好等於傳送機械構件1 〇3 之多葉片機械臂的葉片數量。藉此進行上述交換程序可一 16 200811926 次交換多個基材。 、 附 即 匣 勻 材 理 支 如 統 之 故 站 理 少 第 轉 之 有 、 I 〇 反 基 在系統1 00之另一配置中,架台匣1 86可包含多個 加板185A,以於批式處理期間支撐擋版(dummy)基材, 非製造基材。因熱傳不均和其他因素之故,相較於處理 中的多數基材,靠近處理匣頂部與底部的基材通常未均 處理。放置一或多個擂版基材至處理匣頂部與底部的基 支撐板上,可改善此問題。非製造的擋版基材可放在處 匣146的頂端卜5個基材支撐板185和底部1β5個基材 撐板1 85。擂版基材可於更換前用於多個批式製程,例 約5或1 0次,如此每次進行完批式製程時,不需移出系 100。為減少重新放入擋版基材至處理匣的時間,本發明 態樣包含將擋版基材儲放在架台匣186的附加板I。a。 無論是否進行批式製程’播版基材皆放在鄰近批式處理 101Α、1〇1Β的傳送區135。除了減少放入擋版基材至處 匣的時間外,將擋版基材儲放在附加板1 8 5 Α上還可減 FOUP的數量,FOUP需儲放在存貨設備(st〇cker)15〇(如 1B圖所示且將配合第1P及1Q圖描述於下)。 在一配置中’架台123A、123B各自做為二匣盒旋 桌’用以轉動交換未處理之基材的第一處理g和已處理 基材的第二處理匣。第1D圖纟會示糸統lQ〇的平面,配 二批式處理站101A、101B,分別由二匣盒旋轉桌129A 129B提供協助。在此配置中,架台匣186當作第二處理g 當處理匣146中的一批基材於批式處理站ι〇1Α的 應器121A處理時’架台匣186可载入農载台的 17 200811926
材。於反應器121A内處理完後,升降機制(為清楚說明而 未繪示)將處理匣146降至旋轉桌129A上。旋轉桌129A 接著旋轉18〇度,藉以交換處理匣146與架台匣i86的位 置。已處理之基材於傳送區135降溫後,接箸傳送到裝載 台104A-C上的一或多個F0UP。同時,升降機制將架台直 186傳入反應斋121A以進行處理。因此不會明顯辦加美材 從傳送區135傳送至反應器121A的時間。在此系統1〇〇 配置中’並非個別傳送基材於架台g與處理匿之間,而是 利用旋轉桌1 29A輕鬆交換架台匣與處理匣。在一實施例 中’批式處理站101Α、1〇1Β各包括如以上第1〇圖所述用 來隔離反應器121A、121B的緩衝室。 在另一配置中,如第1E圖所示,旋轉桌129A、i29b 分別設於緩衝室128内。第1E圖為批式處理站1〇1 a的截 面側視圖,其包括含有處理匣1 46A的反應器1 2 1 A、和含 有二匣盒旋轉桌129A與第二處理匣1468的緩衝室128。 此例為垂直指引機械裝置的升降機制600A傳送匣盒於旋 轉桌129A與反應器121 A之間。處理匣146A進行處理時, 緩衝室128排放成大氣壓,且打開真空密閉門156,以利 用傳送機械構件103進入第二處理匣146B。第二處理g H6B裝載完基材後,關閉真空密閉門156,並·使緩衝室ι28 進行排氣或循環施壓,以備交換第二處理匣146B與處理 S Ϊ 46 A。此配置方式可加快反應器1 2〗a重新裝載處理匣 的速度’及減少反應器停工的時間。當基材於反應器1 2! A 中處理時,完全抽氣及排放缓衝室128。 18 200811926 工作介面
回溯第1C圖,FI 102包含傳送機械構件1〇3、傳送區 135、環控構件110、和一或多個裝載台104A-C(第1 A圖)。 FI 1 02利用風扇動力空氣濾淨單元保持傳送區1 3 5為乾淨 的微型瓖境,即區域性大氣壓、低污染的環境。FI 1 〇2欲 提供乾淨的環境,即傳送區1 3 5,在此基材可傳送於任一 裝載台104A-C上的FOUP與反應器121A、121B之間。剛 處理過的基材也可於傳送區135的低污染環境中處理後且 在傳出系統100送入FOUP前進行冷卻。 第1C圖為系統100的垂直剖面圖,繪有FI 102、反 應器 121A、121B、緩衝室 122A、122B、和架台 123A、123B。 為清楚說明,省略繪示裝載台1 0 4 A - C。在一態樣中,環控 構件110包含具濾器191(如HEPA濾器)的濾淨單元190和 風扇單元1 92。風扇單元1 92推擠空氣流過濾器1 9 1、傳送 區135、並流出?110 2的基底193八。?1102包括壁面193, 用來圍住傳送區135以提供更好的控制環境進行基材處理 -* ·
' V 步驟。環控構件11 〇 —般是用來控制空氣流速、流動方式 (如層流或紊流)、和傳送區1 3 5的粒子污染程度。在一態 樣中’環控構件11 〇還可控制空氣溫度、相對溼度、空氣 中的靜電粒子數、和其他典型的處理參數,其可由此技藝 熟知適用傳統潔淨室的加熱、通風與空氣調節(heating, ventilation,and air conditioning,HVAC)系統控制。 裝载台104A-C用來支撐、打開、及關閉?01^或其 19 200811926 他置於其上的可密封基材傳送盒 > 瑕载〇 104A-C遠涵姑 载口 104A-C上之FOUP的基材和 裝 u从〜 々个得逐區135,且不合估笪 材接觸到F0UP及/或傳送區 “吏基 ^ 0 ^ 卜的巧染物。如此可以弘 淨且元全自動化的方式移開基材、 ^ FOUP内。 更換、及重新密封於 笛卡兒(cartesian)式機械裝置 第圖繪示機械構㈣的配置,其可做為fii〇2 傳运機械構件1 〇 3。機械構件u oc _ 1 一般包含機械硬體槿 、垂直機械構件95、和水平機械構 哭1 !彳、、,, 〇依據系統控 L达出的指令使機械硬體構件,、垂直機械構件9< 和水平機械構件9〇共同運作,藉以沿著傳送區135/ y與z方向放置基材。 ^ ^ 機械硬體構件85 —般包含一七夕 Μ甘^ 或多個傳送機械裝 “,其可依據系統控制器lu送出的指令來托冥 及放置一或多個基材。在第1F i 件85設有二傳送機械裝置86β在—較佳配置中,p 运機械裝置86各組件的運#,傳送機械裝置86可= 平面傳送基材,例如包括第1Α及丨 ^ rx及y方向66 面。故傳送機械裝置86可沿著大略平行機械荦片87、. 材支撐面87C(參見第1M圖)的平面傳送基材。傳送之| 置86的配置操作將配合第1 μ圖描述於下。 蜮j 第iG圖繪示含有傳送機械襄置86之機械硬體 的配置,其-次可傳送-個基材WM#送機械構件^ 20 200811926 送單一基材的能力有益於系統100,因即使標準F〇Up與 架台123 A、123 B中的基材密度不同,其仍可傳送其材於 裝载台104A-C上之FOUP與架台123A、123B之間。欲以 多葉片傳送基材需有可變間距機械葉片,即多葉片機械臂 可改變基材的間距。此技藝已知可變間距機械葉片相當複 雜’且會影響整體系統的停工時間和C〇〇。 第1 Η圖繪示機械硬體構件85的配置,含有二反向設 置(即垂直反射)之傳送機械裝置86A、86Β,使得葉片 87Α·Β(和第一連結件310A-310B)可稍微分開設置。第lH 圖的配置,即,,上/下,,型葉片構造,具有一些優勢,例如其 有利於”交換’’基材,即以最小的機械動作移出某一位置的 基材並立即放入另一基材。例如’可利用傳送機械裝置86a 移出處理匣146中已處理之基材,然後立刻放入未處理之 基材’此基材已搬離架台E 186且放置在第二傳送機械裝 置8 6B上。由於在裝载未處理之基材前,不需將已處理之 基材傳到其他位置’機械硬體構件85或機械構件11亦不 需離開其起點位置即可替換基材,因此可實質增進率、統產 量。此特別適用於系統100分別傳送基材於架台123A、 123B與緩衝室122A、U2B間的狀況。第1H圖繪示的上/ 下型葉片構造可以架台123A、123 B上未處理之基材分別 替換緩衝室122A、122B中已處理之基材。故採用上/下型 葉片構造或其變形物交換基材時,不需另外提供基材架台/ 冷卻位置。如此可有效縮小系統100的佔據面積,並減少 反應器121A、121B因處理匣146倒空及重新放入基材而 21 200811926 間置的時間。 在另一配置中,機械硒 飛*硬體構件85更可包括至少一多葉 片且固定間距的機械臂,〇 ^ 以如上述於架台123A、123B與 缓衝室122A、122B間交拖夕加* 換夕個基材。在一實施例中,傳 送機械裝置8 6A包括五個葉片的機械臂$ 7h(如第^圖所 π )在另R %例中,傳送機械裝置86A和第二傳送機 械裝置86B均包括多葉片機械臂,以如第1H圖所述於架 台123A、⑴B與緩衝室⑵八叫細間交換多個基材。 第u圖緣示機械構件u之機械硬體構件85的較佳配 置,其包括單葉片傳送機械裝置86c和多葉片傳送機械裝 置86D。單葉片#送機械裝1 “。可傳送基# %於裝載台 1〇4A-C與架台£ 186之間。多葉片傳送機械裝置86D可 傳送基材w於架台E 186與處理£ 146之間。 值知/主似.的疋,第i A圖繪示的系統】配置不需使 用水平機械構件90水平移動垂直機械構件95,即可分別 傳L基材於架口 123A、123B與緩衝室122A、122B之間, 因此可實質縮短傳送時間。❹處理室的間置時間也可有 效提高系統產量。因反應器U1A、niB於處理、146卸 載時為閒置狀宜盡量快速傳送基材。傳送基材時省 略垂直機械構# 95@水平移動則可達成此目的。
C 使用第1F-1J圖的笛卡兒式機械裝置的另一好處在 於’相較於諸如選擇性順應構件機械臂(se〗ective omphanee assembly r〇b〇t _,scara)的傳統基材傳送 機械裝置,其在傳送區135傳送基材所需的系統佔據面積 22 200811926
較小。此繪於第1K及1乙圖。圍繞傳送機械構件1〇3的处 出區域90A的寬度Wl、W2可減至最小。空出區域9〇A^ 義為鄰近如傳送機械構件103之基材傳送構件的區域,其 中基材傳送機械構件的組件與基材s可自由移動,而不會 與基材傳送機械構件外的群集工具組件碰撞。儘管空出區 域90A可表述成體積,然空出區域9〇A最重要的通常 為空出區域90A所佔的水平面積(χ與y方向)或佔據面 積,其將直接影響群集工具的佔據面積和c〇〇。空出區域 90A的佔據面積繪於第1&及1L圖中以長度l和寬度%、 W2界定的區域。除較小的系統佔據面積外,較小的空出區 域亦可使傳送機械構件103更靠近其接取之位置,例如緩 衝室122A、122B和架台123A、123B,進而縮短基材傳送 時間及增加產量。在此所述的傳送機械構件丨〇3配置尤其 優於第1L圖的SCARA機械裝置CR。此乃因第1K圖的傳 送機械裝置86會沿著空出區域9〇Α的長度L縮回其組件 並加以定位。但第1L圖的SCara機械裝置CR不會。 第1G、1H、II及1M圖繪示二棒連結機械裝置3〇5 的配置’其當作傳送機械裝置8 6使用時,可如第1K圖所 示般縮回。參照第1Μ圖,二棒連結機械裝置3 〇5 一般包 含支撐板321、第一連結件31〇、機械葉片87、傳動系統 3 1 2、封閉區3 1 3、和馬達3 2 〇。在此配置中,做為傳送機 械裝置86的二棒連結機械裝置3〇5經由裝設於垂直機械構 件95(第1F圖)的支撐板321連接至垂直機械構件95。第 1Μ圖繪不傳送機械裝置86型之二棒連結機械裝置3〇5的 23 200811926 截面侧視圖。-接、▲ 一棒連結機械裝置3〇5的傳動系统312 _ 包含-或多個傳動元件,藉由傳動元件的動作( ' 轉動)促使機械葉片87移動。傳動系統312 一飯可、包含: 輪、滑輪専’用以轉換元件間的轉動或移動 第1M圖的傳動▲ # 癌樣中’ 得動糸統312包含第一滑輪系統355 輪系統361。第一、、典认/ 55和第二滑
滑輪⑽、連接V:糸統3 55具有連接馬逄32。的第-迷接第一連結件31〇的第二滑輪3S -滑輪358與第二滑輪356的傳動帶359,心連*接第 可驅動第一連妹 Θ此馬達320 你楚 、 在一態樣中,複數個軸承 使第二滑輪356順著m ^ 釉承356Α可 第二滑輪系統二二:的栖W轉動。 /、有連接支撐板321从妨一 ;:、連接機械葉“7的第四滑輪3 接。:輪 354與第四滑輪352的傳動帶犯 連:第二滑輪 的轉動可造成機械葉片87順著轉接第_ 件310 軸353(柩軸v2)旋轉。傳送基材時,_牛川之轴承 而轉動第H 356與第—連結件川輪… 與傳動帶362順著固定的第三滑輪W旋轉,:::0 四滑輪352。在一實施例中 轉41而轉動第 _ , 馬達3 2 0和系統控制器n τ :構成封閉迴路控制系統,用以控制馬達 1 和所有與其相連的組件。在—態樣:度位置 達或直流(DC)伺服馬達。 ’"、步進馬 可用於本發明部分配置之笛卡兒式機械裝 明可參見西元200…月5曰申請之美國,宰= 1 1/398,21 8申請案’其-併引用於此且不與本發明:申; 24 200811926 專利範圍相悖。 處理流體輸送系統
就以ALD和CVD製程處理基材而言,一般有三種方 法來將化學前驅物處理成輸送至處理室之處理體積内的處 理流體,用以沉積預定材料層於基材上。在此所指之處理 流體通常包括氣體、蒸氣、或液體。第一種處理方法為昇 華製程,其中安訊(ampoule)内的固態前驅物藉由控制製程 蒸發,使得安瓿内的前驅物從固態變成氣態或蒸氣。含前 驅物之氣體或蒸氣接著輸送到處理室的處理體積。第二種 形成含前驅物之處理氣體的方法為揮發製程,其中載氣汨 汩流過控溫液態前驅物,而伴隨流入的載氣離開。第三種 產生前驅物的方法為液體輸送系統,其中液態前驅物藉由 幫浦輸送至蒸餾器,在此液態前驅物因蒸餾器額外的能量 轉換而從液態變成氣態。額外的能量一般以熱能形式加到 液體。在上述形成含前驅物之處理流體的任一方法中,通 常需要控制前驅物安瓿的溫度、及安瓿與處理室間之流體 輸送管線的溫度。ALD製程尤其如是,其中輸送管線的溫 度控制對製程的再現性相當重要。當需嚴格控制前驅物溫 度時,前驅物安瓿與使用此前驅物安瓿之處理室間的距離 應縮短,以免造成多餘的系統成本、增加複雜度及降低可 靠度。 第1N圖繪示前驅物輸送系統5 01的配置示意圖,其 可輸送處理流體到如反應器1 2 1 A之處理室的處理體積。 25 200811926
在此*實施例中’前驅物輸送系統5 0 1為液體輸送型處理流 體源。刚驅物輪送系統5 01的組件可相鄰設置在第1Α圖 所示的設施塔1 3 〇内。前驅物輸送系統5 〇 1透過入口管線 5 0 5連通反應器1 2 1 A,入口管線5 0 5則可設於高架件1 4 0。 入口管線505經由高架件140而達反應器121A的選擇途 徑(routing)可將前驅物輸送系統501設置在反應器121 A 附近,且不會阻礙進入批式處理站1 0 1 A、1 0 1 B維修。前 驅物輸送系統5 0 1通常設置遠離反應器1 2 1 A,例如位於不 同的房間或甚至是不同的樓層。回溯第1N圖,此前驅物 輸送系統5 0 1配置一般包括以下組件:一安瓿氣體源5 1 2、 含前驅物”A”的安瓿139、計量幫浦525、蒸餾器53 0、隔 離閥53 5、收集容器構件540、和最終閥503。收集容器構 件540 —般包括以下組件:入口 546、出口 548、容器543、 圍繞容器5 4 3的阻抗式加熱元件5 4 1、加熱控制器5 4 2、和 感測器544。在一配置中,加熱控制器542為系統控制器 111的一部分。 前驅物輸送系統5 0 1是用來將含液態前驅物之安親 139中的處理氣體輸送至反應器121A的處理體積127。為 使液態前驅物變成氣體,乃蒸發液態前驅物,其中計量幫 浦5 25將前驅物抽到蒸餾器530内,以給予液體能量而促 使液體變成氣體。計量幫浦525依據系統控制器〗〗1送出 的指令,在整個處理過程以預定的流速控制及輸送液態前 驅物。經蒸發的前驅物接著輸送到收集容器構件5 4 0中貯 存,直到其注入處理體積127及遍布基材W的表面。 26 200811926 入口管線5 0 5經加埶以湓仅 、从確保注入的前驅物不會凝結而 留在入口管線505的表面, 轻 以致產生微粒並影響製程。入 口管線50 5和前驅物輸送系絲 系統501中其他組件的溫度也常 控制在前驅物分解温度以下以 F,以防其上的前驅物進行氣相 分解及/或表面分解。故確眘快» 崎汽控制則驅物輸送系統501中包 括入口管線5 0 5的多個組件、、黑产 件胍度對CVD與特殊ALD製程 而言是很重要的。應確實俾技箭跑:1 保持引艇物輸送系統5 0 1中必要 組件的溫度在適當溫度範圍内,以冬 固門W免引起嚴重的製程問題。 就較長的入口 &線5 〇 5而言,精確控制入口管線5 〇 5 的溫度相對較困難且昂貴,因此前驅物輸送系統5〇ι宜盡 可能靠近對應的反應器,以縮短入口管線5〇5。參照第i A 圖,前驅物輸送系統501可設於鄰近反應器i2iA、i2iB 的設施塔130。為此,設施塔130可盡量接近反應器i2iA、 121B,且仍保持一段維修距離137,以利從入口 135八進 入維修設施塔130和系統100的其他組件,例如批式處理 站1 0 1 B和傳送機械構件1 〇 3。維修距離丨3 7可為半導體設 備及材料國際組織(Semiconductor Equipment and Materials International,SEMI)認可的維修距離,通常為 36 英对。或者如第1B圖所示,前驅物輸送糸統5 01可分別 設於批式處理站101A、101B附近的處理匣146A、146B。 在另一配置中,前驅物輸送系統50 1可設在系統1 00頂部 的櫥櫃145(第10圖)中。 FOUP 存貨設備(stocker) 27 200811926 不像單一基材處理系統,諸如系統1 00的批式處 足系 統通常同時處理多個FOUP的基材。例如,標準F〇Up含 有多達25個基材,而系統1〇〇每批次可處理5〇或1〇〇個 基材。因系統1 00可包括二個以上的批式處理站,故备 100 —次可處理多違100·200個基材,相當於12個以 上的 F0UP。然為減少系統100的佔據面積,FI 102 —般Ρ 4 一 、包括 二或三個裝载台l〇4A_c,如第1A圖所示。等待已處硬義
材的空FOUP需移開裝載台104A-C,以裝卸其他FOtrp r的 基材。此外,各F0UP於處理後需正確架置於裝栽& 104A-C,如此才會裝載上正確的基材。再者,F〇Up泰 自及返回製造廠的中央F0UP傳送系統,例如高架| 、爭軌 F0UP傳送系統。故如何在處理期間管理大量f〇up, 會降低產量或不當擴大系統i 00的佔據面積,仍需嚴知 量。 為此,系統100可搭配使用鄰接裝載台104 ^的 F0UP存貨設備15〇(未繪於第ιΒ圖)。F〇up存貨設備 』包 括一或多個貯藏架和F0UP傳送機制,傳送機制可包括^ 抬高或降低F0UP於F〇UP貯藏位置與系統100之裝栽二 1 04 A_C間的架子。在一配置中,貯藏架本身可抬高或降低 其中的PGUP 〇在另—配置中,FGUp搬運件或其他 傳送裝置可用來傳$ F〇Up於F〇up貯藏位置與裝載台 104A-C之間。F〇Up存貨設備可設於製造工具前方或側 邊,但為避免增加系統1〇〇的佔據面積,F〇up存貨設備 較佳為没於袭载台1 04A-C上方。 28 200811926 第1 P圖為存貨設備1 50的側視圖,其於諸如系統1 〇〇 之抵式處理平台進行處理時,管理諸如F〇 UP的可密封基 材傳送盒。存貨設備150包括第一與第二垂直傳送機制,
即第一機械裝置713與第二機械裝置715。第一機械裝置 713包括第一 y轴組件717和活動輕接第一 y軸組件717 的第一 X軸組件7〗9,如此第一 X軸組件71 9可沿著第一 y #組件717的長度移動。同樣地,第二機械裝置715包括 第二y軸組件721和活動耦接第二y軸組件721的第二X 轴組件723,如此第二X軸組件723可沿著第二y軸組件 721的長度移動。第一機械裝置713與第二機械裝置715 間為操作連接一或多個貯藏位置725a、725b。 當第一 X軸組件7 1 9位於第一 y軸組件7 1 7的下部時, 第一機械裝置713可裝配接近一或多個裝載台104 A-B並 放置FOUP於其上。當第一 X軸組件719位於第一 y軸組 件717的上部時,第一機械裝置713更可裝配接近如單執 裝置的高架晶圓载送系統729a。當第二X軸組件723位於 第二y軸組件721的下部時,第二機械裝置7 1 5也可裝配 接近一或多個裝'載台104A-B並放置FOUP於其上。第一 X 軸組件719和第二X軸組件723均可裝配到達任一貯藏位 置7 2 5 a、7 2 5 b。在一較佳配置中,第一機械裝置7 1 3配有 複數個第一 y軸組件717來替代貯藏位置725a、725b。在 此較佳配置中,第二機械裝置7 1 5亦為類似結構。 第1Q圖為第1P圖之存貨設備150的正視圖,其繪示 四個貯藏位置725&、725卜725〇、725(1排列在裝载台1〇4八、 29 200811926 1048上方的較佳位置。?〇11?751、753、755、757分別儲 放於貯藏位置 725a、725b、725c、725d。增加貯藏位置 725a、725b、725c、725d上方及/或側邊的貯藏位置可提 高存貨設備150的FOUP貯藏量。鄰接貯藏位置725a、 725b、725c、725d的增設貯藏位置需要一或多個類似第〆 機械裝置713與第二機械裝置715的附加機械裝置,其各 自配有X軸組件與y軸組件。 多臂機械平台零側邊接取(zero side access)配置結構 在本發明之一態樣中,多臂機械平台包括二批式處理 室,二者間配有維修道,供進入傳送機械裝置與沉積站進 行維修之用。根據C00的計算,維修所需的面積一般納為 基材處理系統的佔據面積,且通常佔整體系统佔據面積不 小的比例。再者,若進入處理系統二側所需的面積不僅要 減少、還要消除,則可將一處理系統與其他系統蛾連設置, 以充分利用地板面稹。以消除側邊進入的方式將維修所需 的所有面積併入基材處理系統的其他區域,可實質減少有 效的佔據面積。 第2A圖為本發明一態樣之批式處理平台的平面視 圖,在此是指系統200,其不需從側邊進入維修所有組件。 第2B圖為系統200的側視圖。第2C圖為其立體視圖。 系統200 —般包括二或多個批式處理站2〇1八、、 2統控制器1U、含有傳送機械裝置22〇與一或多個裝載 台l〇4A-C的FI 1〇2、和處理流體輸送系統。流體輸送系 30 200811926 統可設在設施塔130A、130B内,且實質上可如上述第IN 圖之系統1 〇 〇中的處理流體輸送系統般配置。如同系統 100,FOUP存貨設備(未繪示)可設在裝载台104A、104B 上方,以於批式處理基材期間區域性儲放FOUP或其他基 材傳送盒。
批式處理站201A、201B設置鄰接FI 102,且由共用 進入空間250隔開,以供進入批式處理站201A、201B和 傳送機械裝置220進行維修之用。共用進入空間250的設 置可省略從系統200之側邊25 1、252進入的區域,使系統 200的侧邊251、252直接抵靠壁面或其他處理系統。 參照第2A-D圖,批式處理站201A包括反應器221 A、 鄰接反應器221A的緩衝室222A、和設於FI 102且用來支 撐鄰接反應器121A之架台匣(未繪示)的架台223A。同樣 地,批式處理站201B包括反應器221B、缓衝室222B、和 設於FI 102且用來支撐鄰接反應器221B之架台匣(未繪示) 的架台223B。批式處理站201A、201B、FI 102、和高架 件2 1 0的配置一般與上述第1 A圖之系統1 0 〇、批式處理站 1 0 1 A、1 0 1 B中對應的元件相同。 系統200與系統100之配置及操作的不同處之一為FI 102、批式處理站201 A、201B、和傳送機械裝置的相對方 位。在系統200中,裝載台最好各自設於批式處理站的對 面。例如在第2A圖的配置中,裝载台104A、104B分別設 於批式處理站201A、201B的對面。系統1〇〇與系統200 的另一不同處為傳送機械裝置的構造。在系統200中’傳 31 200811926 送機械裝置田 /直20取好不是如傳送機械構件1〇3的笛卡兒 機械裝置。傳送機^ ^ 飛械裝置220可為裝§又在執道22 〇τ上的 ^ RA機械裴置。傳送機械裝置22〇可順著執道2^了 行進來服務系統2〇〇中的所有批式處理站2〇iA、2〇iB。 因此機械裝置構造需要維修的機會較少,故其可從共用進 入空間250或正面外殼253進入維修。
傳送機械裝置220的其他特徵實質上同於傳送機械構 件1 03 ’包括使用單葉片機械臂將基材從低密度f〇up傳 送到較尚密度的架台匣、和使用多葉片機械臂將多個基材 從架台FOUP傳送到相同密度的處理匣。 在一配置中,固定的傳送機械裝置,即非軌道安裝, 可設在各批式處理站201A、201B與各裝載台104A、104B 之間。在此配置中,每一傳送機械裝置當作單一批式處理 站。若批式處理站201A、201B各自進行不同的製程依序 處理多組基材,則存貨設備150可依據需求移動FOUP於 裝載台104A、104B間,進而傳送基材於批式處理站201 A、 201B 間。 如第2A及2D圖所示,系統200可包括專門用於批式 處理站201A、201B的設施塔130A、130B,其各自包含前 驅物輪送系統501。在此配置中,設施塔130A、130B的 使用可於其間構成入口 130C。個別的高架件210A、210B 分別連接設施塔130A、130B和批式處理站201 A、201B。 在另一配置中,設施塔13〇A、130B可合併成含有前驅物 輸送系統501的單一設施塔,用於各批式處理站201 A、 32
200811926 201B 〇 匣搬運平台 在本發明之另一實施例令,匣搬運裝置傳送處理匣 處理室與冷卻站之間,以縮短處理室閒釁的時間。單一 械臂傳送基材於基材傳送盒與處理匣之間。在一態樣中 匣搬運裝置為線性促動器,用以傳送處理匣於一或多個 理室與冷卻站之間。在另一態樣中,匣搬運裝置為旋轉^ 用以交換未處理晶圓之匿盒與已處理晶圓之匣盒。 線性移動裝置的構造 第3Α圖為本發明一態樣之含有線性移動裝置之批 處理平台的平面視圖,在此是指系統3 〇 〇。線性移動機 裝置是用來傳送處理匣於架台、至少一批式處理室、和 裝載站之間。第SB圖為系統3〇〇的側視圖。 為維持批式處理平台的高產量,須縮短反應器的閒 時間。影響反應器閒置時間的因素包括過長的反應器抽 與通氣時間、基材冷卻時間、和基材傳送時間。第3A 3B圖的配置可降低或消除這些因素對系統產量的影響。 一一 ,7 _ 久愿器 1 3U I ' 1 3UZ ' 13〇5、FI 102、和處理流體輪送系統。” ι〇2包含 個裝载台104A-C、匣裝载站 M ^ ^ 科祀1 3 03、%控構件1 1 〇、 機械裝置13〇4,用以傳详其 站13ft^ Λ傳运基材於裝載台104A.C與 13〇3上之處理g間。匣傳 1 305包含架台13 於 機 , 處 式 械 匣 置 氣 及 多 送 栽 線 33 200811926
性移動機械裝置1 320,其安裝於橫桿1321且用來傳送處 理S 仏朱台1306、反應器1301、1 302與匣裝載站1303之 間。處理流體輸送系統可設在設施塔130A、130B内,且 實質上可如上述第1N圖之系統100中的處理流體輸送系 統般配置。如同系統1〇〇,FOUP存貨設備可設在裝載台 104A-C上方,以於批式處理基材期間區域性鍺放f〇uP或 其他基材傳送盒。 配置與操作實質上與系統200之對應組件相同的系統 300組件包括FI 102、傳送機械裝置1 304、反應器1301、 ^02、設施塔ι3〇Α、130B、和處理流體輸送系統。 運作時,位於FI 102且置於匣裝載站13〇3上的第一 處理£ 1330利用傳送機械裝置13〇4裝上裝載台1〇4A-C 上一或多個FOUP的基材。在一配置中,傳送機械裝置13〇4 可為類似上述第2A-C圖之機械裝置220的單軌機械裝 置。第一處理匣1 330接著利用如垂直指引裝置或機動升降 裝置的垂直升降機制1 303A垂直移動到裝載鎖件1309 旁。第一處理匣1 3 30然後裝載至裝載鎖件13〇9中,且抽 氣成實質上同於S傳送區1 3 0 5與反應器1 3 〇 1、1 3 〇 2的真 空程度。第一處理匣1330還可在送入匣傳送區13〇5前先 行加壓循環。排氣後,打開真空密閉門1 3〗2,配有匣升降 機制的線性移動機械裝置1320並將第一處理]£ 1330從裝 載鎖件1 309傳送到S傳送區1 305。線性移動機械裝置132〇 疋用來沿著水平路徑1322傳送處理g、沿著垂直路徑1323 傳送處理匣垂直進出一或多個反應器13〇1、13〇2、及將處 34 200811926 理s放上或卸下架台1 306。線性移動機械裝置i32〇接著 將第處理匣1 3 3 〇放入閒置反應器,例如反應器丨3 〇 j或 1302處理疋後,線性移動機械裝置132〇自反應器13〇1 卸下第一處理g 133〇,然後傳送到架台13〇6降溫。充分 冷卻基材後,第一處理s 1 330利用線性移動機械裝置132〇 傳迗到裝载鎖件i 309且排放成大氣壓,並利用垂直升降機 制1303A降低至FI 1〇2内及利用傳送機械裝置13〇4進行 卸載。或者,第一處理S在排放成大氣壓後,可於裝载鎖 件1309中經大氣冷卻。在此配置下,可採用自由或強制對 流。 根據較佳順序,在反應器1 3 0 1中的第二處理匣〗3 3 j 完成處理前,第一處理£ 133〇放置在含未處理基材的裝载 鎖件1 309中。如此,反應器閒置的時間很短,即約i分鐘。 反應器閒置的時間不再比線性移動機械裝置132〇將第二 處理匣1331傳送至架台13〇6和將第一處理匣133〇傳送至 反應器1301所需的總體時間長。裝卸基材、和抽氣與通氣 裝载鎖件的步驟可於,,離線,,狀態下進行,即當反應器處理 基材時。故進行包括從褒载台1〇4A_c傳送基材至反應器 1301、13〇2等費時步驟時,反應器並非處於閒置狀態,因 此可增進系統產量。較佳地,反應器13〇1、13〇2為階段性 執行,即輪流開始處理基材,以確保反應器裝载/卸載的速 度不會受限於線性移動機械裝置〗3 2〇的可利用性。 在另一配置中,1傳送區1 3 05為大氣壓傳送區,較佳 為通入少水氣的鈍氣,例如乾躁氮氣。在此配置中,處理 35 200811926 匣载入FI 102的基材且直接傳送 而/ I 久應器1301、13 02,而 不需經過真空裝載鎖件。在此配置下, 機制1303A和裝載鎖件1 309。 不需使用垂直升降 在又一配置中,系統3〇〇中的反 依序進行不同的批式製程處理同組^ 13G1、1302各可 佳的處理順序包括於反應器13〇1 :、。在此配置中’較 s Μ - it S if w 進行第一批式製程處 理第一處理匣1 330 ,利用線性移 ,^ ^ , ^ Λ ^ 機械裝置1 320將第一 處理匣1 3 3 0傳送到反應器13〇2 _ , , ^ . 進行第二批式處理製 程。如上述,第一處理匣133〇接著 〜 ^ ^ ^ . 傅迗到架台1 306降溫, 然後移出系統300。 + 旋轉父叉(rotational cross)配置 第4A圖為本發明_態樣之批式處理平台的平面視 圖,在此是指系統400’其中旋轉交又機械裝置是 動交換二對處理£於:反應器與:真空裝載鎖件之間。第 4B圖為系統400的側視圖。
如上述於反應器處理基材時,同時進行諸如裝卸基 材、和抽氣與通氣裝載鎖件等最費時的基材傳送動作可^ 質增進系統產量。第4A及4B圖的配置可降低或消除這些 因素對系統產量的影塑。, ° 系統400包括二反應器4〇1、4〇2、二真空裝载鎖件 403、404、位於真空裝载鎖件403、404與反應器4〇1、4〇2 下方的排空之匣傳送區406、FI 102、和處理流體輸送系 統。真空裝载鎖件4〇3、404可當作含已處理基材之匣盒的 36 200811926 冷卻站,更可做為裝载站,以傳送基材於其内之處理E與 裝載台1〇4A-C間。FI 102包含—或多個裝載台1〇4A<、 環控構件110、和傳送機械裝置4〇5,用以傳送基材於裝載 〇 104A-C與真空裝载鎖件403、404之間。傳送機械裝置 405可為實質同於上述第2 A_c圖之機械裝置22〇的單執機 械裝置,但具有z方向延伸動作的能力。系統4〇〇還包括 旋轉交又機械裝置407,其設在排空之匣傳送區4〇6。旋轉 交叉機械裝置407沿著垂'直路徑4〇7A垂直移動昆盒進出 反應器401、402和真空裝载鎖件4〇3、4〇4。旋轉交叉機 械裝置40 7更可轉動交換含已處理基材之二處理便與含未 處理基材之二處理匣。 配置與操作實質上與系統2 〇 〇之對應組件相同的系統 400組件包括FI 102、傳送機械裝置4〇5、反應器4(H、4〇2、
設施塔130A、130B、高架件14〇、和處理流體輸送系統。 如同系統100,FOUP存貨設備可設在裝載台i〇4A、1〇4B 上方’以於批式處理基材期間區域性儲放F〇up或其他基 材傳送盒。 運作時,位於真空漿載鎖件403、404中的處理匣利用 傳送機械裝置405裝上裝載台1〇4A_c的基材。關閉真空 密閉門156,並將真空裝载鎖件4〇3、4〇4抽成與排空之£ 傳送區406相同的真空度。打開閑目42〇,旋轉交叉機械 裝置407則將處理匣降低至排空之昆傳送區4〇6。旋轉交 又機械裝置407接著旋轉18〇度,藉以放置處理匣於反應 器401、402下方。打開閘閥421,旋轉交叉機械裝置4〇7 37 200811926 則將處理匣送入反應器4(H、402 ;關閉閘閾42卜進行ALD 或CVD製程處理處理匣内的基材。於反應器401、402中 完成處理後,旋轉交叉機械裝置407藉由類似的降低、旋 轉和提升過程將處理匣送回真空裝载鎖件403、404。真空 裝载鎖件403、404可排放呈大氣壓,且一經充分冷卻後, 即傳送到裝载台104A-C上的一或多個F〇uP。 根據一較佳順序,二處理匣同時在反應器40 1、402 中處理,而真空裝載鎖件403、404中的二處理匣則裝入未 處理基材❶如此,含未處理基材之匣盒進行裝载及抽氣時, 反應器同時處理另外兩個匣盒。此外,含剛處理基材之匣 盒於排放成大氣壓、冷卻、及卸载時,反應器亦處理其他 昆i。故反應器的閒置時間可縮短成數秒,即旋轉交叉機 械裝置407降低、旋轉和提升處理匣所需的時間。 大氣旋轉桌配置 第曰為本發明一態樣之批式處理平台的平面視圖, 在此疋才曰系統5〇〇 ’其中具線性水平運作之旋轉桌傳送處 理匣於二架台與二批式處理站之間。 衫響基材處理平台之c〇〇的重要因素之一為與計畫 1和〜卜維修相關的停工時間。處理平台可能具有相當 *的象徵性產量,g
卩母小h處理的基材量,但若其停工時 間實質上比其他系絲A (^ E θ /、、,先久,則其長期的有效產量(即每個月處 拙土 。)將延少於其他系統。為此,採用較少的機械裝 置執行較簡單的私从^ ^ 動作有利於處理平台。第5圖的配置具有 38 200811926 此特徵。 系統50 0包括二批式處理站501A、501B、大氣傳送 區502、二架台503A、503 B、單一傳送機械裝置5 04、處 理流體輸送系統、和旋轉桌505A,用以轉動運送處理匣且 可線性水平移動。大氣傳送區5 02的配置與操作類似上述 第1C圖的FI 並且包含傳送機械裝置5〇4、一或多個 裝載台104A-B、和環控構件(為清楚說明而未繪示)。批式 處理站501A、501B的配置與操作類似上述第ία及iB圖 的批式處理站1〇1Α、101B。二者主要差別之一為,架台 5 03A、503B並非分別設置在批式處理站5〇1 A、501B旁。 處理E反而是傳送於架台503A、5 03B與批式處理站 5 0 1 A、5 0 1 B内的緩衝室之間。藉由旋轉桌5 〇 5 A的徑向水 平移動,可將處理£水平放入緩衝室。傳送機械裝置5〇4 實質上可為類似上述第2A-C圖之機械裝置22〇的單執機 械裝置。然為降低成本、減少傳送機械裝置5〇4的複雜度、 及增進可靠度’傳送機械裝置504可為固定式。因典型 FOUP與處理£的基材間距不同,傳送機械裝置最好只配 设單片機械臂,以進一步減少傳送機械裝置5〇4的複雜度 及降低成本。 配置與操作實質上與系統200之對應組件相同的系統 5〇〇組件包括設施塔130A、130B、高架件14〇、和處理流 體輪送系統。如同系統1 〇 〇、2 〇 〇,F 〇 u P存貨設備可設在 裝載台104A、104B上方,以於批式處理基材期間區域性 儲放FOUP或其他基材傳送盒。 39 200811926 運作時,置於架台503 A、5〇3B上的處理£可利用傳 送機械裝置5 04裝上未處理之基材。架台5〇3 A、503B更 可當作剛處理基材的冷卻站。旋轉桌5〇5A使用水平促動 器和些微的z方向移動來移開裝有未處理基材的處理匡。 旋轉桌505 A接著依需求轉動放置含未處理基材的處理匣 , 至間置的批式處理站旁。經處理後’旋轉桌505A將匣盒 送回架台503A、503B,以進行降溫、卸載、及重新裝載 未處理基材。 _ 根據一較佳順序,基材進行降溫及^裝卸時,批式處理 站50 ΙΑ、501B同時處理基材。第一處理匣放置在如架台 5 03 A的架台上且裝有基材,如批式處理站501A的批式處 理站則處理第二處理匣的基材。在批式處理站501A完成 處理前,入口管線505將第一處理匣移開架台503A。處理 完第二處理匣後,旋轉桌5 05A將第二處理匣移開批式處 理站501A,並旋轉180度及將第一處理匣放入抵式處理站 501 A。旋轉桌505A接著將第二處理匣放到可利 a ^中/示口 503A、503B上,以進行降溫及卸載。如此,拙 馨 '批式處理站 ' 501A僅閒置數秒,即旋轉桌505A移開匣盒、旋轉产、 4 及將第二匣盒放入批式處理站所需的時間。另外 - 『’第5圖 • 的機械裝置配置比其他批式處理平台的配置更精巧 在一配置中,架台503 A、503B可垂直蒋叙 … [罝移動,以便於 傳送其上的基材及/或處理g。此配置-可 又』間化旋轉桌 505A的設計,進而增進其可靠度。 雖然本發明已以實施例揭露如上,麸在 …、你个脫離本發明 40 200811926 之精神和範圍内,本發明當可包含各種更動與潤飾,因此 本發明之保護範圍視後附之申請專利範圍所界定者為準。 【圖式簡單說明】
為讓本發明之上述特徵更明顯易懂,可配合參考實施 例說明,其部分乃繪示如附圖式。須注意的是,雖然所附 圖式揭露本發明特定實施例,但其並非用以限定本發明之 精神與範圍,任何熟習此技藝者,當可作各種之更動與潤 飾而得等效實施例。 第1 A圖為使用多臂機械裝置來傳送基材之批式處理 平台的平面視圖。 第1 B圖為第1 A圖之批式處理系統的立體視圖。 第1 C圖為批式處理系統的垂直剖面圖,繪有工作介 面、反應器、緩衝室、和架台。 第1D圖繪示批式處理系統的平面,配有二批式處理 站,分別由二匣盒旋轉桌提供協助。 第1 E圖為批式處理站的截面側視圖。 第1 F圖繪示可用於工作介面的機械構件配置。 第1 G圖繪示含有傳送機械裝置的機械硬體配置,其 一次可傳送一個基材。 第1 Η圖繪示含有二反向設置之傳送機械裝置的機械 硬體構件配置。 第11圖繪示五個葉片的機械臂。 第1J圖繪示包括單葉片傳送機械裝置和多葉片傳送 41 200811926 機械裝置之機械硬體構件的較佳配置。 第1K圖繪示笛卡兒式機械裝置的空出區域。 第1L圖繪示傳統機械裝置的空出區域。 第1 Μ圖繪示二棒連結機械裝置的截面側視圖。 第1Ν圖繪示前驅物輸送系統的配置示意圖。 第1 Ο圖為批式處理系統的立體視圖,具有設在系統 頂部的前驅物輸送系統。 第1 Ρ圖為一示範存貨設備的側視圖。
第1 Q圖為第1 Ρ圖之存貨設備的正視圖。 第2Α圖為批式處理平台的平面視圖。 第2Β圖為批式處理平台的側視圖。 第2C圖為批式處理系統的立體視圖。 第2D圖為批式處理系統的立體視圖。 第3Α圖為批式處理平台的平面視圖。 第3 Β圖為批式處理平台的側視圖。 第4Α圖為批式處理平台的平面視圖。 第4Β圖為批式處理平台的側視圖。 第5圖為批式處理平台的平面視圖。 【主要元件符號說明】 11 機械構件 36、37 傳送口 85 機械硬體構件 86、 86Α、86Β、86C 機械裝置 87、 87Α、87Β 葉片 87C 支撐面 42 200811926 87H 機械臂 90A 空出區域 101 A、101B 處理站 103 機械構件 110 環控構件 120A、120B 面板 122A、122B、128 緩衝室 127 處理體積 130、130A、130B 設施塔 135 傳送區 139 安瓿 145 櫥櫃 150 存貨設備 161 葉片 171 幫浦 185 A 附加板 187 機械構件 191 濾器 193 壁面 20 0 系統 210、210A、210B 高架件 220T 轨道 222A、222B 緩衝室 250 共用進入空間 90 > 95 機械構件 100 系統 1 02 工作介面 104A-104C 裝载台 111 控制器 1 2 1 A、1 2 1 B 反應器 123A、123B 架台 129A、129B 旋轉桌 130C、 135A 入口 137 距離 140 高架件 146、146A、146B 處理 E 156、157 真空密閉門 162 機械臂 185 支撐板 186 架台匣 190 濾淨單元 192 風扇單元 193A 基底 2 0 1 A、2 0 1 B 處理站 220 機械裝置 221 A、221 B 反應器 223A、223B 架台 251 ' 252 側邊 43 200811926
253 外殼 305 機械裝置 312 傳動系統 320 馬達 3 53 軸 352、354、356、358 滑輪 359、362 傳動帶 401、402 反應器 405、407 機械裝置 407A 垂直路徑 500 系統 501A、501B 處理站 503 、 535 闕 504 機械裝置 505A 旋轉桌 525 幫浦 540 容器構件 542 控制器 544 感測器 548 出口 713、715 機械裝置 725a ' 725b ' 725c、725d 729a 载送系統 1301、1 302 反應器 300 系統 3 10、3 10A、3 1 0B 連結件 313 封閉區 321 支撐板 3 5 5、3 6 1 滑輪系統 3 56A 軸承 400 系統 403、404 裝載鎖件 406 傳送區 420 、 421 閘閥 501 輸送系統 502 傳送區 503A、503B 站台 505 入口管線 512 氣體源 530 蒸餾器 541 加熱元件 543 容器 546 入口 600、600A 升降機制 717、719、721、723 組件 貯藏位置
751、753、755、757 FOUP 1 303 £裝載台 44 200811926 1 303A 升降機制 1 304、 1320 1305 傳送區 1306 架台 1309 裝載鎖件 13 12 真空密 1321 橫桿 1 322、 13 23 機械裝置 閉門 路徑 1330、 1331 處理匣
45

Claims (1)

  1. 200811926 十、申請專利範圍: 1. 一種基材處理設備,該設備至少包含: 一基材處理室; 一缓衝室,設置鄰接該基材處理室; 一處理匣,以一第一間距支撐二個以上的基材,其 中該處理匣可傳送於該缓衝室與該基材處理室之間; 一架台匣,以該第一間距支撐二個以上的基材;以
    一傳送機械裝置,利用一單一基材搬運葉片來傳送 一基材於一基材傳送盒與該架台匣之間,及利用多個基材 搬運葉片來傳送多個基材於該架台匣與該處理匣之間。 2.如申請專利範圍第1項所述之設備,更包含: 一匣閥,可密閉設置在一大氣傳送區與該緩衝室的 一内部體積之間,且用以機動隔開該内部體積與該大氣傳 送區,以及 一真空幫浦,連通該緩衝室,其中該真空幫浦降低 該缓衝室的一壓力呈低於大氣壓。 3 ·如申請專利範圍第1項所述之設備,更包含一工作介 面,該工作介面具有: 一大氣傳送區,設有該架台匣和該傳送機械裝置; 一濾淨單元,用以提供一濾淨空氣至該大氣傳送 46 200811926 區;以及 至夕一裝載台’用於裝設鄰接該大氣傳送區的該基 材傳送盒, ^ 八中該至少一褒载台更用來打開該基材傳送盒,使 k 土材傳送盒内部連通該大氣傳送區,且該基材傳送盒含 有二個以上水平相距一第二間距的基材。 如申明專利範圍第3項所述之設備,更包含一用於該基 #傳&盒的貯藏單元’纟中該貯藏單^傳送該基材傳送盒 至該至少一裝載台。 .如申清專利範圍第4項所述之設備,其中該貯藏單元包 5 一傳送機制,用以傳送該基材傳送盒至該至少一裝载台^ 6 ·如申請專利範圍第1項所述之設備,其中該傳送機械裝 置更用來維持固定轉移,同時傳送一或多個基材於該處理 g與該架台匣之間。 7·如申請專利範圍第1項所述之設備,更包含: 一第一基材處理室, 一第二緩衝室,設置鄰接該第二基材處理室’ 一第二處理匣,以該第一間距支撐二個以上的基 材,其中該第二處理匣可傳送於該第二緩衝室與該第二基 47 200811926 材處理室之間;以及 一第二架台匣,以該第一間距支撐二個以上的基材, 其中該傳送機械裝置更利用該些基材搬運葉片來傳 送多個基材於該第二架台匣與該第二處理匣之間。 8 ·如申請專利範圍第1項所述之設備,其中該些基材搬運 葉片為多個固定間距的基材搬運葉片。
    9·如申請專利範圍第1項所述之設備,更包含一流體輸送 系統,連通該基材處理室的一内部處理體積,其中該流體 輸送系統輸送一含前驅物之流體至該内部處理體積,以使 t 得一化學氣相沉積(CVD)製程或一原子層沉積(ALD)製程 可以被執行在其内的一或多個基材上。
    10·如申請專利範圍第9項所述之設備,更包含一設施塔, 鄰接該基材處理室,其中該設施塔包含多個含前驅物之安 瓿,且該流體輸送系統經由一高架件連通該設施塔和該基 材處理室。 11.如申請專利範圍第1項所述之設備,更包含一垂直升 降機制,用以傳送一處理匣進出該基材處理室。 12.如申請專利範圍第11項所述之設備,其中該垂直升降 48 200811926 機制更用來移除該基材處理室的組件。 13.如申請專利範圍第1項所述之設備,其中該傳送機械 裝置包括: 一二棒連結臂;以及
    一傳動構件,用以沿著一線性路徑放置該二棒連結 臂,其中該線性路徑包含鄰接該至少一裝載台和該基材處 理室的多個位置。 14.如申請專利範圍第1項所述之設備,其中該設備更包 含: 一第二基材處理室;以及 一維修道,設於該第一基材處理室與該第二基材處 理室之間,且供進入該傳送機械裝置與該第一和該第二基 材處理室進行維修之用。 1 5 ·如申請專利範圍第1 4項所述之設備,更包含一第一裝 載台和一第二裝載台,其中該第一裝載台鄰接該第一基材 處理室,且該第二裝載台鄰接該第二基材處理室。 1 6.如申請專利範圍第1 5項所述之設備,更包含: 一第二傳送機械裝置,置於一大氣傳送區中且鄰近 該第二裝載台和該第二基材處理室,且用以傳送一基材於 49 200811926 該第二裝載台與該第二基材處理室之間,其中該第二傳送 機械裝置具有至少一包含多個基材搬運葉片的基材傳送 臂, 其中該第一傳送機械裝置鄰近該第一裝載台和該第一 基材處理室。 1 7. —種基材處理設備,該設備至少包含: 一基材處理室; 一處理匣,用以支撐二個以上的基材; 一匣搬運機械裝置,用以傳送該處理匣於一架台與 該基材處理室之間; 一基材傳送機械裝置,用以傳送多個基材於一基材 傳送盒與該處理匣之間; 一匣傳送區,設有該架台且通常維持呈低於大氣壓 的一壓力;以及 一第一裝載鎖件,用以支撐該處理匣從一大氣壓區 域傳送到該匣傳送區。 18·如申請專利範圍第17項所述之設備,其中該匣搬運機 械政置包含一升降機制。 1 9.如申請專利範圍第1 8項所述之設備,其中該匣搬運機 械裝置更用來水平移動該處理匣到該基材處理室和該第一 50 200811926 裝載鎖件的下方的位置。 20.如申請專利範圍第1 8項所述之設備,更包含一第二基 材處理室,其中該匣搬運機械裝置更用來水平移動該處理 匣到該基材處理室、該第二基材處理室和該第一裝載鎖件 的下方的位置。
    2 1 ·如申請專利範圍第1 8項所述之設備,更包含: 一第二裝載鎖件;以及 一第二基材處理室, 其中該匣搬運機械裝置包含一旋轉桌,用以: 轉動放置一處理匣於各裝載鎖件下方和各沉 積室下方; 垂直傳送二處理匣於該些沉積室與該S傳送 區之間;以及 垂直傳送二處理匣於該些裝載鎖件與該匣傳 送區之間。 22.如申請專利範圍第1 7項所述之設備,更包含一流體輸 送系統,連通該基材處理室的一内部處理體積,其中該流 體輸送系統輸送一含前驅物之流體至該内部處理體積,以 使得一化學氣相沉積(CVD)製程或一原子層沉積(ALD)製 程可以被執行在其内的一或多個基材上。 51 200811926 23. —種基材處理設備,該設備至少包含: 一基材處理室; 一處理匣,用以支撐二個以上的基材; 一匣搬運機械裝置,用以傳送該處理匣於一架台與 該基材處理室之間;
    一基材傳送機械裝置,用以傳送多個基材於一基材 傳送盒與該處理E之間; 一緩衝室,具有構成一内部體積的一或多個壁面, 其中該内部體積位於該基材處理室下方;以及 一匣傳送區,設有該架台且通常維持呈大氣壓力。 24.如申請專利範圍第23項所述之設備,其中該匣搬運機 械裝置為一線性移動裝置,且該線性移動裝置包含一升降 機制。
    2 5.如申請專利範圍第23項所述之設備,其中該基材處理 室更包含一匣閥,可密閉設置在該匣傳送區與該緩衝室的 該内部體積之間,且用以機動隔開該内部體積與該大氣傳 送區。 26.如申請專利範圍第25項所述之設備,其中該基材處理 室更包含一真空幫浦,連通該緩衝室,其中該真空幫浦降 52 200811926
    低該緩衝室 27. 如申請 械裝置為一 28. 如申請 於一架台上 轉動 及 放置 處理匣於該 29.如申請 該旋轉桌上
    的一壓力呈低於大氣壓。 葶利範圍第23項所述之設備,其中該匣搬運機 旋轉桌。 享利範圍第27項所述之設備,其中該處理匣位 ,且該旋轉桌是用以: 來自一升降機制的一第二處理匣; 交換該第一處理匣和該第二處理匣的位置;以 該第一處理匣,以利用該升降機制移動該第一 基材處理室與該匣傳送區之間。 專利範圍第28項所述之設備,其中該架台位於 30.如申請 在該内部體 3 1.如申請 水平移動該 專利範圍第29項所述之設備,其中該旋轉桌設 積内。 專利範圍第3 0項所述之設備,其中該旋轉桌更 第一處理匣和該第二處理匣。 53
TW096122950A 2006-06-26 2007-06-25 Batch processing platform for ALD and CVD TW200811926A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/426,563 US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD

Publications (1)

Publication Number Publication Date
TW200811926A true TW200811926A (en) 2008-03-01

Family

ID=38846399

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096122950A TW200811926A (en) 2006-06-26 2007-06-25 Batch processing platform for ALD and CVD

Country Status (7)

Country Link
US (2) US7833351B2 (zh)
EP (1) EP2044618A2 (zh)
JP (1) JP5567335B2 (zh)
KR (1) KR101058326B1 (zh)
CN (1) CN101438387B (zh)
TW (1) TW200811926A (zh)
WO (1) WO2008002780A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632629B (zh) * 2013-08-12 2018-08-11 應用材料股份有限公司 具有工廠界面環境控制之基板處理系統、設備與方法
US10359743B2 (en) 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
GB2452320B (en) * 2007-09-03 2012-04-11 Dek Int Gmbh Workpiece processing system and method
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
JP5181809B2 (ja) * 2008-04-30 2013-04-10 村田機械株式会社 加工処理システム及び搬送方法
JP2010062534A (ja) * 2008-06-30 2010-03-18 Intevac Inc 基板搬送システム及び方法
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
CN101764076A (zh) * 2008-10-30 2010-06-30 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备及其传输系统及基片的传输方法
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI564427B (zh) 2009-12-18 2017-01-01 財團法人工業技術研究院 聚對二甲苯薄膜的形成方法
CN102115876A (zh) * 2009-12-31 2011-07-06 财团法人工业技术研究院 化学气相沉积装置与聚对二甲苯薄膜的形成方法
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9951419B2 (en) * 2011-09-03 2018-04-24 Ying-Bing JIANG Apparatus and method for making atomic layer deposition on fine powders
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
IN2014DN04032A (zh) * 2011-11-22 2015-05-15 Picosun Oy
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US9390949B2 (en) * 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP5569544B2 (ja) * 2012-01-31 2014-08-13 株式会社安川電機 搬送ロボット
JP5972587B2 (ja) * 2012-02-01 2016-08-17 株式会社日立国際電気 基板処理装置、及び半導体装置の製造方法並びにプログラム
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6275155B2 (ja) 2012-11-28 2018-02-07 エーシーエム リサーチ (シャンハイ) インコーポレーテッド 半導体ウェハ洗浄方法及び半導体ウェハ洗浄装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013101777A1 (de) * 2013-02-22 2014-08-28 Aixtron Se Vorrichtung zum Be- und Entladen einer CVD-Anlage
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
KR101524251B1 (ko) * 2013-06-11 2015-06-01 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
US10199256B2 (en) * 2013-09-28 2019-02-05 Applied Materials, Inc. Methods and systems for improved mask processing
TWI624307B (zh) * 2013-11-06 2018-05-21 All Ring Tech Co Ltd Carrier transfer method and device
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN117855106A (zh) * 2016-06-30 2024-04-09 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11236013B2 (en) * 2017-07-19 2022-02-01 Intevac, Inc. System for forming nano-laminate optical coating
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6704423B2 (ja) * 2018-01-17 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
CN108421679B (zh) * 2018-05-14 2024-02-02 苏州固孚智能装备有限公司 全自动双真空箱灌胶机
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
CN209276631U (zh) * 2018-07-02 2019-08-20 南京原磊纳米材料有限公司 一种原子层沉积设备
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7445138B2 (ja) 2018-11-28 2024-03-07 シンフォニアテクノロジー株式会社 ウェーハストッカ
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US20220076976A1 (en) * 2019-02-19 2022-03-10 Veeco Instruments Inc. Automated Batch Production Thin Film Deposition Systems and Methods of Using the Same
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) * 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5867363A (en) * 1992-09-18 1999-02-02 Pinnacle Research Institute, Inc. Energy storage device
US5464453A (en) * 1992-09-18 1995-11-07 Pinnacle Research Institute, Inc. Method to fabricate a reliable electrical storage device and the device thereof
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
FR2720542B1 (fr) * 1994-05-30 1996-07-05 Alsthom Cge Alcatel Procédé de fabrication d'une électrode de supercondensateur.
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
DE19629154C2 (de) * 1996-07-19 2000-07-06 Dornier Gmbh Bipolare Elektroden-Elektrolyt-Einheit
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174337B1 (en) * 1997-01-06 2001-01-16 Pinnacle Research Institute, Inc. Method of construction of electrochemical cell device using capillary tubing and optional permselective polymers
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6275371B1 (en) * 1998-08-12 2001-08-14 Hitachi Maxwell, Ltd. Electrode material for electrochemical capacitor, electrochemical capacitor comprising the same, and method for the production of the same
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
KR100689254B1 (ko) * 1999-03-17 2007-03-09 니폰 케미콘 가부시키가이샤 전해콘덴서용 전해액
US6339528B1 (en) * 1999-09-16 2002-01-15 Ness Capacitor Co., Ltd. Metal oxide electrode for supercapacitor and manufacturing method thereof
US6426863B1 (en) * 1999-11-25 2002-07-30 Lithium Power Technologies, Inc. Electrochemical capacitor
US6632068B2 (en) * 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
JP2004513529A (ja) * 2000-11-09 2004-04-30 エフオーシー フランケンブルク オイル カンパニー エスト. スーパーキャパシタおよび当該スーパーキャパシタを製造する方法
KR100392667B1 (ko) * 2000-11-28 2003-07-23 주식회사 네스캡 유기전해질을 이용한 금속산화물 전기화학 의사커패시터
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP2003258058A (ja) * 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
US7061749B2 (en) * 2002-07-01 2006-06-13 Georgia Tech Research Corporation Supercapacitor having electrode material comprising single-wall carbon nanotubes and process for making the same
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US20060156979A1 (en) * 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20060130767A1 (en) * 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR100594470B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 연속 공정이 가능한 반도체 제조 설비

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632629B (zh) * 2013-08-12 2018-08-11 應用材料股份有限公司 具有工廠界面環境控制之基板處理系統、設備與方法
US10192765B2 (en) 2013-08-12 2019-01-29 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11450539B2 (en) 2013-08-12 2022-09-20 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US10359743B2 (en) 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI706814B (zh) * 2014-11-25 2020-10-11 美商應用材料股份有限公司 具有基板載具及清洗腔室環境控制的基板處理系統、設備及方法
TWI720731B (zh) * 2014-11-25 2021-03-01 美商應用材料股份有限公司 具有基板載具及清洗腔室環境控制的基板處理系統、設備及方法
US11003149B2 (en) 2014-11-25 2021-05-11 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI756030B (zh) * 2014-11-25 2022-02-21 美商應用材料股份有限公司 具有基板載具及清洗腔室環境控制的基板處理系統、設備及方法
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls

Also Published As

Publication number Publication date
KR101058326B1 (ko) 2011-08-22
KR20090024275A (ko) 2009-03-06
WO2008002780A3 (en) 2008-03-20
CN101438387A (zh) 2009-05-20
WO2008002780B1 (en) 2008-05-08
CN101438387B (zh) 2012-07-04
WO2008002780A2 (en) 2008-01-03
JP5567335B2 (ja) 2014-08-06
US20110041764A1 (en) 2011-02-24
EP2044618A2 (en) 2009-04-08
US7833351B2 (en) 2010-11-16
US20070295274A1 (en) 2007-12-27
JP2009541599A (ja) 2009-11-26

Similar Documents

Publication Publication Date Title
TW200811926A (en) Batch processing platform for ALD and CVD
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US6486444B1 (en) Load-lock with external staging area
TWI495031B (zh) 傳送室設計及使用傳送室方法
US6610150B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
JP2003077974A (ja) 基板処理装置および半導体装置の製造方法
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
KR20020019414A (ko) 기판 처리 장치 및 기판 처리 장치를 이용한 반도체디바이스 제조 방법
JP2003007800A (ja) 基板処理装置および半導体装置の製造方法
JP3629371B2 (ja) 成膜装置および成膜方法
TWI308883B (en) Atmospheric robot handling equipment
JPH09104982A (ja) 基板処理装置
US20170352562A1 (en) Dodecadon transfer chamber and processing system having the same
JP2001284276A (ja) 基板処理装置
JP4383636B2 (ja) 半導体製造装置および半導体装置の製造方法
JPH09104983A (ja) 基板処理装置
JP2003092329A (ja) 基板処理装置
JP2002173775A (ja) 半導体製造装置および半導体装置の製造方法
JP2003142552A (ja) 基板処理装置
JP3756001B2 (ja) 基板処理装置
JP2001284277A (ja) 基板処理装置
JP2003007794A (ja) 基板搬送機構および基板処理装置
JP2003115523A (ja) 基板処理装置および基板処理方法
JP2002246445A (ja) 基板処理装置