JP2009541599A - Aldおよびcvd用のバッチ処理プラットフォーム - Google Patents

Aldおよびcvd用のバッチ処理プラットフォーム Download PDF

Info

Publication number
JP2009541599A
JP2009541599A JP2009518447A JP2009518447A JP2009541599A JP 2009541599 A JP2009541599 A JP 2009541599A JP 2009518447 A JP2009518447 A JP 2009518447A JP 2009518447 A JP2009518447 A JP 2009518447A JP 2009541599 A JP2009541599 A JP 2009541599A
Authority
JP
Japan
Prior art keywords
cassette
substrate
transfer
processing
robot
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009518447A
Other languages
English (en)
Other versions
JP5567335B2 (ja
Inventor
アーロン ウェブ,
アダム ブライラブ,
ジョセフ ユドブスキー,
ニール メリー,
アンドリュー コンスタント,
エフレイン クイルズ,
マイケル, アール. ライス,
ギャリー, ジェイ. ローゼン,
ヴィナイ シャー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009541599A publication Critical patent/JP2009541599A/ja
Application granted granted Critical
Publication of JP5567335B2 publication Critical patent/JP5567335B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

ALDまたはCVD処理に用いられるバッチ処理プラットフォームが、高スループットと最小設置面積のために構成される。一実施形態では、処理プラットフォームは、大気移送領域と、バッファチャンバとステージングプラットフォームとを有する少なくとも1つのバッチ処理チャンバと、移送領域内に配置される移送ロボットとを備え、移送ロボットは、複数の基板取り扱いブレードを備えた少なくとも1つの基板移送アームを有している。プラットフォームは、移送ロボットと堆積ステーションへ必要なサービスアクセスを提供するために、配置されたサービス通路をその間に備えて構成された2つのバッチ処理チャンバを含んでもよい。別の実施形態では、処理プラットフォームは、少なくとも1つのバッチ処理チャンバと、FOUPと処理カセットとの間で基板を移送するように適合された基板移送ロボットと、カセットハンドラロボットを含むカセット移送領域とを備えている。カセットハンドラロボットは、リニアアクチュエータまたは回転テーブルであってもよい。
【選択図】 図1B

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的に、基板を処理するための装置に関する。より具体的には、本発明は、基板上で原子層堆積(ALD)および化学気相堆積(CVD)を実行するためのバッチ処理プラットフォームに関する。
関連技術の説明
[0002]半導体デバイスを形成するプロセスは、通常、複数のチャンバを含む基板処理プラットフォーム内で実施される。幾つかの例では、マルチチャンバ処理プラットフォームまたはクラスタツールの目的は、制御された環境下で、基板上で2つ以上のプロセスを順次実行することである。しかし、他の例では、マルチチャンバ処理プラットフォームは、基板上で1回処理ステップを実行するだけであり、追加のチャンバは、基板がプラットフォームによって処理される速度を最大にするように意図されている。後者の場合、基板上で実行されるプロセスは、典型的にはバッチプロセスであり、比較的多数の基板(例えば、25または50枚)が、所定のチャンバ内で同時に処理される。バッチ処理は、例えばALDプロセスおよび幾つかの化学気相堆積(CVD)プロセスなどに関して、経済的に採算のとれる方法で、個々の基板について実行するには時間がかかりすぎるプロセスにとっては特に有益である。
[0003]基板処理プラットフォームまたはシステムの有効性は、維持コスト(COO)によって定量化されることが多い。COOは、多数の要因によって影響を受けるが、主に、システムの設置面積(すなわち、製造工場内のシステムを作動させるのに必要な延べ床面積)と、システムのスループット(すなわち、1時間当たりに処理される基板の数)とによって影響を受ける。設置面積は、典型的には、システムに隣接するメンテナンスに必要なアクセス領域を含んでいる。したがって、基板処理プラットフォームは比較的小さいが、操作およびメンテナンスのため全側面からアクセスする必要がある場合は、システムの有効設置面積は、さらに極端に大きくなる可能性がある。
[0004]プロセス変動に対する半導体産業の許容範囲は、半導体デバイスのサイズが小さくなるに伴って減少し続けている。これらのより厳しいプロセス要件を満たすために、半導体産業は、厳しい処理ウィンドウ要件を満たす多数の新しいプロセスを開発してきたが、これらのプロセスは完成するのに長時間を要することが多い。例えば、高アスペクト比である65nm以下の小さい相互接続形状体の表面上に共形的に銅拡散バリア層を形成するには、ALDプロセスの使用が必要なことがある。ALDはCVDの一変形であって、CVDと比較して優れた段差被覆特性を示す。ALDは、エレクトロルミネセントディスプレイを製造するために最初に採用された原子層エピタキシー(ALE)に基づいている。ALDは、基板表面上に反応性前駆体分子の飽和単層を堆積するために化学吸着を採用する。これは、適切な反応性前駆体をパルス状に堆積チャンバ内に交互に注入することによって達成される。反応性前駆体の各注入は、典型的には不活性ガスパージによって分離され、先に堆積された層に新しい原子層を提供することにより、基板上に均一な層を形成する。このサイクルが繰り返されて、層を所望の厚さに形成する。ALD技術の最も大きな欠点は、堆積速度が、少なくとも一桁、典型的なCVD技術よりも遅いことである。例えば、ALD処理によっては、基板の表面上に高品質の層を堆積するために、約10〜約200分間のチャンバ処理時間を必要とすることもある。デバイスの性能要件に応じてこのようなプロセスを選択することを強いられるが、従来の単一基板処理チャンバ内でデバイスを製造するコストは、基板のスループットが低いことから、増加するであろう。したがって、このような処理を経済的に採算のとれるように実現する際には、典型的には、バッチ処理方法が取られる。
[0005]したがって、スループットを最大にし、且つ設置面積を最小にする、ALDおよびCVD用途のためのバッチ処理プラットフォームが必要である。
発明の概要
[0006]本発明の実施形態は、設置面積が最小でスループットが高い、基板のALDまたはCVD処理に用いるバッチ処理プラットフォームを提供する。一実施形態においては、処理プラットフォームは、大気中移送領域と、バッファチャンバとステージングプラットフォームとを有する少なくとも1つのバッチ処理チャンバと、移送領域内に配置された移送ロボットとを備え、移送ロボットは、複数の基板取り扱いブレードを備える、少なくとも1つの基板移送アームを有する。移送ロボットは、処理カセットとステージングカセットとの間に基板を移送するように適合されてもよく、さらに、2棒リンク機構ロボットを用いて適合されてもよい。プラットフォームは、移送ロボットと堆積ステーションへの必要なサービスアクセスを提供するために、サービス通路をその間に配置して構成された2つのバッチ処理チャンバを含んでもよい。流体送出システムが、少なくとも1つのバッチ処理チャンバの内部プロセス容積と流体連通してもよく、バッチ処理チャンバに近接する施設タワー内に配置されてもよい。FOUP(前面ドア付きポッド)管理システムが、プラットフォームに隣接して配置されてもよい。
[0007]別の実施形態においては、処理プラットフォームは、少なくとも1つのバッチ処理チャンバと、FOUPと処理カセットとの間で基板を移送するように適合された基板移送ロボットと、カセットハンドラロボットを含むカセット移送領域とを備えている。カセット移送領域は、大気圧で維持されてもよく、カセットハンドラロボットは、垂直持ち上げ能力を有するリニアアクチュエータ、または回転テーブルであってもよい。あるいは、カセット移送領域は、大気圧よりも低い圧力で維持され、基板の移送ロボットに近接して処理カセットを支持するように適合された1つまたは複数のロードロックをさらに備えてもよい。この態様においては、カセットハンドラロボットは、垂直持ち上げ能力を有するリニアアクチュエータか、垂直持ち上げ能力を有する回転テーブルであってもよい。一構成では、プラットフォームは、2つのロードロックと2つのバッチ処理チャンバとを備え、回転テーブルは、各ロードロックの下および、各堆積チャンバの下でカセットを回転可能に配置し、カセット移送領域と堆積チャンバとの間、および、カセット移送領域とロードロックとの間でカセットを垂直に移送するように適合されてもよい。流体送出システムは、少なくとも1つのバッチ処理チャンバの内部プロセス容積と流体連通してもよく、バッチ処理チャンバに近接する施設タワー内に配置されてもよい。FOUP管理システムが、プラットフォームに隣接して配置されてもよい。
[0008]本発明の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本発明は、実施形態を参照することによって、より詳細に説明される。実施形態のうちの幾つかは、添付の図面に図示されている。しかし、添付の図面は、本発明の典型的な実施形態のみを図示しており、したがって、本発明の範囲を限定すると見なされるべきではなく、本発明に関しては他の同等の効果的な実施形態を認めてもよい、ことに留意されたい。
詳細な説明
[0035]スループットが最大で設置面積が最小である、ALDおよびCVD用途のためのバッチ処理プラットフォームが提供される。一実施形態においては、複数のアームロボットを用いて基板を移送することによってスループットが改善される。別の実施形態においては、カセットハンドラロボットを用いてカセット全体を移送することによりスループットを改善する。
複数のアームロボットプラットフォーム
[0036]本実施形態においては、複数のアームを有するロボットが、複数のブレードで構成されたアームを用いてステージングカセットと処理カセットとの間で基板を移送することにより、移送時間を減少させる。基板の移送中、処理チャンバはアイドル状態であるため、システムのスループットには、基板を処理カセットへ、およびそこから搬出するのに必要な時間を最小にすることが有益である。ロボットはまた、単一ブレードで構成された別のアームを用いて基板輸送ポッドとステージングカセットとの間で基板を移送して、基板輸送ポッドとステージングカセットとの間の基板間隔の差を調整する。構成には、直角座標ロボットベースのプラットフォーム、および、2つのバッチ処理チャンバと、プラットフォームの全ての構成要素がプラットフォームへ側面からアクセスせずに、メンテナンスのためにアクセスされることを可能にする、2つのバッチ処理チャンバの間の共通のアクセス空間とを有する構成を含む。
[0037]図1Aは本発明の一態様の概略的な平面図であって、バッチ処理プラットフォームは基板移送用の複数のアームロボット(以降システム100と称す)を用いている。システム100は、1つまたは複数のバッチ処理ステーション101A、101Bと、システムコントローラ111と、移送ロボットアセンブリ103および1つまたは複数のロードステーション104A〜Cを含むファクトリインターフェース(FI)102と、施設タワー130に収容されてもよいプロセス流体送出システムとを含む。例示目的で、移送ロボットアセンブリ103が3つの位置で(すなわち、ロードステーション104A〜Cと隣接、反応器121Aと隣接、および反応器121Bと隣接して)、同時に図示されている。バッチ処理ステーション101A、101BはFI102に隣接して、且つ相互に近接して置かれることにより、システム100の全体設置面積と、移送ロボットアセンブリ103がロードステーション104A〜Cとバッチ処理ステーション101A、101Bとの間で基板を移送する際に移動するのに必要な距離とを最小にする。2つのバッチ処理ステーション101A、101Bが図1Aで図示されているが、追加のステーションが容易に加えられてもよい。施設タワー130は、バッチ処理ステーション101BとFI102からサービス距離137に配置されてもよく、オーバーヘッドラック140を介してシステム100の他の構成要素に連結されてもよい。サービス距離137によって、アクセスドア135Aを開いて移送ロボットアセンブリ103を点検することができる。
[0038]バッチ処理ステーション101A、101Bは、各種の基板グループに同一バッチ処理を同時に実行するように構成されてもよく、または、バッチ処理ステーション101A、101Bは、同一基板グループに2回の異なるバッチ処理を順次実行するように構成されてもよい。前者の構成では、各バッチ処理ステーションにおける基板処理の開始時間は、段階に分けられ(すなわち交互に行われ)て、バッチ処理ステーション101A、101Bへ、およびバッチ処理ステーション101A、101Bからの基板の移送に伴うアイドル時間を最小にする。移送ロボットアセンブリ103は、1つのバッチ処理ステーションに一度に装填および取り出しするためだけに必要である。後者の構成では、1つの基板グループが1つのバッチ処理ステーションで1回目のバッチ処理を受け、その後、別のバッチ処理ステーションで2回目のバッチ処理を受ける。あるいは、システム100は、バッチ処理ステーションと単一基板処理ステーションの組み合わせで構成されてもよい。システム100のこの構成は、不安定なバッチフィルムがキャッピングプロセスなどの幾つかの形態の後処理を必要とする場合、バッチ処理後の基板が即座に所望の後処理を受けてもよいことから、特に有用である。
[0039]一般的な動作では、基板は、典型的には、ロードステーション104A〜C上に配置されるFOUP内のシステム100に輸送される。バッチ処理ステーションが処理カセット内の基板の第2バッチを処理している間に、移送ロボットアセンブリ103が基板の第1バッチをバッチ処理ステーションに隣接するステージングカセットに移送してもよい。移送ロボットアセンブリ103は、FOUPと、単一ブレードで構成されたロボットアームを有するステージングプラットフォームとの間の移送を実行してもよい。処理後、基板は、複数のブレードで構成されたロボットアームを用いて、移送ロボットアセンブリ103によってステージングカセットと所望の処理カセットとの間で交換されてもよい。いずれかの単一基板処理チャンバがシステム100上に存在する場合、移送ロボットアセンブリ103は、単一基板処理チャンバと、単一ブレードで構成されたロボットアームを用いて該当するステージングプラットフォームとの間で基板を移送する。
[0040]連続バッチ処理が同一グループの基板について実行されるシステム100の構成では、基板は、処理の前に第1ステージングカセットからバッチ処理ステーションに移送され、次に、処理後に第2ステージングカセットに移送される。例えば、移送ロボットアセンブリ103が、第1バッチプロセスのために、基板グループをステージングプラットフォーム123Aからバッチ処理ステーション101Aに移送してもよい。第1バッチプロセスが完了すると、移送ロボットアセンブリ103は、基板グループをバッチ処理ステーション101Aからステージングカセット123Bに移送する。バッチ処理ステーション101Bが処理に利用できる場合、移送ロボットアセンブリ103は、次に、第2バッチプロセスのために、基板グループをステージングプラットフォーム123Bからバッチ処理ステーション101Bに移送する。上述のとおり、ステージングカセットとバッチ処理ステーションとの間の基板間隔に差がないため、複数のブレードで構成されたロボットアームは、ステージングカセットとバッチ処理ステーションとの間の移送のために用いられる。
バッチ処理ステーション
[0041]図1Bは、アクセスパネル120A、120Bと明確にすべく省略された施設タワー130とを有するシステム100の斜視図である。図1Aおよび図1Bを参照すると、バッチ処理ステーション101Aは、内部プロセス容積127を収容した反応器121Aと、反応器121Aに隣接して配置されたバッファチャンバ122Aと、ステージングカセット(図示せず)の反応器121Aを支持するように適合されたステージングプラットフォーム123Aとを含んでいる。同様に、バッチ処理ステーション101Bは、反応器121Bと、バッファチャンバ122Bと、ステージングカセット(図示せず)の反応器121Bを支持するように適合されたステージングプラットフォーム123Bとを含む。
[0042]図1Cは、FI102と反応器121A、121Bと、バッファチャンバ122A、122Bと、ステージングプラットフォーム123A、123Bと、を図示したシステム100の概略的な垂直断面図である。好ましくは、図1Bおよび図1Cで図示されるとおり、バッファチャンバ122A、122Bは、隣接しているだけでなく、それぞれ反応器121A、121Bと垂直に整列され、これによりバッチシステム100の設置面積を最小にする。図1B、1Cに図示された構成では、バッファチャンバ122A、122Bは、それぞれ反応器121A、121Bの真下に配置されている。バッファチャンバ122A、122Bは、処理カセット146を反応器121A、121Bにそれぞれ装填および取り出しするための真空ロードロックとして作用するように適合されている。バッファチャンバ122A、122Bは真空源に流体的に結合されている。真空源は、遠隔の真空源、またはシステム100内に収容された真空ポンプ171であってもよい。バッファチャンバのポンピングおよび排気の間は反応器121A、121Bがアイドル状態であるため、バッファチャンバ122A、122Bをポンプダウンおよび排気するために必要な時間を最小にすることが重要である。この目的のために、バッファチャンバ122A、122Bはさらに、ポンピングおよび排気プロセスの速度を上げるために、処理カセットを収容するのに必要な最小容積を含むように適合されている。例えば、垂直に整列された列で円形の基板を支持するように適合された処理カセットの場合は、バッファチャンバ122A、122Bは、好ましくは、図1Bに描かれているとおり、処理カセットの上および下に最小の垂直方向間隙を有し、処理カセットおよび処理カセット内の基板周りに最小の半径方向間隙を有する円筒形のチャンバとして構成されている。バッファチャンバ122A、122Bは両方とも、P600と、移送開口36、37と、真空気密ドア156、157とをさらに含む。リフト機構600は、空気式アクチュエータ、ステッパモータ、または当分野で公知の他の垂直アクチュエータであってもよい。
[0043]動作中、処理カセット146は、移送ロボットアセンブリ103によってステージングカセット186から基板Wを装填され、一方、バッファチャンバ(本実施例では、バッファチャンバ122A)は大気に通気され、移送開口36は移送領域135に開いている。明確にするため、5枚のブレード161で構成された1つのロボットアーム162のみが図1Cで図示されている。基板の装填および取り出しプロセスは、図1F〜1Iに関連して以下で説明される。真空気密ドア156は閉じられ、バッファチャンバ122Aは、プロセス容積127内に存在する同一レベルの真空(一般的に、約0.5〜20トール)までポンプダウンする。次に、真空気密ドア157は開放され、リフト機構600が、基板WのALDまたはCVD処理のために、処理カセット146をプロセス容積127内へ移送する。幾つかのALDおよびCVDプロセスについては、バッファチャンバ122A内の基板Wに圧力サイクルを加えることが望ましい。すなわち、バッファチャンバ122Aは、プロセス圧力まで交互にポンプダウンされ、高乾燥ガスで通気されて、基板Wの表面と処理カセット146上で吸収された残留水分を除去する。一構成においては、リフト機構600は、バッファチャンバ122Aまで下げて戻され、プロセス容積127内での処理の間、真空気密ドア157は閉じられる。処理が完了した後、リフト機構600は処理カセット146をバッファチャンバ122Aに移送して戻し、真空気密ドア157が閉じて、プロセス容積127をバッファチャンバ122Aから分離する。次に、バッファチャンバ122Aは大気圧に通気され、基板Wは、冷却のためにステージングカセット186に移送され、その後システム100から除去される。
[0044]基板Wをステージングカセット186に移送する間に、真空気密ドア157を有するバッファチャンバ122Aからプロセス容積127を隔離することによって、プロセス容積127を、基板バッチの間のプロセス温度および圧力に可能な限り近づけて維持することができる。これは、プロセス容積127内のプロセス条件を所望の条件に安定化させるのにほとんど時間を要さないため、プロセスの再現性とスループットに有益である。バッチ処理チャンバのプロセス容積127は、典型的な処理カセット146を収容するために、比較的大きい場合がある(例えば、1m程度の高さ)。このため、プロセス容積127内の圧力および温度の安定には、大気圧に通気された後では、時間を要することがある。したがって、チャンバのアイドル時間(この場合は、安定化時間)は、処理カセット146とステージングカセット186との間で基板を移送している間は、プロセス容積127を隔離することによって大幅に減少される。さらに、バッファチャンバ122Aと反応器121Aとの間で処理カセット146を移送する結果として、汚染物質がプロセス容積127にほとんど侵入できない。
[0045]一構成においては、リフト機構600が、反応器を機能させるのを補助するように適合されてもよい。図1Bを参照すると、リフト機構600を利用することにより、反応器121Aのアクセスしにくい構成要素をバッファチャンバ122A内まで降下させて、アクセスパネル120Aから容易に外せるようにしてもよい。保守性を改善することによって、メンテナンス作業中のシステム停止時間を減少させて、COOを改善する。
[0046]反応器121A、121Bは、処理カセット146上に支持され、このカセット内に収容されている基板Wに対してCVDおよび/またはALDプロセスを実行するように適合されている。本発明の幾つかの構成に含まれるALDまたはCVD反応器のより詳細な説明は、本発明の譲受人に譲渡された2005年11月22日付で出願された米国特許出願番号第11/286,063号で見ることができる。なお、本発明の特許請求の範囲と矛盾しない範囲で、上記特許出願の全内容は参照により本明細書に組み入れるものとする。反応器121A、121Bは、必要な適切な反応性前駆体と他のプロセス流体とを提供するように適合されたプロセス流体送出システムと流体的に結合している。好ましくは、プロセス流体送出システムは、施設タワー130内に収容され、図1Aで図示されたオーバーヘッドラック140を介して反応器121A、121Bに結合されている。施設タワー130は、図1Nに関連して以下に説明される。システムコントローラ111などの電気設備または他の設備が、施設タワー130内に置かれてもよい。あるいは、流体送出システムは、製造工場の別の領域内に離れて配置されてもよく、床下の接続体(図示せず)によって反応器121A、121Bに流体的に結合されてもよい。
[0047]図1Cを参照すると、ステージングプラットフォーム123A、123Bが、FI102内に配置され、それぞれ、反応器121A、121Bと近接したステージングカセット186を支持するように適合されている。典型的には、基板は、ALDまたはCVDチャンバ内でのバッチ処理中よりも低密度で、密封式基板輸送ポッド(以降、前面ドア付きポッド(FOUP)と称する)内で支持されている。すなわち、FOUP内では、基板と基板の間隔は、10mmであるのに対し、処理カセット146内では、6mmから8mmの間隔である。バッチ処理チャンバに近接して支持されたステージングカセット186は、基板が処理カセット146内で支持される密度と同一の基板密度で基板を支持するように適合されてもよく、これにより大きなスループットと費用利益を実現することに留意することは重要である。例えば、図1Gに関連して以下に説明されるような簡単な単一ブレードのロボットアームを用いて、ステージングプラットフォーム123A、123Bとロードステーション104A〜Cとの間で基板を移送してもよい。複数ブレードのロボットアームを用いて、ステージングプラットフォーム123A、123Bとロードステーション104A〜Cとの間で基板を移送することは、単一ブレードのロボットアームを用いる場合よりも高速であるが、一般的に、単一ブレードによる基板の移送を超えるスループット利得はない。この理由は、ステージングプラットフォーム123A、123Bとロードステーション104A〜Cとの間の基板移送は、「オフライン」、すなわち、反応器121A、121Bが基板を処理している間に、実施されるからである。システムのスループットに直接影響を与える移送時間は、図1A〜Cに関連して上述したとおり、ステージングプラットフォーム123A、123Bとバッファチャンバ122A、122Bとの間の時間である。
[0048]ステージングカセット186は、基板が処理カセット146内で支持される基板密度と同一の基板密度で基板を支持するように適合されてもよいことから、基板の移送は、図1Iに関連して以下に説明されるとおり、複数ブレードの固定ピッチのロボットによりステージングカセット186と処理カセット146との間でなされてもよい。複数の基板を一度に移送できるため、複数ブレードのロボットは基板の移送時間を大幅に減少させる。この結果、移送時間の短縮が反応器のアイドル時間を減少することから、システムのスループットを大幅に改善できる。
[0049]ステージングカセット186と処理カセット146は、比較的多数の基板(すなわち、標準的なFOUPに典型的に収容されるよりも多く)を支持するよう適合されてもよい。プロセスによっては(例えばALDプロセス)長時間を要するため、COOにとっては、実行可能な限り多数の基板を1回のバッチで処理することが有益である。したがって、ステージングカセット186および処理カセット146は、好ましくは、約50〜約100枚の基板バッチを支持するように適合される。より大きなバッチも可能であるが、信頼できる安全な方法で、このように大きなカセットを操作することは、問題を引き起こすことが多くなる。処理カセット146は、所望のプロセス特徴に応じて、例えば、石英、炭化ケイ素または黒鉛などの任意の適切な高温材料で構成されてもよい。
[0050]ステージングプラットフォーム123A、123Bはまた、反応器121A、121Bから取り出した後に、基板を冷却する冷却プラットフォームとして機能してもよい。典型的には、ALDおよびCVDチャンバから取り出された基板は、極めて熱く(すなわち、>100℃)、標準的なFOUPに直接装填できない。ステージングプラットフォーム123A、123Bはまた、図1Cで示されるとおり、従来のロボット垂直運動アセンブリ187を用いて適合されてもよい。システム100の複雑さを最小限にするために、好ましくは、ステージングプラットフォーム123A、123Bは静止構成要素であり、基板のハンドオフに必要な垂直運動は、移送ロボットアセンブリ103によって実行される。
[0051]システム100の一構成においては、ステージングプラットフォーム123A、123B上で支持されるステージングカセット186は、バッファチャンバ122A、122B内に置かれた処理カセット146よりも多数の基板支持棚185を収容してもよい。これによって、第3の基板ステージング位置を用いずに、且つ、第2の移送ロボット86B(図1Hに関連して以下に説明される)などの追加の移送ロボットアセンブリを用いずに、ステージングカセット186と処理カセット146との間で基板を交換することができる。例えば、図1Cを参照すると、処理カセット146は、9つの基板支持棚185を有し、ステージングカセット186は、9つの基板支持棚185に加え、1つまたは複数の追加の棚185Aを有する。これにより、移送ロボットアセンブリ103は、処理カセット146から処理された基板Wを取り出し、基板Wを未使用の追加の棚185Aに置くことができる。次に、未処理の基板が、移送ロボットアセンブリ103によって、ステージングカセット186から処理カセット146内の現在空の支持棚185に取り出されて、ステージングカセット186内の支持棚185のうちの1つを空の状態に維持する。その後、上記プロセスは、最初は処理カセット146内にある基板全てが、最初はステージングカセット186内にある基板と交換されるまで、繰り返されてもよい。同様の構成においては、移送ロボットアセンブリ103が、ステージングカセット186と処理カセット146との間で基板を移送するために複数のブレードのロボットアーム(図1Iに関連して以下に説明される)を含む場合は、追加の棚185Aの数は、移送ロボットアセンブリ103の複数ブレードのロボットアームのブレードの数と等しいことが好ましい。これによって、上述と同一の基板交換手順ではあるが、複数の基板を一度に交換することができる。
[0052]システム100の別の構成では、ステージングカセット186は、バッチ処理の間、ダミーの基板(すなわち、非生産基板)を支持するための複数の追加の棚185Aを収容してもよい。熱の不均一性および他の要因によって、処理カセットの最上部および底部近くの基板は、処理カセット内の大部分の基板と比べて、均一に処理されないことが多い。処理カセットの最上部および底部の基板支持棚に1枚または複数枚のダミー基板を配置することによって、この問題を改善してもよい。非生産のダミー基板は、処理カセット146の最上部の1〜5つの基板支持棚185と、底部の1〜5つの支持棚185に置かれる。ダミー基板は、交換される前に、複数回のバッチプロセス(例えば、約5回または10回)に用いられてもよく、したがって、バッチプロセスが実行されるたびに、システム100から取り外される必要がない。ダミー基板を処理カセットに再度装填するのに必要な時間を減少させるために、本発明の態様は、ステージングカセット186内に収容される追加の棚185A上にダミー基板を保存することを考えている。この結果、ダミー基板は、バッチプロセスがバッチ処理ステーション101A、101B内で実行されていないときは常に、バッチ処理ステーション101A、101Bと近接した移送領域135内に保存されている。処理カセットへダミー基板を装填するのに必要な時間を減少させることに加えて、追加の棚185A上にダミー基板を保存することによって、ストッカ150(図1Bで示され、図1Pおよび図1Qに関連して以下に説明される)内の保存される必要があるFOUPの数が減少する。
[0053]一構成では、ステージングプラットフォーム123A、123Bはそれぞれ、未処理の基板の第1処理カセットを第2処理カセットの処理済み基板と回転式に交換するための2カセット回転テーブルとして機能するように適合される。図1Dは、それぞれが2カセット回転テーブル129A、129Bによって機能する、2つのバッチ処理ステーション101A、101Bで構成されたシステム100の概略的な平面図を図示している。この構成では、ステージングカセット186は、第2の処理カセットとして作用する。
[0054]処理カセット146内の基板バッチは、バッチ処理ステーション101Aの反応器121A内で処理される間に、ステージングカセット186はロードステーション104A〜Cから基板を装填される。反応器121A内で処理が完了した後、処理カセット146は、リフト機構(明確にすべく図示せず)によって、回転テーブル129A上にまで降下される。次に、回転テーブル129Aは、180°回転して、処理カセット146とステージングカセット186の位置を交換する。処理された基板は移送領域135内で冷却され、その後、ロードステーション104A〜C上に配置された1つまたは複数のFOUPに移送される。同時に、リフト機構は、処理のために、ステージングカセット186を反応器121Aに移送する。この結果、移送領域135から反応器121Aに基板を移送するのに著しく長い時間を必要としない。システム100のこの構成では、ステージングカセットと処理カセットとの間で個々に基板を移送するのでなく、ステージングカセットと処理カセットとは回転テーブル129Aによって簡単に交換される。一実施例においては、バッチ処理ステーション101A、101Bはそれぞれ、図1Dに関連して上述したとおり、反応器121A、121Bを隔離するためのバッファチャンバを含む。
[0055]別の構成においては、回転テーブル129A、129Bはそれぞれ、図1Eで図示されているとおり、バッファチャンバ128内に収容されている。図1Eは、処理カセット146Aを収容した反応器121Aと、2カセット回転テーブル129Aと第2処理カセット146Bとを収容したバッファチャンバ128とを含むバッチ処理ステーション101Aの断面側面図である。リフト機構600A(この場合、垂直インデクサロボット)が、回転テーブル129Aと反応器121Aとの間でカセットを移送する。処理カセット146Aの処理の間、バッファチャンバ128は大気圧に通気され、真空気密ドア156が開いて、移送ロボットアセンブリ103から第2処理カセット146Bへのアクセスを可能にする。第2処理カセット146Bに基板が装填された後、真空気密ドア156が閉じられ、バッファチャンバ128は通気または圧力サイクルに入り、第2処理カセット146Bを処理カセット146Aと交換する準備をする。この構成によって、反応器121Aに処理カセットを迅速に再装填し、これにより反応器の停止時間を最小にすることができる。バッファチャンバ128の全てのポンプダウンと通気は、基板が反応器121A内で処理されている間になされる。
ファクトリインターフェース
[0056]図1Cを再度参照すると、FI102は、移送ロボットアセンブリ103と、移送領域135と、環境制御アセンブリ110と、1つまたは複数のロードステーション104A〜C(図1Aに図示)とを含む。FI102は、ファン駆動の空気濾過装置によって、清浄なミニ環境として、すなわち局所的大気圧の低汚染環境として、移送領域135を維持する。FI102は、ロードステーション104A〜Cのいずれかの上に配置されたFOUPと反応器121A、121Bとの間で、基板が移送される清浄な環境(すなわち移送領域135)を提供するように意図されている。最も新しく処理された基板はまた、システム100から出てFUOPに入る前に、移送領域135の低汚染環境内で処理した後に冷却されることができる。
[0057]図1Cはシステム100の概略的な垂直断面図であって、FI102と、反応器121A、121Bと、バッファチャンバ122A、122Bと、ステージングプラットフォーム123A、123Bとを図示している。明確にすべく、ロードステーション104A〜Cは示されてない。一態様では、環境制御アセンブリ110は、HEPAフィルタなどのフィルタ191と、ファン装置192とを含む濾過装置190を含む。ファン装置192は、フィルタ191を通り、移送領域135を通り、およびFI102の基底部193Aから空気を押し出すように適合されている。FI102は壁面193を含み、この壁面は移送領域135を囲んで、基板処理工程を実行するための優れた制御環境を提供する。一般的に、環境制御アセンブリ110は、移送領域135内における空気流量と、流れの状態(例えば、層流または乱流)と、粒子の汚染レベルとを制御するように適合されている。一態様においては、環境制御アセンブリ110はまた、空気温度と、相対湿度と、空気中の静電気量と、さらに、当分野で公知の、従来のクリーンルーム適応の暖房、換気および空調(HVAC)システムを使用して制御可能な他の典型的な処理パラメータとを制御してもよい。
[0058]ロードステーション104A〜Cは、FOUPまたはFOUP上に置かれた他の密封式基板輸送ポッドを支持、開放および閉鎖するように適合されている。したがって、ロードステーション104A〜Cは、FOUPおよび/または移送領域135の外側に存在する汚染物質に基板を曝すことなく、ロードステーションに支持されたFOUP内に収容された基板を移送領域135に流体的に結合する。これによって、清浄で完全に自動化された方法で、基板を取り外し、交換し、FOUP内で再度密封することができる。
直角座標(Cartesian)ロボット
[0059]図1Fは、FI102内で移送ロボットアセンブリ103として用いられるロボットアセンブリ11の一構成を図示している。ロボットアセンブリ11は、一般に、ロボットハードウェアアセンブリ85と、垂直ロボットアセンブリ95と、水平ロボットアセンブリ90とを含む。この結果、システムコントローラ111によって送られるコマンドから、ロボットハードウェアアセンブリ85と垂直ロボットアセンブリ95と水平ロボットアセンブリ90との協働運動によって、基板を移送領域135内の任意の所望のx、yおよびz位置に配置することができる。
[0060]ロボットハードウェアアセンブリ85は、一般的に、システムコントローラ111から送られるコマンドを用いることによって、1つまたは複数の基板を保持、移送および配置するよう適合された1つまたは複数の移送ロボット86を含む。図1Fで描かれた構成では、2つの移送ロボット86がロボットハードウェアアセンブリ85に含まれている。好ましい構成においては、移送ロボット86は、各種の移送ロボット86の構成要素の動きによって、図1Aおよび図1Fで図示されたX方向およびY方向を含む平面などの水平面で、基板を移送するように適合されている。したがって、移送ロボット86は、ロボットブレード87の基板支持表面87C(図1Mを参照)に一般的に平行な平面内で基板を移送するように適合されている。移送ロボット86の一構成の動作は、図1Mに関連して以下で説明される。
[0061]図1Gは、単一の基板Wを一度に移送するように適合される移送ロボット86を含むロボットハードウェアアセンブリ85の一構成を図示している。移送ロボットアセンブリ103についての単一基板の移送能力は、標準的なFOUPとステージングプラットフォーム123A、123Bとの間に一般的に存在する基板密度の差に関係なく、ロードステーション104A〜Cのうちの1つの上に置かれたFOUPとステージングプラットフォーム123A、123Bとの間で基板を移送することができるために、システム100に有益である。複数のブレードによって、FOUPとステージングプラットフォームとの間で基板を移送するには、可変ピッチロボットブレード(すなわち、基板間で距離、またはピッチを変更する能力を有する複数ブレードのロボットアーム)が必要である。当分野で公知であるが、可変ピッチロボットブレードは、比較的複雑であり、このため、システム全体の停止時間、従ってCOOに影響を与える可能性がある。
[0062]図1Hは、ブレード87A〜B(および第1連結部310A〜310B)を小さい距離を空けて置くことができるように、相互に対向した向きで(すなわち、垂直方向に鏡映関係で)配置された2つの移送ロボット86A、86Bを含むロボットハードウェアアセンブリ85の一構成を図示している。図1Hで示された構成(すなわち、「オーバー/アンダ」式ブレード構成)は、例えば、基板を「交換」、すなわち、最小のロボットの動きで、基板を1つの位置から取り出し、即座に、その基板を別の基板と置き換えることが望まれる場合に、有利となろう。例えば、移送ロボット86Aを用いて処理カセット146から処理基板を取り出し、即座に、その基板を、ステージングカセット186からすでに取り出され、第2移送ロボット86B上で利用可能な未処理の基板と交換することが望ましい。未処理の基板を装填する前に、処理された基板を別の位置に移送する必要がないため、ロボットハードウェアアセンブリ85またはロボットアセンブリ11を基本位置から離す必要なく、この基板の交換を行うことができ、これによりシステムのスループットを大幅に改善する。これは、特に、それぞれステージングプラットフォーム123A、123Bとバッファチャンバ122A、122Bとの間で基板を移送している間の、システム100の場合である。図1Hで図示された上/下ブレード構成によって、ステージングプラットフォーム123A、123B上に置かれた未処理の基板は、それぞれバッファチャンバ122A、122B内に置かれた処理後の基板と交換することができる。したがって、この上/下ブレード構成、またはその変形形態が用いられる場合、この基板の交換を可能にするのに、基板にいずれの追加のステージ/冷却位置も必要としない。これは、処理カセット146が空にされ、基板を再装填している間に反応器121A、121Bがアイドル状態である時間を最小にすると同時に、システム100の設置面積を大幅に減少する。
[0063]別の構成では、ロボットハードウェアアセンブリ85は、少なくとも1つの複数のブレードの固定ピッチのロボットアームをさらに含み、上述のとおり、ステージングプラットフォーム123A、123Bとバッファチャンバ122A、122Bとの間で複数の基板を交換することができる。一実施例では、移送ロボット86Aは、図1Iで図示されるとおり、5枚ブレードのロボットアーム87Hを含む。別の実施例では、移送ロボット86Aと第2移送ロボット86Bとは両方とも、複数のブレードのロボットアームを含み、図1Hに関連して上述したとおり、それぞれステージングプラットフォーム123A、123Bとバッファチャンバ122A、122Bとの間で複数の基板を交換することができる。
[0064]図1Jは、ロボットアセンブリ11のロボットハードウェアアセンブリ85の好ましい構成を図示しており、この構成には単一ブレードの移送ロボット86Cと複数ブレードの移送ロボット86Dとを含む。単一ブレードの移送ロボット86Cが、ロードステーション104A〜Cとステージングカセット186との間で基板Wを移送してもよい。複数ブレードの移送ロボット86Dが、ステージングカセット186と処理カセット146との間で基板Wを移送してもよい。
[0065]図1Aに図示されているとおり、システム100の構成によって、水平ロボットアセンブリ90によって垂直ロボットアセンブリ95を水平移動させる必要なく、それぞれ、ステージングプラットフォーム123A、123Bとバッファチャンバ122A、122Bとの間で基板を移送することができ、この結果移送時間が大幅に減少する。この構成は、処理チャンバのアイドル時間を最小にすることによって、システムのスループットを大幅に増す。反応器の各処理カセット146が未装填のときは常に反応器121A、121Bはアイドル状態であるため、基板の移送は、可能な限り迅速に実行されるべきである。基板の移送中に垂直ロボットアセンブリ95を水平方向に移動させる必要性をなくすることによって、この目的が達成される。
[0066]図1F〜図1Jで図示されているとおり、直角座標ロボットの使用によるさらなる利点は、水平多関節ロボットアーム(SCARA)などの従来の基板移送ロボットと比較して、移送領域135内で実行される基板移送には、より小さなシステムの設置面積しか必要としないことである。これは、図1Kおよび図1Lで図示されている。移送ロボットアセンブリ103を取り囲む間隙領域90Aの幅W、Wが最小となる。間隙領域90Aは、移送ロボットアセンブリ103などの基板移送ロボットと隣接した領域として画成され、基板移送ロボットの構成要素と基板Sとは、基板移送ロボットの外側にある他のクラスタツール構成要素と衝突せずに自由に動くことができる。間隙領域90Aは容積として説明されてもよいが、間隙領域90Aの最も重要な点は、水平領域(x方向およびy方向)、または、間隙領域90Aが占める設置面積であり、これは、クラスタツールの設置面積およびCOOに直接影響を及ぼす。間隙領域90Aの設置面積が、それぞれ長さLと幅W、Wによって画成された領域として、図1K、1Lで図示されている。より小さなシステムの設置面積に加えて、より小さな間隙領域によって、移送ロボットアセンブリ103と、それによってアクセスされる位置(例えば、バッファチャンバ122A、122Bおよびステージングプラットフォーム123A、123B)との間の位置決めをより近くにすることができ、これにより基板の移送時間が減少し、スループットが増す。本明細書で説明される移送ロボットアセンブリ103の構成は、図1Lで図示されたSCARA型ロボットCRよりも特に有利である。これは、図1Kで図示されているとおり、移送ロボット86が、間隙領域90Aの長さLに沿って向けられた移送ロボットの構成要素を引き込むことのできる方法による。図1Lに図示されているSCARA型ロボットCRでは不可能である。
[0067]図1G、図1H、図1Iおよび図1Mは、図1Kで示されるのと同様に、移送ロボット86として用いられる場合に引き込むことのできる2棒リンク機構ロボット305の一構成を図示している。図1Mを参照すると、2棒リンク機構ロボット305は、一般的に、支持プレート321と第1連結部310とロボットブレード87と伝達システム312と筐体313とモータ320とを含む。この構成においては、移送ロボット86として機能する2棒リンク機構ロボット305は、垂直ロボットアセンブリ95(図1Fに示された)に取り付けられた支持プレート321を介して垂直ロボットアセンブリ95に取り付けられている。図1Mは、移送ロボット86の2棒リンク機構ロボット305タイプの一構成の断面側面図を図示している。2棒リンク機構ロボット305内の伝達システム312は、一般的に、例えばモータ320の回転によってなど、動力伝達要素の運動によって、ロボットブレード87が動くように適合された1つまたは複数の動力伝達要素を含む。一般に、伝達システム312は、1つの要素から他の要素に回転または並進運動を伝えるように適合されたギア、プーリなどを含んでもよい。1つの態様では、伝達システム312は、図1Mに示されるとおり、第1プーリシステム355と第2プーリシステム361とを含む。第1プーリシステム355は、モータ320に取り付けられた第1プーリ358と、第1連結体310に取り付けられた第2プーリ356と、第1プーリ358を第2プーリ356に結合するベルト359とを有し、これによりモータ320は第1連結体310を駆動することができる。1つの態様においては、複数の軸受356Aが、第2プーリ356を第3プーリ354の軸Vの回りで回転可能にするように適合されている。
[0068]第2プーリシステム361は、支持プレート321に取り付けられた第3プーリ354と、ロボットブレード87に取り付けられた第4プーリ352と、第3プーリ354を第4プーリ352に結合するベルト362とを有し、これにより第1連結体310が回転することで、ロボットブレード87が第1連結体310に結合された軸受中心軸353(中心V)の回りで回転する。基板を移送する際に、モータが、第2プーリ356と第1連結部310とを回転させる第1プーリ358を駆動し、これにより第1連結体310とベルト362とが、静止した第3プーリ354の回りを角回転することによって、第4プーリ352を回転させる。一実施形態においては、モータ320とシステムコントローラ111とは、モータ320およびモータに取り付けられた全ての構成要素の角度位置を制御可能にする閉ループ制御システムを形成するように適合されている。一態様では、モータ320は、ステッパモータまたはDCサーボモータである。
[0069]本発明の幾つかの構成に含まれる直角座標ロボットのより詳細な説明は、本発明の譲受人に譲渡された2006年4月5日付で出願された米国特許出願番号第11/398,218号明細書で見ることができ、本発明の特許請求の範囲と矛盾しない範囲で、上記特許出願の全内容は参照により本明細書に組み入れるものとする。
プロセス流体送出システム
[0070]基板のALDおよびCVD処理については、一般的に3つの方法があり、これらの方法では、化学前駆体を用いて処理チャンバのプロセス容積に送出できるプロセス流体を生成することにより、基板上に所望の材料層を堆積する。本明細書で用いられる用語のプロセス流体は、一般的に、気体、蒸気または液体を含むものとする。第1処理方法は、アンプル内で固体形である前駆体が制御されたプロセスを用いて気化される昇華プロセスであり、これにより前駆体をアンプル内で固体から気体または蒸気に状態変化させることができる。次に、前駆体を含む気体または蒸気が処理チャンバのプロセス容積に送出される。前駆体を含んだプロセスガスを生成するのに用いられる第2の方法は蒸発方法によるものであり、この蒸発方法では、キャリアガスが温度制御された液体前駆体を通過して気泡になり、流れているキャリアガスと共に運び去られる。前駆体を生成するために用いられる第3プロセスは、ポンプを使用することによって、液体前駆体が気化器に送出される液体送出システムである。液体送出システムでは、液体前駆体は、気化器から伝達されたエネルギーを加えることによって、液体から気体に状態を変化させる。加えられたエネルギーは、典型的には、液体に加えられた熱の形をしている。前駆体を含んだプロセス流体を生成するための上述の3つの方法はいずれも、典型的には、前駆体アンプルの温度と、アンプルと処理チャンバとの間の流体送出ラインとを制御する必要がある。これは特にALDプロセスについて言えることであり、この場合、上記の送出ラインの温度制御がプロセスの再現性を達成するのに極めて重要である。したがって、前駆体温度を厳密に制御する必要がある場合、前駆体アンプルと、この前駆体アンプルによって機能する処理チャンバとの間の距離を最小にして、不必要なシステムコストと複雑さと信頼性を回避する。
[0071]図1Nは、例えば、反応器121Aなどの処理チャンバのプロセス容積にプロセス流体を送出するために用いられる前駆体送出システム501の一構成の概略図を図示している。図示された実施例では、前駆体送出システム501は、液体送出方式のプロセス流体源である。前駆体送出システム501の構成要素は、図1Aで図示された施設タワー130内に相互に近接して収容されてもよい。前駆体送出システム501は、入口ライン505を介して反応器121Aと流体的に結合され、入口ライン505は、オーバーヘッドラック140に収容されてもよい。オーバーヘッドラック140を介して入口ライン505を反応器121Aに導くことによって、バッチ処理ステーション101A、101Bへのサービスアクセスを妨げずに、前駆体送出システム501を反応器121Aに近接して配置することができる。通常は、前駆体送出システム501は、例えば、別の部屋または別の階など、反応器121Aから大きく離して置かれている。図1Nを再度参照すると、この構成における前駆体送出システム501は、一般的に、以下の構成要素を含む。すなわち、アンプルガス源512と、前駆体「A」を含むアンプル139と、液体計量ポンプ525と、気化器530と、隔離弁535と、回収容器アセンブリ540と、最終弁503とである。回収容器アセンブリ540は、一般的に、以下の構成要素を含む。すなわち、入口546と、出口548と、容器543と、容器543を取り囲む抵抗加熱要素541と、ヒータコントローラ542と、センサ544とである。一構成においては、ヒータコントローラ542は、システムコントローラ111の一部である。
[0072]前駆体送出システム501は、液体前駆体を含むアンプル139から反応器121Aのプロセス容積127にプロセスガスを送出するように適合されている。液体前駆体からガスを生成するために、液体にエネルギーを加える気化器530に前駆体を送り込む液体計量ポンプ525を用いて気化され、これにより液体前駆体を液体から気体に状態変化させる。液体計量ポンプ525は、システムコントローラ111からの命令を用いて、プロセス動作ステップを通して、所望の流量設定点で液体前駆体を制御および送出するように適合されている。次に、気化された前駆体は回収容器アセンブリ540に送出され、このアセンブリに、気化された前駆体がプロセス容積127内および基板Wの表面を横切って注入されるまで、気化された前駆体が保存される。
[0073]入口ライン505を加熱して、注入された前駆体が凝縮せずに、入口ライン505の表面上に残ることを保証し、これにより、粒子を発生し、チャンバプロセスに影響を与えることができる。また、入口ライン505および前駆体送出システム501の他の構成要素の温度を、前駆体の分解温度より低い温度に制御することにより、気相分解および/またはその上の前駆体の表面分解を防ぐのが一般的である。したがって、入口ライン505を含む、前駆体送出システム501の数多くの構成要素を確実に温度制御することがCVDおよび特にALDプロセスには重要である。温度制御は、重大なプロセス問題を回避するために、前駆体送出システム501の必要な構成要素を明確な温度幅以内に確実に維持すべきである。
[0074]入口ライン505を確実におよび正確に温度制御することは、長い入口ライン505ではより問題が多く、費用を要する理由から、入口ライン505は、前駆体送出システムによって機能する反応器に可能な限り近く前駆体送出システム501を配置することで、最小長さにされてもよい。図1Aを参照すると、前駆体送出システム501は、反応器121A、121Bである施設タワー130内に置かれてもよい。この目的のために、施設タワー130は、反応器121A、121Bに可能な限り近く、一方で、施設タワー130およびシステム100の他の構成要素のサービス(例えば、アクセスドア135Aを介したバッチ処理ステーション101Bおよび移送ロボットアセンブリ103)に対応するのに十分なサービス距離137を依然として維持する、ように配置される。サービス距離137は、SEMI(Semiconductor Equipment and Materials International)に準拠したサービス距離(通常は、36インチ程度)であってもよい。あるいは、前駆体送出システム501は、図1Bに示されるとおり、それぞれバッチ処理ステーション101A、101Bと近接する処理カセット146A、146B内に配置されてもよい。別の構成では、前駆体送出システム501は、図1Oに図示されるとおり、キャビネット145内のシステム100の上面に配置されてもよい。
FOUPストッカ
[0075]単一基板処理システムと異なり、システム100などのバッチ処理システムは、典型的には、複数のFOUPからの基板を同時に処理する。例えば、標準的なFOUPが最大25枚の基板を収容するのに対して、システム100によって処理される基板バッチは、50枚から100枚の基板であってもよい。システム100が、2つ以上のバッチ処理ステーションを含んでもよいことを考えると、最大12枚以上のFOUPに相当する、100〜200枚の多量の基板が常に、システム100内で処理されてもよい。しかし、システム100の設置面積を最小にするために、FI102は、典型的には、図1Aで図示されるとおり、2つまたは3つのロードステーション104A〜Cのみを含む。したがって、処理された基板を待つ空のFOUPは、基板を他のFOUPから装填および取り出すことを可能にするために、ロードステーション104A〜Cから取り出されなければならない。さらに、処理後に、各FOUPをロードステーション104A〜Cに正しく段階分けすることにより、正しい基板をロードステーションに装填しなければならない。さらに、FOUPは、オーバーヘッドモノレールFOUP輸送システムなどの製造工場の中心FOUP輸送システムから受け取られ、およびそこへ戻されなければならない。したがって、スループットを低下することなく、またはシステム100の設置面積を不当に拡大することなく、処理中に多数のFOUPを管理することが重要な考慮事項である。
[0076]この目的のために、システム100は、FOUPストッカ150(図1Bで図示)をロードステーション104A〜Cに近接して配置して構成されてもよい。FOUPストッカは、1つまたは複数の保存棚と、FOUP保存位置とシステム100のロードステーション104A〜Cとの間でFOUPを上げ下げすることが可能な棚を含むFOUP移送機構とを含んでもよい。一構成においては、保存棚は、それ自体が、FOUP保存位置とロードステーション104A〜Cとの間でFOUPを上げ下げするように適合されている。別の構成では、FOUPハンドラまたは他のFOUP移送装置が、FOUP保存位置とロードステーション104A〜Cとの間でFOUPを移送するように適合されてもよい。FOUPストッカは、製造ツールの前または横に配置されてもよいが、システム100の設置面積の増加を避けるには、FOUPストッカはロードステーション104A〜Cの上に配置されることが好ましい。
[0077]図1Pは、システム100などのバッチ処理プラットフォームによる処理の間、FOUPなどの密封された基板輸送ポッドを管理するように適合されたストッカ装置、すなわちストッカ150の側面図である。ストッカ150は、第1および第2垂直移送機構(すなわち、それぞれ第1ロボット713と第2ロボット715)を含む。第1ロボット713は、第1y軸成分717と、第1y軸成分717に移動可能に結合された第1x軸成分719とを含み、これにより第1x軸成分719は、第1y軸成分717の長さに沿って移動できる。同様に、第2ロボット715は、第2y軸成分721と、第2y軸成分721に移動可能に結合された第2x軸成分723とを含み、これにより第2x軸成分723は、第2y軸成分721の長さに沿って移動できる。1つまたは複数の保存位置725a、725bが、第1ロボット713と第2ロボット715との間で動作可能なように結合されている。
[0078]第1ロボット713は、第1x軸成分719が第1y軸成分717の下方部分にある場合は、第1ロボット713が、1つまたは複数のロードステーション104A〜Bにアクセスし、ロードステーション上にFOUPを位置合わせできるように構成されている。第1ロボット713はさらに、第1x軸成分719が第1y軸成分717の上方部分にある場合は、第1ロボット713が、一般に符号729aで参照されるモノレールなどのオーバーヘッドウェハキャリア輸送システムにアクセスできるように構成されている。第2ロボット715は、第2x軸成分723が第2y軸成分721の下方部分にある場合は、第2ロボット715が、1つまたは複数のロードステーション104A〜Bにアクセスし、ロードステーション104A〜B上にFOUPを位置合わせできるように構成されている。第1x軸成分719と第2x軸成分23とは、任意の保存位置725a、725bに到達するように構成されている。好ましい構成では、第1ロボット713は、保存位置725a、725bの代わりに複数の第1y軸成分717を用いて適合されている。この好ましい構成では、第2ロボット715も同様に構成されている。
[0079]図1Qは、ロードステーション104A、104Bの上の4つの保存位置725a、725b、725c、725dの好ましい配置を示す、図1Pのストッカ150の正面図である。FOUP751、753、755、757は、それぞれ保存位置725a、725b、725c、725dに保存されている。ストッカ150のFOUP容量は、保存位置725a、725b、725c、725dの上および/または隣接して加えられた追加の保存位置によって、増加されてもよい。保存位置725a、725b、725c、725dに隣接して配置された追加の保存位置は、それぞれx軸成分とy軸成分で構成された第1ロボット713と第2ロボット715と同様の1つまたは複数の追加ロボットを必要としてもよい。
複数のアームロボットプラットフォーム――ゼロ側面アクセス構成
[0080]本発明の一態様においては、複数のアームロボットプラットフォームは、このプラットフォーム間に置かれたサービス通路を有して構成された2つのバッチ処理チャンバを含み、これにより移送ロボットと堆積ステーションへの必要なサービスアクセスを提供する。必要なサービス領域は、一般的に、基板処理システムのCOO算出における設置面積の一部として含まれ、システムの設置面積全体の大きな部分を構成することが多い。さらに、必要に応じて、アクセス領域は減少されるだけでなく、処理システムの両側で削減されて、1つの処理システムが他のシステムと当接して置かれてもよく、これにより床面積を最大限に効率よく使用する。したがって、側面アクセスの必要性をなくするようにして、必要なサービス領域全てを基板処理システムの他の領域に組み入れることにより、有効設置面積を大幅に減少させることができる。
[0081]図2Aは、本発明の一態様であるバッチ処理プラットフォーム(以降、システム200と称す)の概略的な平面図であり、このバッチ処理プラットフォームでは、バッチ処理プラットフォームの全構成要素を点検するのに側面アクセスを必要としない。図2Bは、システム200の概略的な側面図である。図2Cは、システム200の斜視図である。
[0082]システム200は、一般的に、2つ以上のバッチ処理ステーション201A、201Bと、システムコントローラ111と、移送ロボット220と1つまたは複数のロードステーション104A、104Bを含むFI102と、プロセス流体送出システムと、を含む。流体送出システムは、施設タワー130A、130B内に収容されてもよく、図1Nに関連して上述した、システム100のプロセス流体送出システムと実質的に同一に構成されている。システム100に関して、FOUPストッカ(図示せず)が、基板のバッチ処理中に、FOUPまたは他の基板輸送ポッドをその場に保存するために、ロードステーション104A、104Bの上に配置されてもよい。
[0083]バッチ処理ステーション201A、201BがFI102に隣接して置かれ、共通のアクセス空間250によって相互に隔離されている。共通のアクセス空間は、バッチ処理ステーション201A、201Bへの、および移送ロボット220へのサービスアクセスを提供するように適合されている。共通のアクセス空間250が存在することによって、システム200の側面251、252に沿った側面アクセス領域の必要がなくなり、システム200を、壁部または側面251、252に沿った他の処理システムと直接接触して配置することができる。
[0084]図2A〜図2Dを参照すると、バッチ処理ステーション201Aは、反応器221Aと、反応器221Aに隣接して配置されたバッファチャンバ222Aと、FI102内に配置され、反応器221Aに近接したステージングカセット(図示せず)を支持するように適合されたステージングプラットフォーム223Aとを含む。同様に、バッチ処理ステーション201Bは、反応器221Bと、バッファチャンバ222Bと、FI102内に配置され、反応器221Bに近接したステージングカセット(図示せず)を支持するように適合されたステージングプラットフォーム223Bとを含む。バッチ処理ステーション201A、201BとFI102とオーバーヘッド破壊210とは、一般的に、システム100におけるそれらの対応物、すなわち、図1Aに関連して上述したバッチ処理ステーション101A、101Bと同一に構成されている。
[0085]システム200とシステム100との構成および動作間の相違の1つは、FI102とバッチ処理ステーション201A、201Bと移送ロボットとの相対的な向きである。システム200においては、各バッチ処理ステーションに対向して配置される1つのロードステーションが存在することが好ましい。例えば、図2Aで図示された構成では、ロードステーション104A、104Bはそれぞれバッチ処理ステーション201A、201Bと対向して配置されている。システム100とシステム200との間の別の相違は、移送ロボットの構成である。システム200では、移送ロボット220は、移送ロボットアセンブリ103と異なり、直角座標ロボットではないことが好ましい。移送ロボット220は、トラック220Tの上に取り付けられた従来のSCARA型ロボットであってもよい。移送ロボット220は、システム200の全てのバッチ処理ステーション201A、201Bを機能させるために、トラック220Tに沿って移動するように適合される。この構成のロボットに必要なサービスアクセスは少ないため、共通のアクセス空間250から、または正面膜253から適切に点検できる可能性がある。
[0086]移送ロボット220の他の特徴は、低密度のFOUPからより高密度のステージングカセットへ基板を移送するために単一ブレードのロボットアームを用いることと、ステージングFOUPから同一密度の処理カセットに複数の基板を移送するために複数ブレードのロボットアームを用いることとを含む、移送ロボットアセンブリ103と実質的に同一である。
[0087]一構成においては、静止した移送ロボット(すなわち、トラックに取り付けられていない)が、各バッチ処理ステーション201A、201Bとロードステーション104A、104Bとの間にそれぞれ置かれている。この構成では、各移送ロボットは単一のバッチ処理ステーションを提供する。バッチ処理ステーション201A、201Bがそれぞれ連続して基板バッチに異なるプロセスを実行するように適合されている場合、ストッカ150は、ロードステーション104A、104B間で、FOUPを必要に応じて移動することによって、バッチ処理ステーション201A、201B間で基板を移送することができる。
[0088]システム200は、図2Aおよび2Dに図示されているとおり、各バッチ処理ステーション201A、201Bに対して専用の施設タワー130A、130Bを含んでもよく、各専用施設タワー130A、130Bは、前駆体送出システム501を含んでいる。この構成では、施設タワー130A、130Bを用いることによって、施設タワー130A、130Bの間にアクセス開口130Cが形成される。別々のオーバーヘッドラック210A、210Bが、施設タワー130A、130Bをそれぞれ、バッチ処理ステーション202A、201Bに結合する。別の構成では、各バッチ処理ステーション201A、201Bにおいて、施設タワー130A、130Bは前駆体送出システム501を含む単一の施設タワー内に組み込まれてもよい。
カセットハンドラプラットフォーム
[0089]本発明の別の実施形態では、カセットハンドラは、チャンバのアイドル時間を最小にするために、処理チャンバと冷却ステーションとの間で処理カセットを移送する。単一アームのロボットが、基板輸送ポッドと処理カセットとの間で個々の基板を移送する。一態様においては、カセットハンドラは、1つまたは複数の処理チャンバと冷却ステーションとの間で処理カセットを移送するように適合された直線移動装置である。別の態様では、カセットハンドラは、未処理の基板のカセットを、処理済みの基板のカセットと交換するように適合された回転テーブルである。
直線移動装置の構成
[0090]図3Aは、本発明の一態様である、直線移動装置を含むバッチ処理プラットフォーム(以降、システム300と称す)の概略的な平面図である。直線移動体ロボットは、ステージングプラットフォームと、少なくとも1つのバッチ処理チャンバと、カセット積載ステーションとの間で処理カセットを移送するように適合されている。図3Bは、システム300の概略的な側面図である。
[0091]バッチ処理プラットフォームの高いスループットを維持するために、反応器のアイドル時間を最小にすることが重要である。反応器のアイドル時間の原因になる要因には、反応器に対する長いポンプダウンおよび通気時間、基板の冷却時間、並びに基板の移送時間が含まれる。図3A、3Bで図示された構成は、システムのスループットに関するこれらの各要因の寄与を削減または除去してもよい。
[0092]システム300は、1つまたは複数の反応器1301、1302と、カセット移送領域1305と、FI102と、プロセス流体送出システムとを含む。FI102は、1つまたは複数のロードステーション104A〜Cと、カセット積載ステーション1303と、環境制御アセンブリ110と、ロードステーション104A〜Cとカセット積載ステーション1303上に配置された処理カセットとの間で基板を移送するように適合された移送ロボット1304とを含む。カセット移送領域1305は、ステージングプラットフォーム1306と直線移動体ロボット1320とを含む。直線移動体ロボット1320は、水平レール1321に取り付けられ、ステージングプラットフォーム1306と反応器1301、1302とカセット積載ステーション1303との間で処理カセットを移送するように適合されている。プロセス流体送出システムが、施設タワー130A、130B内に収容されてもよく、図1Nに関連して上述したシステム100のプロセス流体送出システムとほぼ同一に構成されている。システム100に関して、FOUPストッカが、基板のバッチ処理中に、FOUPまたは他の基板輸送ポッドをその場に保存するために、ロードステーション104A〜Cの上に配置されてもよい。
[0093]構成および動作の点で、システム200の対応する構成要素と実質的に同一であるシステム300の構成要素は、FI102と移送ロボット1304と反応器1301、1302と施設タワー130A、130Bとプロセス流体送出システムとを含む。
[0094]動作中、FI102内に配置され、カセット積載ステーション1303上に配置された第1処理カセット1330が、移送ロボット1304によって、ロードステーション104A〜C上に配置された1つまたは複数のFOUPから基板を装填される。一構成では、移送ロボット1304は、図2A〜Cに関連して上述した移送ロボット220と同様に、単一のトラックに取り付けられたロボットであってもよい。次に、第1処理カセット1330は、垂直インデクサまたは電動リフトなどの垂直リフト機構1303Aによって、ロードロック1309と隣接する位置に垂直に平行移動する。次に、第1処理カセット1330がロードロック1309内に装填され、カセット移送領域1305と反応器1301、1302内に存在する真空レベルとほぼ等しい真空レベルまでポンプダウンされる。第1処理カセット1330はまた、カセット移送領域1305に入る前に、圧力サイクルを加えられてもよい。ポンプダウンの後、真空気密ドア1312が開き、第1処理カセット1330が、カセットリフト機構を用いて適合されている直線移動体ロボット1320によって、ロードロック309からカセット移送領域1305へ移送される。直線移動体ロボット1320が、水平経路1322に沿って処理カセットを平行移動し、処理カセットを垂直経路1323に沿って1つまたは複数の反応器1301、1302へおよびそこから垂直に移送し、並びに、ステージングプラットフォーム1306上またはそこから離れるように処理カセットを移送する、ように適合されている。次に、第1処理カセット1330が、直線移動体ロボット1320によって、反応器1301または1302などのアイドル反応器に装填される。処理が完了した後、第1処理カセット1330は、直線移動体ロボット1320によって、反応器1301から取り出され、冷却するためにステージングプラットフォーム1306まで移送される。基板が十分に冷却された後、第1処理カセット1330は、直線移動体ロボット1320によってロードロック1309に移送され、大気圧に通気され、垂直リフト機構1303AによってFI102にまで降下され、移送ロボット1304によって取り出される。あるいは、第1処理カセットは、大気圧に通気された後、ロードロック1309内で大気冷却を受けてもよい。この構成では、自由対流または強制対流冷却が用いられてもよい。
[0095]好ましい順序では、反応器1301内の第2処理カセット1331に関する処理が完了する前に、第1処理カセット1330が未処理の基板を有するロードロック1309内に配置される。その間は、反応器1301は、短時間(すなわち、約1分程度)アイドル状態である。反応器のアイドル時間は、第1処理カセット1330を反応器1301内へ移送する時間を加えた、直線移動体ロボット1320が第2処理カセット1331をステージングプラットフォーム1306にまで移送するのに必要な時間よりも短い。基板の装填および取り出し、および、ロードロックのポンピングと通気は、「オフライン」、すなわち、反応器が基板を処理している間に実行される。したがって、ロードステーション104A〜Cから反応器1301、1302への基板の移送において時間のかかり工程が実施されている間は、反応器はアイドル状態ではないことから、システムのスループットを最大にできる。好ましくは、反応器1301、1302は、段階分けされ(すなわち、基板処理は互いに交互に開始される)、反応器の装填および取り出しが、直線移動体ロボット1320の利用度によって制限されないことを保証する。
[0096]代替構成においては、カセット移送領域1305は、好ましくは、低湿度の不活性ガス(例えば乾燥窒素)でパージされた大気圧移送領域である。この構成では、処理カセットにFI102内の基板を装填され、真空のロードロックを通らずに、直接反応器1301、1302に移送される。この構成では、垂直リフト機構1303Aとロードロック1309は必要ない。
[0097]別の代替構成では、システム300の各反応器1301、1302は、同一基板バッチに異なるバッチプロセスを順次実行するように適合されてもよい。この構成では、好ましい処理シーケンスには、反応器1301内の第1処理カセット1330を第1バッチプロセスで処理することと、第2バッチプロセスで処理するために直線移動体ロボット1320を用いて反応器1302まで第1処理カセット1330を移送することとが含まれる。次に、第1処理カセット1330はステージングプラットフォーム1306に移送され、上述のとおり、冷却された後にシステム300から取り除かれる。
回転交差構成
[0098]図4Aは、本発明の一態様である、バッチ処理プラットフォーム(以降、システム400と称す)の概略的な平面図であり、このバッチ処理プラットフォームでは、回転交差ロボットが、2つの反応器と2つの真空ロードロックとの間で2組の処理カセットを回転式に交換するように適合されている。図4Bは、システム400の概略的な側面図である。
[0099]上述のとおり、システムのスループットは、反応器が基板を処理している間に(例えば、基板の装填および取り出し、並びにロードロックのポンピングおよび通気)、基板の移送のうち最も時間のかかる要素を実行することによって、大幅に改善される。図4Aおよび4Bで図示されている構成は、システムのスループットに関するこれらの要因の寄与を削減または除去してもよい。
[0100]システム400は、2つの反応器401、402と、2つの真空ロードロック403、404と、真空ロードロック403、404と反応器401、402の下に配置された排気カセット移送領域406と、FI102と、プロセス流体送出システムとを含む。真空ロードロック403、404は、処理済の基板を収容するカセットの冷却ステーションとして機能してもよく、さらに、真空ロードロック内に置かれた処理カセットとロードステーション104A〜Cとの間で基板を移送するための積載ステーションとして機能してもよい。FI102は、1つまたは複数のロードステーション104A〜Cと、環境制御アセンブリ110と、ロードステーション104A〜Cと真空ロードロック403、404との間で基板を移送するように適合された移送ロボット405とを含む。移送ロボット405は、図2A〜図2Cに関連して上述したとおり、移送ロボット220と実質的に同一の単一トラックに取り付けられたロボットであるが、延出されたz運動能力を有する。システム400はまた、排気されたカセット移送領域406内に配置された回転交差ロボット407を含む。回転交差ロボット407は、垂直経路407Aに沿った垂直運動によって、反応器401、402と真空ロードロック403、404内にカセットを配置し、およびそこからカセットを取り出すように適合されている。回転交差ロボット407はさらに、処理済みの基板を収容する2つの処理カセットを、未処理の基板を収容する2つの処理カセットと回転式に交換するように適合されている。
[0101]構成および動作の点で、システム200の対応する構成要素と実質的に同一のシステム400の構成要素には、FI102と移送ロボット405と反応器401、402と施設タワー130A、130Bと、オーバーヘッドラック140と、プロセス流体送出システムとが含まれる。システム100に関して、FOUPストッカが、基板のバッチ処理の間に、FOUPまたは他の基板輸送ポッドをその場に保存するために、ロードステーション104A〜Cの上に配置されてもよい。
[0102]動作中、真空ロードロック403、404内に置かれた処理カセットには、移送ロボット405を用いてロードステーション104A〜Cから基板が装填される。真空気密ドア156が閉じ、真空ロードロック403、404が、排気されたカセット移送領域406内に存在する真空と同一レベルまで排気される。ゲート弁420が開き、処理カセットが、回転交差ロボット407によって、排気カセット移送領域406にまで降下される。その後、回転交差ロボット407は180°回転して、反応器401、402の下へ処理カセットを置く。ゲート弁421が開き、回転交差ロボット407が、処理カセットを反応器401、402に装填し、ゲート弁421が閉じ、次にALDまたはCVD処理が、処理カセット内に収容された基板に対して実行されてもよい。反応器401、402内の処理が完了した後、回転交差ロボット407は、降下、回転および持ち上げといった同様のプロセスによって、処理カセットを真空ロードロック403、404に戻す。真空ロードロック403、404は大気圧に通気され、十分に冷却されると、ロードステーション104A〜C上に配置された1つまたは複数のFOUPに移送される。
[0103]好ましい順序では、2つの処理カセットは、真空ロードロック403、404内の2つの処理カセットに未処理の基板が装填されると同時に、反応器401、402内で処理される。このようにして、反応器が2つの他のカセットを処理している間に、未処理の基板を収容するカセットが装填され、ポンプダウンされる。さらに、反応器が他のカセットを処理している間に、新しく処理された基板を収容するカセットが大気に通気され、冷却され、取り出される。したがって、反応器のアイドル時間は、数秒(すなわち、回転交差ロボット407が、処理カセットを降下し、回転させ、持ち上げるのに必要な時間)にまで減少する。
大気回転テーブル構成
[0104]図5は、本発明の一態様である、バッチ処理プラットフォーム(以降、システム500と称す)の概略的な平面図であり、このバッチ処理プラットフォームでは、直線水平運動を有する回転テーブルが、2つのステージングプラットフォームと2つのバッチ処理ステーションとの間で処理カセットを移送する。
[0105]基板処理プラットフォームのCOOの重要な構成要素は、予定されたおよび予期しないメンテナンスに関連する停止時間である。したがって、処理プラットフォームは、比較的高い公称スループット(すなわち、1時間当たりに処理された基板)を有することもあるが、処理プラットフォームが、他のシステムと比較して大幅に長い停止時間を受ける場合は、処理プラットフォームは、他のシステムよりもかなり低い長期間のスループット(すなわち、1ヶ月当たりに処理された基板)を実質的に有することもある。そのために、あまり複雑でない運動を実行する、より少数のロボットを有することが処理プラットフォームの有利な特徴である。図5で図示されている構成はこの特徴を有している。
[0106]システム500は、2つのバッチ処理ステーション501A、501Bと、大気移送領域502と、2つのステージングプラットフォーム503A、503Bと、単一の移送ロボット504と、プロセス流体送出システムと、処理カセットを回転および直線水平運動で移送するように適合された回転テーブル505Aとを含む。大気移送領域502は、構成および動作の点で、図1Cに関連して上述したFI102と同様であり、移送ロボット504と、1つまたは複数のロードステーション104A〜Bと、環境制御アセンブリ(明確にすべく図示せず)とを含む。バッチ処理ステーション501A、501Bは、構成および動作の点で、図1A、1Bに関連して上述したバッチ処理ステーション101A、101Bと同様である。重要な相違は、ステージングプラットフォーム503A、503Bはそれぞれバッチ処理ステーション501A、501Bに隣接して配置されていないことである。代わりに、処理カセットが、ステージングプラットフォーム503A、503Bと、バッチ処理ステーション501A、501B内に含まれるバッファチャンバとの間で移送される。処理カセットは、回転テーブル505Aによって、水平に半径方向に動くことで、バッファチャンバに水平に装填される。移送ロボット504は、図2A〜Cに関連して上述した移送ロボット220と実質的に同一の単一トラックに取り付けられたロボットである。しかし、移送ロボット504は静止していてもよく、これによって移送ロボット504の費用と複雑さを減少させ、および移送ロボット504の信頼性を向上させる。典型的なFOUPと処理カセットとの間の基板における相違から、移送ロボットは、単一ブレードのロボットアームのみを装備していることが好ましく、それによって、移送ロボット504の複雑さと費用をさらに減少させる。
[0107]構成および動作の点でシステム200の対応する構成要素と実質的に同一である、システム500の他の構成要素には、施設タワー130A、130Bと、オーバーヘッドラック140と、プロセス流体送出システムとが含まれる。システム100、200に関しては、FOUPストッカが、基板のバッチ処理中に、FOUPまたは他の基板輸送ポッドをその場に保存するために、ロードステーション104A、104Bの上に配置されてもよい。
[0108]動作中、移送ロボット504によって、ステージングプラットフォーム503A、503B上に配置された処理カセットに、未処理の基板が装填されてもよい。ステージングプラットフォーム503A、503Bはさらに、新しく処理された基板の冷却ステーションとして機能してもよい。回転テーブル505Aは、水平アクチュエータと小さなz運動とを用いて未処理の基板が装填された処理カセットを取り出すように適合されている。次に、回転テーブル505Aは、未処理の基板の処理カセットをアイドル状態のバッチ処理ステーションに隣接して配置するために、必要に応じて回転する。処理後、回転テーブル505Aは、冷却、取り出し、および未処理の基板を再度装填するために、カセットをステージングプラットフォーム503A、503Bに戻す。
[0109]好ましい順序では、バッチ処理ステーション501A、501Bが基板を処理している間に、基板の冷却、装填および取り出し動作が実行される。第1処理カセットが、ステージングプラットフォーム(例えば、ステージングプラットフォーム503A)上に配置され、バッチ処理ステーション(例えば、バッチ処理ステーション501A)が、第2処理カセット内で基板を処理している間に、基板が装填される。バッチ処理ステーション501A内の処理が完了する前に、入口ライン505が第1処理カセットをステージングプラットフォーム503Aから取り出す。第2処理カセットに対する処理が完了すると、回転テーブル505Aは、第2処理カセットをバッチ処理ステーション501Aから取り出し、180°回転させて、第1処理カセットをバッチ処理ステーション501A内に置く。次に、回転テーブル505Aは、冷却およびその後の取り出しのために、第2処理カセットを利用可能なステージングプラットフォーム503A、503B上に配置する。このようにして、バッチ処理ステーション501Aは、数秒の間(すなわち、回転テーブル505Aがカセットを取り出し、180°回転し、第2カセットをバッチ処理ステーションに配置するのに必要な時間)のみアイドル状態である。さらに、図5で図示された構成は、バッチ処理プラットフォームの他の構成よりも少なくおよび/または簡単なロボットを有する。
[0110]一構成においては、ステージングプラットフォーム503A、503Bは、基板および/または基板上の処理カセットの移送に適応するために、十分に垂直運動することができる。この構成はさらに、回転テーブル505Aの設計を簡単にし、それによって回転テーブルの信頼性を高める。
[0111]上記の説明は、本発明の実施形態に関するが、本発明の他のおよびさらなる実施形態が、本発明の基本的な範囲から逸脱することなく、考案されてもよく、本発明の範囲は、添付の特許請求の範囲によって決定される。
基板移送に複数のアームロボットを用いるバッチ処理プラットフォームの概略的な平面図である。 図1Aのバッチ処理システムの斜視図である。 ファクトリインターフェースと反応器とバッファチャンバとステージングプラットフォームとを図示した、バッファ処理システムの概略的な垂直断面図である。 それぞれ2つのカセット回転テーブルによって機能する、2つのバッチ処理ステーションを用いて構成されたバッチ処理システムの概略的な平面図を図示している。 バッチ処理ステーションの断面側面図である。 ファクトリインターフェースで用いられてもよいロボットアセンブリの一構成を図示している。 単一の基板を一度に移送するように適合されてもよい移送ロボットを収容したロボットハードウェアアセンブリの構成を図示している。 それぞれ対向した向きに配置される2つの移送ロボットを収容したロボットハードウェアアセンブリの一構成を図示している。 5つのブレードロボットアームを図示している。 単一のブレード移送ロボットと複数のブレード移送ロボットとを含むロボットハートウェアアセンブリの好ましい構成を図示している。 直角座標ロボットの隙間領域を図示している。 従来のロボットの隙間領域を図示している。 2棒リンク機構ロボットの一構成の断面側面図を図示している。 前駆体送出システムの一構成の概略図を図示している。 前駆体送出システムをシステムの上面に配置した、バッチ処理システムの斜視図である。 例示的なストッカ装置の側面図である。 図1Pのストッカ装置の正面図である。 バッチ処理プラットフォームの概略的な平面図である。 バッチ処理プラットフォームの概略的な側面図である。 バッチ処理システムの斜視図である。 バッチ処理システムの斜視図である。 バッチ処理プラットフォームの概略的な平面図である。 バッチ処理プラットフォームの概略的な側面図である。 バッチ処理プラットフォームの概略的な平面図である。 バッチ処理プラットフォームの概略的な側面図である。 バッチ処理プラットフォームの概略的な平面図である。
符合の説明
36…移送開口、101…バッチ処理ステーション、102…ファクトリインターフェース、103…移送ロボットアセンブリ、104…ロードステーション、111…システムコントローラ、120…アクセスパネル、121…反応器、122…バッファチャンバ、123…ステージングプラットフォーム、127…プロセス容積、130…施設タワー、135…移送領域、146…処理カセット、150…FOUPストッカ、161…ブレード、162…ロボットアーム、186…ステージングカセット、171…真空ポンプ。

Claims (15)

  1. 第1基板処理チャンバと、
    前記基板処理チャンバに隣接して配置された第1バッファチャンバであって、前記第1バッファチャンバの内部容積が、前記第1基板処理チャンバの内部プロセス容積と選択的に流体連通している、第1バッファチャンバと、
    2枚以上の基板を第1間隔で支持するように適合された処理カセットであって、前記処理カセットが、前記第1バッファチャンバと前記第1基板処理チャンバとの間で移送可能である、処理カセットと、
    前記バッファチャンバと流体連通し、前記第1バッファチャンバ内の圧力を大気圧より低い圧力に低減するように適合された、真空ポンプと、
    大気移送領域を有するファクトリインターフェースと、
    前記大気移送領域と前記第1バッファチャンバの前記内部容積との間に配置され、前記内部容積を前記大気移送領域から流体的に隔離するように適合されたスリット弁と、
    前記大気移送領域内に配置され、2枚以上の基板を前記第1間隔で支持するように適合された、ステージカセットと、
    前記大気移送領域内に配置され、単一の基板取り扱いブレードを用いて、基板輸送ポッドと前記ステージカセットとの間で基板を移送し、複数の基板取り扱いブレードを用いて、前記ステージカセットと前記処理カセットとの間で基板を移送するように適合された移送ロボットと、
    を備える、基板処理装置。
  2. 前記ファクトリインターフェースが、
    前記大気移送領域へ濾過空気を供給するよう適合された濾過装置と、
    前記大気移送領域に隣接して前記基板輸送ポッドを取り付けるための少なくとも1つのロードステーションであって、前記少なくとも1つのロードステーションがさらに、前記基板輸送ポッドの内部が前記大気移送領域と流体連通するために前記基板輸送ポッドを開放するように適合され、前記基板輸送ポッドが、2枚以上の基板を第2間隔で水平に収容するように適合されている、少なくとも1つのロードステーションと、
    をさらに備える、請求項1に記載の装置。
  3. 第2基板処理チャンバと、
    前記第2基板処理チャンバと隣接して配置された第2バッファチャンバであって、前記第2バッファチャンバの内部容積が、前記第2基板処理チャンバの内部プロセス容積と選択的に流体連通している、第2バッファチャンバと、
    2枚以上の基板を前記第1間隔で支持するように適合された第2処理カセットであって、前記第2処理カセットが、前記第2バッファチャンバと前記第2基板処理チャンバとの間で移送可能である、第2処理カセットと、
    2枚以上の基板を前記第1間隔で支持するように適合され、前記移送ロボットが、前記複数の基板取り扱いブレードを用いて、前記第2ステージカセットと前記第2処理カセットとの間で基板を移送するようさらに適合された、第2ステージカセットと、
    をさらに備える、請求項1に記載の装置。
  4. 前記第1基板処理チャンバの前記内部プロセス容積と流体連通する流体送出システムをさらに備え、前記流体送出システムが、化学気相堆積(CVD)または原子層堆積(ALD)プロセスが、内部に配置された1枚または複数の基板上で実行きるように、前記内部プロセス容積に前駆体含有流体を送出するように適合されている、請求項1に記載の装置。
  5. 前記処理カセットを前記第1基板処理チャンバに、およびそこから移送するように適合された垂直リフト機構をさらに備える、請求項1に記載の装置。
  6. 前記移送ロボットが、
    2棒リンクアームと、
    前記2棒リンクアームを線形経路に沿って位置合わせするように適合された運動アセンブリであって、前記線形経路が、前記少なくとも1つのロードステーションと前記第1基板処理チャンバとに近接した位置を含む、運動アセンブリと、
    を備える、請求項1に記載の装置。
  7. 第2基板処理チャンバと、
    前記第1基板処理チャンバと前記第2基板処理チャンバとの間の前記大気移送領域内に配置され、前記移送ロボットと前記第1および第2処理チャンバに対して必要なサービスアクセスを全て提供するように適合されたサービス通路と、
    前記第1基板処理チャンバに近接する第1ロードステーションであって、前記第1移送ロボットが、前記第1ロードステーションと前記第1基板処理チャンバとに近接している、第1ロードステーションと、
    前記第2基板処理チャンバに近接する第2ロードステーションと、
    前記第2ロードステーションと前記第2基板処理チャンバとに近接した前記大気移送領域内に配置された第2移送ロボットであって、前記第2移送ロボットが、前記第2ロードステーションと前記第2基板処理チャンバとの間で基板を移送するように適合され、前記第2移送ロボットが、複数の基板取り扱いブレードを備えた少なくとも1つの基板移送アームを有する、第2移送ロボットと、
    をさらに備える、請求項1に記載の装置。
  8. 第1基板処理チャンバと、
    2枚以上の基板を支持するように適合された処理カセットと、
    カセット移送領域内に配置されたステージプラットフォームであって、前記カセット移送領域が、大気圧より低い圧力に維持されている、ステージプラットフォームと、
    前記ステージプラットフォームと前記基板処理チャンバとの間で前記処理カセットを移送するように適合されたカセットハンドラロボットと、
    基板輸送ポッドと前記処理カセットとの間で基板を移送するように適合された基板移送ロボットと、
    大気圧領域から前記カセット移送領域への前記処理カセットの前記移送を支援するように適合された第1ロードロックと、
    を備える、基板処理装置。
  9. 前記カセットハンドラロボットが、
    前記カセット移送領域と前記第1基板処理チャンバとの間、および、前記カセット移送領域と前記第1ロードロックとの間で前記処理カセットを垂直に移送するように構成されたリフト機構であって、前記第1基板処理チャンバと前記第1ロードロックが、前記カセット移送領域の上に置かれている、リフト機構と、
    前記処理カセットを、前記第1基板処理チャンバと前記第1ロードロックの下の位置まで水平移動させるように構成された直線移動装置と、
    を備える、請求項17に記載の装置。
  10. 第2基板処理チャンバをさらに備え、前記カセットハンドラロボットが、前記処理カセットを、前記第1基板処理チャンバと前記第2基板処理チャンバと前記第1ロードロックの下の位置まで水平移動させるようにさらに適合されている、請求項19に記載の装置。
  11. 第2ロードロックと、
    第2基板処理チャンバと、
    備えた装置であって、
    前記第1および第2基板処理チャンバと前記第1および第2ロードロックとが前記カセット移送領域の上に置かれ、前記カセットハンドラロボットが、
    前記処理カセットを垂直に移送するよう構成されたリフト機構と、
    回転テーブルと、
    を備え、
    前記回転テーブルが、
    各ロードロックの下および各堆積チャンバの下に処理カセットを回転可能に配置し、
    前記堆積チャンバと前記カセット移送領域との間で2つの処理カセットを垂直に移送し、
    前記ロードロックと前記カセット移送領域との間で2つの処理カセットを垂直に移送するよう適合されている、
    請求項17に記載の装置。
  12. 基板処理チャンバと、
    2枚以上の基板を支持するように適合された第1処理カセットと、
    大気圧に維持されたカセット移送領域内に置かれたステージプラットフォームと、
    前記ステージプラットフォームと前記基板処理チャンバとの間で前記第1処理カセットを移送するように適合されたカセットハンドラロボットと、
    基板輸送ポッドと前記処理カセットとの間で基板を移送するように適合された基板移送ロボットと、
    内部容積を形成する1つまたは複数の壁面を有するバッファチャンバであって、前記内部容積が、前記基板処理チャンバの下に配置されているバッファチャンバと、
    前記カセット移送領域と前記バッファチャンバの前記内部容積との間に密封式に配置され、前記カセット移送領域から前記内部容積を流体的に隔離するように適合されたスリット弁と、
    を備える、基板処理装置。
  13. 前記カセットハンドラロボットが、直線移動装置であり、前記直線移動装置が、リフト機構を含むように適合されている、請求項23に記載の装置。
  14. 前記カセットハンドラロボットが、
    処理カセットを垂直に移送するよう構成されたリフト機構と、
    前記リフト機構から前記第1処理カセットと第2処理カセットを受け入れ、前記第1処理カセットと前記第2処理カセットの位置を回転式に交換し、前記リフト機構を用いて、前記第1処理カセットを、前記第1基板処理チャンバと前記カセット移送領域との間で移動することができるように前記第1処理カセットを配置するように適合された回転テーブルとを備える、請求項23に記載の装置。
  15. 前記回転テーブルが、前記バッファチャンバの前記内部容積内に収容されている、請求項28に記載の装置。
JP2009518447A 2006-06-26 2007-06-15 Aldおよびcvd用のバッチ処理プラットフォーム Active JP5567335B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/426,563 2006-06-26
US11/426,563 US7833351B2 (en) 2006-06-26 2006-06-26 Batch processing platform for ALD and CVD
PCT/US2007/071368 WO2008002780A2 (en) 2006-06-26 2007-06-15 Batch processing platform for ald and cvd

Publications (2)

Publication Number Publication Date
JP2009541599A true JP2009541599A (ja) 2009-11-26
JP5567335B2 JP5567335B2 (ja) 2014-08-06

Family

ID=38846399

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009518447A Active JP5567335B2 (ja) 2006-06-26 2007-06-15 Aldおよびcvd用のバッチ処理プラットフォーム

Country Status (7)

Country Link
US (2) US7833351B2 (ja)
EP (1) EP2044618A2 (ja)
JP (1) JP5567335B2 (ja)
KR (1) KR101058326B1 (ja)
CN (1) CN101438387B (ja)
TW (1) TW200811926A (ja)
WO (1) WO2008002780A2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013161799A (ja) * 2012-02-01 2013-08-19 Hitachi Kokusai Electric Inc 基板処理装置
JP2016527732A (ja) * 2013-08-12 2016-09-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
US10359743B2 (en) 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
JP2020077871A (ja) * 2018-10-31 2020-05-21 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材を処理するための基材処理装置
KR20210093911A (ko) 2018-11-28 2021-07-28 신포니아 테크놀로지 가부시끼가이샤 웨이퍼 스토커

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7738987B2 (en) * 2006-11-28 2010-06-15 Tokyo Electron Limited Device and method for controlling substrate processing apparatus
GB2452320B (en) * 2007-09-03 2012-04-11 Dek Int Gmbh Workpiece processing system and method
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
JP5181809B2 (ja) * 2008-04-30 2013-04-10 村田機械株式会社 加工処理システム及び搬送方法
JP2010062534A (ja) * 2008-06-30 2010-03-18 Intevac Inc 基板搬送システム及び方法
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
CN101764076A (zh) * 2008-10-30 2010-06-30 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工设备及其传输系统及基片的传输方法
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI564427B (zh) 2009-12-18 2017-01-01 財團法人工業技術研究院 聚對二甲苯薄膜的形成方法
CN102115876A (zh) * 2009-12-31 2011-07-06 财团法人工业技术研究院 化学气相沉积装置与聚对二甲苯薄膜的形成方法
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9951419B2 (en) * 2011-09-03 2018-04-24 Ying-Bing JIANG Apparatus and method for making atomic layer deposition on fine powders
US9048271B2 (en) * 2011-09-29 2015-06-02 Asm International N.V. Modular semiconductor processing system
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5927305B2 (ja) * 2011-11-22 2016-06-01 ピコサン オーワイPicosun Oy 基板群を処理する原子層堆積反応炉およびその方法
US10381254B2 (en) * 2011-11-29 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer debonding and cleaning apparatus and method
US11264262B2 (en) * 2011-11-29 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus
US9390949B2 (en) * 2011-11-29 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer debonding and cleaning apparatus and method of use
JP5921168B2 (ja) * 2011-11-29 2016-05-24 株式会社日立国際電気 基板処理装置
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP5569544B2 (ja) * 2012-01-31 2014-08-13 株式会社安川電機 搬送ロボット
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10297472B2 (en) * 2012-11-28 2019-05-21 Acm Research (Shanghai) Inc. Method and apparatus for cleaning semiconductor wafer
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
DE102013101777A1 (de) * 2013-02-22 2014-08-28 Aixtron Se Vorrichtung zum Be- und Entladen einer CVD-Anlage
FI125222B (en) * 2013-03-22 2015-07-15 Beneq Oy Apparatus for processing two or more substrates in a batch process
KR101524251B1 (ko) * 2013-06-11 2015-06-01 주식회사 테라세미콘 클러스터형 배치식 기판처리 시스템
US20150090295A1 (en) * 2013-09-28 2015-04-02 Applied Materials, Inc. Apparatus and methods for a mask inverter
TWI624307B (zh) * 2013-11-06 2018-05-21 All Ring Tech Co Ltd Carrier transfer method and device
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
SG11201811656VA (en) 2016-06-30 2019-01-30 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device and recording medium
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
TWI742201B (zh) * 2016-12-02 2021-10-11 美商應用材料股份有限公司 整合式原子層沉積工具
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11236013B2 (en) * 2017-07-19 2022-02-01 Intevac, Inc. System for forming nano-laminate optical coating
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
JP6704423B2 (ja) * 2018-01-17 2020-06-03 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108421679B (zh) * 2018-05-14 2024-02-02 苏州固孚智能装备有限公司 全自动双真空箱灌胶机
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
CN109518165B (zh) * 2018-07-02 2021-06-04 南京原磊纳米材料有限公司 一种原子层沉积批量生产设备
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
SG11202108920SA (en) * 2019-02-19 2021-09-29 Veeco Instr Inc Automated batch production thin film deposition systems and methods of using the same
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001516502A (ja) * 1997-02-28 2001-09-25 エイエスエム・インターナシヨナル・エヌ・ブイ キャリヤー上に配列される基板の装填、処理及び取出しシステム
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5464453A (en) 1992-09-18 1995-11-07 Pinnacle Research Institute, Inc. Method to fabricate a reliable electrical storage device and the device thereof
US5867363A (en) 1992-09-18 1999-02-02 Pinnacle Research Institute, Inc. Energy storage device
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
FR2720542B1 (fr) 1994-05-30 1996-07-05 Alsthom Cge Alcatel Procédé de fabrication d'une électrode de supercondensateur.
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
DE19629154C2 (de) 1996-07-19 2000-07-06 Dornier Gmbh Bipolare Elektroden-Elektrolyt-Einheit
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6174337B1 (en) 1997-01-06 2001-01-16 Pinnacle Research Institute, Inc. Method of construction of electrochemical cell device using capillary tubing and optional permselective polymers
US6275371B1 (en) 1998-08-12 2001-08-14 Hitachi Maxwell, Ltd. Electrode material for electrochemical capacitor, electrochemical capacitor comprising the same, and method for the production of the same
US6517691B1 (en) * 1998-08-20 2003-02-11 Intevac, Inc. Substrate processing system
EP1170761B1 (en) 1999-03-17 2014-06-18 Nippon Chemi-Con Corporation Electrolyte with chelating agent for electrolytic aluminium capacitor
US6339528B1 (en) 1999-09-16 2002-01-15 Ness Capacitor Co., Ltd. Metal oxide electrode for supercapacitor and manufacturing method thereof
US6426863B1 (en) 1999-11-25 2002-07-30 Lithium Power Technologies, Inc. Electrochemical capacitor
US6632068B2 (en) 2000-09-27 2003-10-14 Asm International N.V. Wafer handling system
IL155790A0 (en) 2000-11-09 2003-12-23 Foc Frankenburg Oil Company Es A supercapacitor and a method of manufacturing such a supercapacitor
KR100392667B1 (ko) 2000-11-28 2003-07-23 주식회사 네스캡 유기전해질을 이용한 금속산화물 전기화학 의사커패시터
NL1020054C2 (nl) * 2002-02-25 2003-09-05 Asm Int Inrichting voor het behandelen van wafers, voorzien van een meetmiddelendoos.
JP2003258058A (ja) 2002-02-27 2003-09-12 Anelva Corp 基板処理装置の運転方法
US7061749B2 (en) 2002-07-01 2006-06-13 Georgia Tech Research Corporation Supercapacitor having electrode material comprising single-wall carbon nanotubes and process for making the same
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US20060130767A1 (en) 2004-12-22 2006-06-22 Applied Materials, Inc. Purged vacuum chuck with proximity pins
KR100594470B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 연속 공정이 가능한 반도체 제조 설비

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001516502A (ja) * 1997-02-28 2001-09-25 エイエスエム・インターナシヨナル・エヌ・ブイ キャリヤー上に配列される基板の装填、処理及び取出しシステム
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013161799A (ja) * 2012-02-01 2013-08-19 Hitachi Kokusai Electric Inc 基板処理装置
US11450539B2 (en) 2013-08-12 2022-09-20 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US11282724B2 (en) 2013-08-12 2022-03-22 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
US10192765B2 (en) 2013-08-12 2019-01-29 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with factory interface environmental controls
JP2019016798A (ja) * 2013-08-12 2019-01-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
JP2016527732A (ja) * 2013-08-12 2016-09-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
CN110600399A (zh) * 2013-08-12 2019-12-20 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
JP2020014008A (ja) * 2013-08-12 2020-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースの環境制御を伴う基板処理のシステム、装置、及び方法
US10359743B2 (en) 2014-11-25 2019-07-23 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11003149B2 (en) 2014-11-25 2021-05-11 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US11782404B2 (en) 2014-11-25 2023-10-10 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
TWI574342B (zh) * 2015-06-12 2017-03-11 漢民科技股份有限公司 自動化處理方法
JP2020077871A (ja) * 2018-10-31 2020-05-21 アーエスエム・イーぺー・ホールディング・ベスローテン・フェンノートシャップ 基材を処理するための基材処理装置
JP7467072B2 (ja) 2018-10-31 2024-04-15 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置
KR20210093911A (ko) 2018-11-28 2021-07-28 신포니아 테크놀로지 가부시끼가이샤 웨이퍼 스토커
US11610797B2 (en) 2018-11-28 2023-03-21 Sinfonia Technology Co., Ltd. Wafer stocker
US11823934B2 (en) 2018-11-28 2023-11-21 Sinfonia Technology Co., Ltd. Wafer stocker

Also Published As

Publication number Publication date
KR101058326B1 (ko) 2011-08-22
WO2008002780B1 (en) 2008-05-08
US20070295274A1 (en) 2007-12-27
JP5567335B2 (ja) 2014-08-06
WO2008002780A3 (en) 2008-03-20
US20110041764A1 (en) 2011-02-24
KR20090024275A (ko) 2009-03-06
CN101438387A (zh) 2009-05-20
CN101438387B (zh) 2012-07-04
WO2008002780A2 (en) 2008-01-03
EP2044618A2 (en) 2009-04-08
US7833351B2 (en) 2010-11-16
TW200811926A (en) 2008-03-01

Similar Documents

Publication Publication Date Title
JP5567335B2 (ja) Aldおよびcvd用のバッチ処理プラットフォーム
EP1166180B1 (en) Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
US7105463B2 (en) Load lock chamber having two dual slot regions
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
KR20190035523A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 및 프로그램
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2007027780A (ja) 基板処理装置
JP2004146449A (ja) 基板処理装置
JPH11121356A (ja) 処理液供給装置
JP2001189369A (ja) 基板処理装置
JP3557382B2 (ja) 基板処理装置
KR200444491Y1 (ko) 처리 장비용 더블 이중 슬롯 로드록
JP2002151487A (ja) 基板処理装置
JP2004343143A (ja) 基板処理装置
JP2003007794A (ja) 基板搬送機構および基板処理装置
JP2004128003A (ja) 半導体製造装置
JP2002043389A (ja) 基板処理装置
JP2001144085A (ja) 基板処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100413

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130709

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140317

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140527

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140619

R150 Certificate of patent or registration of utility model

Ref document number: 5567335

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250