KR20010090477A - 카세트를 저장하고 이송하기 위한 장치 - Google Patents

카세트를 저장하고 이송하기 위한 장치 Download PDF

Info

Publication number
KR20010090477A
KR20010090477A KR1020010013692A KR20010013692A KR20010090477A KR 20010090477 A KR20010090477 A KR 20010090477A KR 1020010013692 A KR1020010013692 A KR 1020010013692A KR 20010013692 A KR20010013692 A KR 20010013692A KR 20010090477 A KR20010090477 A KR 20010090477A
Authority
KR
South Korea
Prior art keywords
cassette
shelf
docking
station
docking stations
Prior art date
Application number
KR1020010013692A
Other languages
English (en)
Other versions
KR100905565B1 (ko
Inventor
제임 눌만
닛심 사이디
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010090477A publication Critical patent/KR20010090477A/ko
Application granted granted Critical
Publication of KR100905565B1 publication Critical patent/KR100905565B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Warehouses Or Storage Devices (AREA)

Abstract

카세트 스토커는, 클린룸 벽에 인접해 위치하고 다수의 카세트 도킹 스테이션에 대해 수직으로 배열된 다수의 카세트 저장 선반 및 이런 선반과 도킹 스테이션 사이에서 카세트를 이송하기 위한 카세트 이동기를 포함한다. 인터스테이션 이송 장치는, 프로세싱 스테이션 사이에서 카세트를 이송하기 위한 이송 암 및 지지 빔을 포함한다.

Description

카세트를 저장하고 이송하기 위한 장치{APPARATUS FOR STORING AND MOVING A CASSETTE}
본 발명은 넓게는 기판 프로세싱에 관한 것이고, 보다 구체적으로는 기판 카세트를 저장하고 이송하기 위한 장치에 관한 것이다.
반도체 소자는 실리콘웨이퍼 또는 판유리와 같은 기판 상에 제작되어, 컴퓨터, 모니터 및 이와 유사한 용도에 사용된다. 이런 소자는 박막 증착, 산화 또는 질화 반응, 에칭, 폴리싱, 그리고 열 및 평판 프로세싱과 같은 일련의 제조 단계를 거쳐 제작된다. 이런 다중인 제조 단계가 단일의 프로세싱 스테이션에서 작업될 수 있을지라도, 기판은 적어도 제조 단계 중 몇몇 단계를 위해 다른 프로세싱 스테이션으로 이송되야 한다. 게다가, 특정 배치(batch) 내 기판이 측정 장치를 이용하여 무작위로 검사될 수 있으며, 이에 따라 추가의 스테이션을 필요로 한다. 기판은 프로세싱 스테이션, 측정 스테이션 및 다른 위치 사이에서 이송되기 위해 카세트에 저장된다. 카세트는 프로세싱 스테이션 사이에서 수동으로 운반될 수도 있지만, 자동으로 이송되는 것이 일반적이다. 예로, 카세트는 자동 가이드 수단(Automatic Guided Vehicle; AGV)에 의해 프로세싱 스테이션으로 이송된 다음, 로봇에 의해 AGV로부터 프로세싱 스테이션의 로딩 플랫폼 상에 로딩될 수 있다. 제조 단계가 완료되면, 기판은 카세트 내로 재로딩된다. 모든 기판의 처리가 완료되고 카세트로 기판이 재로딩되면, 카세트는 AGV에 의해 로딩 플랫폼으로부터 다른 위치로 이송된다.
사용되지 않는 프로세싱 설비가 없도로 하기 위해서는, 처리되지 않은 기판을 프로세싱 스테이션에 거의 연속적으로 제공할 수 있어야 한다. 공교롭게도, 많은 프로세싱 스테이션은 로딩 플랫폼에서 오직 하나의 카세트만을 지지할 수 있다. 따라서, 카세트 내 모든 기판이 처리되면, 이 카세트는 처리되지 않은 기판을 포함한 새로운 카세트로 수동 또는 AGV에 의해 신속하게 교체되야 한다. 이런 정확한 물량관리 시스템 작동에는 작업자의 감독 또는 다수의 AGV가 필요하며, 이는 제조 시설 비용을 증가시킨다.
따라서, 시스템의 휴지 시간을 감소시키거나 없애기 위해 프로세싱 시스템에 기판 카세트를 연속적으로 제공하는 방법 및 장치가 필요하다.
본 발명은 프로세싱 스테이션에 다수의 카세트를 저장하기 위한 방법 및 장치를 제공하여, 처리되지 않은 기판을 연속적으로 제공하고 처리하여 사용되지 않는 프로세싱 설비가 없도록 한다.
도 1은 본 발명에 따른 프로세싱 스테이션의 개략적 평면도;
도 2는 기판을 지지하기 위한 카세트의 개략적 사시도;
도 3은 본 발명에 따른 카세트 스토커의 개략적 사시도;
도 4a는 도 3의 카세트 스토커의 개략적 정면도;
도 4b는 다수의 카세트를 구비한 도 4a의 카세트 스토커의 개략적 정면도;
도 5a는 도 3의 카세트 스토커의 개략적 측면도;
도 5b는 다수의 카세트를 구비한 도 3a의 카세트 스토커의 개략적 측면도;
도 6은 도킹 스테이션 상에 위치한 단부 이펙터(end effector)의 개략적 평면도;
도 7a, 7b 및 7c는 카세트를 상승시키고 이동시키는 도 6의 이펙터 단부를 도시하는 개략적 사시도;
도 8a 및 8b는 도 3의 카세트 스토커의 개략적 정면도이며, 로딩 스테이션과 카세트 도킹 플랫폼 사이에서 카세트를 이동하기 위한 도 6의 단부 이펙터의 경로를 도시하는 도면;
도 9는 수직으로 배치된 다수의 도킹 스테이션을 구비한 카세트 스토커의 다른 실시예의 개략적 사시도;
도 10은 도 9의 카세트 스토커의 측면도;
도 11은 다수의 카세트를 구비한 도 9의 카세트 스토커의 개략적 측면도;
도 12는 인터스테이션 이송 메커니즘에 의해 연결된 두 개의 로딩 및 저장 스테이션의 개략적 정면도;
도 13은 수직으로 배치된 한 쌍의 도킹 스테이션을 구비한 카세트 스토커의 개략적 정면도; 및
도 14는 수직으로 배치되며 도어가 반대방향으로 개방되는 한 쌍의 도킹 스테이션를 구비한 카세트 스토커의 측면도이다.
다수의 카세트는 전단부 지지 프레임 프로세싱 스테이션에 저장될 수 있고 이런 카세트는, 수직으로 배열된 다수의 도킹 스테이션 중 하나로 이송될 수 있는데, 이런 도킹 스테이션에서, 기판은 프로세싱 도구로 이송되거나 그로부터 후퇴된다. 자동 시스템은 프레임에 고정되거나 그렇지 않으면 배열되어, 도킹 스테이션 사이에서 또는 프로세싱 스테이션 사이에서 카세트를 이송한다. 본 발명의 다른 양상에서, 카세트는 AGV를 이용하지 않고 서로 다른 프로세싱 스테이션 사이에서 이송될 수 있다.
본 발명의 한 양상에서, 수직으로 배열된 다수의 도킹 스테이션 및 카세트 저장 스테이션을 구비한 전단부 프레임을 포함한 장치가 제공된다. 자동 이송 어셈블리는 이런 도킹 스테이션 및 저장 스테이션에 인접하여 배열되는 것이 바람직하며, 이는 이런 저장 스테이션과 도킹 스테이션 사이에서 카세트를 이송한다. 또한, 자동 이송 어셈블리는 프로세싱 스테이션 사이에 위치하여 수동으로 또는 AGV를 사용하지 않고 프로세싱 스테이션 사이에서 카세트를 이송할 수 있다. 본 발명의 다른 양상에서, 프로세싱 스테이션에 카세트를 연속적으로 전달하는 방법이 제공된다. 이 방법은, 수직으로 배열된 다수의 도킹 스테이션과 다수의 저장 스테이션을 제공하는 단계 및 이런 저장 스테이션과 이송 스테이션 사이에서 카세트를 이송하여, 기판이 프로세싱 스테이션에 연속적으로 공급되도록 하는 단계를 포함한다. 게다가, 이 방법은, 프로세싱 스테이션 사이에서 카세트를 이송하며, 수동으로 또는 AGV의 사용없이 일련의 프로세싱을 지속적으로 제공하는 단계를 제공한다.
본 발명의 특징 및 장점은, 바람직한 실시예에 따른 상세한 설명, 첨부된 청구범위 및 도면에 의해 보다 더 명확해 질 것이다.
다음의 상세한 설명에서, "기판"이란 용어는 반도체 소자 프로세싱 시스템에서 처리되는 임의의 물체를 대체로 의미한다. 예를 들면, "기판"이란 용어에는 반도체 웨이퍼, 평판 표시장치, 판유리 또는 디스크 및 플라스틱 소재가 포함된다.
도 1은 본 발명의 프로세싱 스테이션(20)의 평면도로서, 하나 이상의 기판(10)이, 이 프로세싱 스테이션에서 처리된다. 프로세싱 스테이션(20)은 전단부 스테이지 영역(front-end staging area; 22)을 구비하고 있으며, 이 영역은 클린룸 벽(26)에 의해 로딩 및 저장 영역(24)으로부터 분리된다. 클린룸 벽(26)은 로딩 및 저장 영역(24)이 위치한 클린룸(28)을 프로세싱 시스템(32)이 내재된 그레이 영역(30)으로부터 분리한다. 로딩 및 저장 영역(24)에서는, 기판의 카세트가 전달되고 프로세싱 스테이션(20) 내로 로딩되고 스테이션(20)으로부터 언로딩된다. 프로세싱 시스템(32)은 하나 이상의 로드 록 챔버(34), 중앙 이송 챔버(36) 및 다수의 프로세싱 챔버(38)를 포함할 수 있다. 프로세싱 챔버 내에서, 기판은 박막 증착, 산화반응, 질화반응, 에칭, 열 프로세싱 또는 평판 프로세싱과 같은 다양한 제조 단계를 거치게될 것이다. 도 1에 도시된 프로세싱 시스템과 스테이지 영역은 단지 대표적인 것이다. 프로세싱 시스템은 단일 프로세싱 챔버일 수 있고, 또는 어떤 프로세싱 챔버도 포함하지 않는 화학 기계 폴리셔와 같은 구조일 수 있다.또한, 프로세싱 시스템은 추가의 프로세싱 장치 대신 또는 그에 더해 측정 장치를 포함할 수 있다.
도 1 및 2에서, 하나 이상의 기판(10)은 카세트(100) 내 프로세싱 스테이션의 로딩 및 저장 영역(24)으로 이송된다. 기판(10)은 슬롯 돌출부(slot ridge; 102)에 의해 대체로 평행하며 수평하게 카세트(100) 내에 지지된다. 카세트의 대체로 평평한 전면에 위치한 입구 포트(entry port; 103)를 통해 기판은 카세트(100)로부터 로딩 및 언로딩될 수 있다. 탈착식 카세트 도어(104)는 입구 포트(103)에 고정되어, 카세트가 프로세싱 스테이션 사이에서 이동되거나 프로세싱 스테이션 바깥쪽에 저장될 때, 기판이 오염물질에 노출되는 것을 방지할 수 있다. 또한, 각각의 카세트(100)는 카세트의 밑면(110)에 형성된 세 개의 원통형 압입부(indentation; 108; 가상선으로 도시되어 있고 도 2에 두 개만이 나타남)를 포함하고 있다. 카세트(100)가 프로세싱 스테이션에 저장될 때, 세 개의 지지핀은 압입부 내로 적합화되어 카세트를 지지한다. 측벽(114)으로부터 돌출된 두 개의 손잡이(112; 사시도에 한 개만이 도시됨)는 이 카세트를 수동으로 운반하기 위해 사용될 것이다. 대체로 직사각형인 플랜지(116)는 L형상의 단면을 가지며 카세트의 상부면(118)으로부터 돌출되어 있다. 아래에 설명된 바와 같이, 프로세싱 스테이션에 있는 로봇식 카세트 이동기(robotic cassette mover)는 플랜지(116)를 이용하여 카세트를 조종한다.
도 1 내지 5b에서, 프로세싱 스테이션(20)의 로딩 및 저장 영역(24)은 카세트 로딩 플랫폼(52)을 포함하고, 두 개의 카세트 기판 이송부 또는 도킹스테이션(40)은 이런 카세트 로딩 플랫폼(52)의 양측에 위치하며, 카세트 스토커 또는 저장 스테이션(50; 도킹 스테이션의 도시를 위해 도 1에 부분적으로 되시됨)은 프로세싱 스테이션에 카세트를 저장하고, 로딩 플랫폼(52) 및 도킹 스테이션(40)으로 그리고 로딩 플랫폼 및 도킹 스테이션으로부터 카세트를 이송한다.
카세트(100)는 수동으로 또는 AGV 중 어느 한 방법을 이용하여 로딩 플랫폼(52) 상에 배치되거나 로딩 플릿폼으로부터 제거될 수 있다. 로딩 플랫폼(52)으로부터, 카세트(100)는 도킹 스테이션(40)의 한 곳으로 또는 카세트 스토커(50) 내로 이송될 수 있다. 로딩 플랫폼(52)은 일반적으로 카세트와 동일한 페리미터를 가진다. 세 개의 지지핀(54; 도 5a에는 두 개만이 도시됨)은 로딩 플랫폼(52)으로부터 수직 방향으로 돌출되어 카세트(100) 밑면에 있는 압입부와 짝을 이루며, 로딩 플랫폼(52) 상에 카세트를 결합시킨다.
클린룸 벽(26)을 통해 기판을 이송하기 위해, 카세트(100; 도 1에 가상선으로 도시됨)는 하나의 도킹 스테이션(40) 또는 양 도킹 스테이션에 위치된다. 각각의 도킹 스테이션(40)은 카세트를 지지하기 위한 도킹 플랫폼(42), 클린룸 벽(26)을 관통하는 개구(46), 기판이 개구(46)를 통해 이송되지 않을 때 또는 카세트가 도킹 플랫폼 상에 위치하지 않을 때 개구를 밀폐하는 이동식 도어(44), 그리고 카세트 도어(104)를 개방하고 도어(104)를 전단부 스테이지 영역(22)으로 들어가게 하여 카세트 내에 저장된 기판에 수평적 접근을 제공하는 메커니즘을 포함한다. 예로, 이동식 도어(44)는 "리시버"로서 작동하여 카세트의 카세트 도어(104)를 수용하고, 개구(46; 카세트 도어를 지지함)의 아래쪽으로 이동함으로써, 개구(46)를 통해 카세트 내에 저장된 기판으로의 수평적 접근을 가능하게 한다. 도킹 스테이션(40)의 바람직한 구조 및 작동에 대한 내용은, 1998년 1월 3일 에릭 에이 니어링 외 다수(Eric A. Nering, et al.)에 의해 "웨이퍼 카세트 로딩 스테이션(A Wafer Cassette Load Station)"이란 명칭으로 출원되어 본 발명의 양도인에게 양도된 미국 특허 출원 제 09/012,323호에 설명되어 있다.
카세트 스토커(50)는, 하나 이상의 저장 랙(storage rack; 58; 도킹 스테이션을 도시하기 위해 도 1에는 도시되지 않고 도 4a에 도시됨)을 지지하는 프레임(60)과 이런 저장 랙(56), 로딩 플랫폼(52) 및 도킹 플랫폼(42) 사이에서 카세트를 이송하기 위한 로봇식 카세트 이동기(56)를 포함한다. 프레임(60)은 클린룸(28)의 바닥에 볼트로 조여지고/또는 클린룸 벽(26)에 고정된다. 프레임(60)은, 프로세싱 스테이션(20)의 밑넓이가 증가된다고 해도, 증가를 최소로 하기 위해 도킹 플랫폼(42) 및 로딩 플랫폼(52) 아래에 적어도 부분적으로 배치된다.
저장 랙(58)은, 두 도킹 스테이션(40) 각각의 위에 수직의 지지 선반(support shelf; 62)을 포함한다. 예를 들면, 각각의 종렬은 하나, 둘 또는 세 개 이상의 개별의 지지 선반(62)을 포함할 수 있다. 즉, 도 3 내지 5b에 도시된 저장 랙(58)은 지지 선반(62a 내지 62h; 도 4b에 도시됨)에 여섯 개의 카세트(100)를 위한 저장 공간을 제공한다. 지지 선반(62a)과 같은 각각의 지지 선반은, 카세트(100)와 실질적으로 같은 둘레 형상을 가진 수평 방향의 플레이트일 수 있다. 세 개의 지지 핀(64; 도 5a의 측면도에 두 개만이 도시됨)은 지지선반(62)으로부터 수직으로 돌출되어 카세트(100) 밑면에 있는 압입부와 짝을 이루도록 배치된다. 두 개의 수직 기둥(vertical port; 66)은 프레임(60)에 각 지지 선반(62a 내지 62h)의 외측 가장자리가 고정되도록 사용될 수 있다. 게다가, 지지 선반(62)은 추가의 지지를 위해 클린룸 벽에 고정될 수 있다.
로봇식 카세트 이동기(56)는 지지 선반(62), 로딩 플랫폼(52) 및 도킹 플랫폼(42)에서 카세트(100)를 이동시키기 위해 사용된다. 로봇식 카세트 기동(56)은 수직 방향으로 이동할 수 있는 단부 이펙터(72)를 포함하는데, 이런 단부 이펙터는 수평방향으로 이동할 수 있는 지지대(support strut; 74)에 부착된다. 이런 지지대(74)는 클린룸 벽(26)과 평행한 면 내에서 단부 이펙터(72)의 임의의 수평 이동을 가능하게 한다. 지지대(74)의 하부는 수평 가이드(78)를 따라 수평으로 이동할 수 있는측면슬라이더(76)에 고정될 수 있는데, 여기서 수평 가이드는 프레임(60)의 부품으로서 형성되거나 프레임에 부착된다. 측면 슬라이더(76)는, 수평 작동 모터(82)에 의해 회전되는 수평 리드 스크루(80)에 의해 좌우로 작동될 수 있다. 유사하게, 단부 이펙터(72)는 수직 슬라이더(84; 도 4b)에 부착될 수 있으며, 이것은 지지대(74)의 부품으로서 형성된 또는 지지대(74)에 부착된 수직 가이드(86; 도 5b)를 따라 수직방향으로 활주할 수 있다. 수직 슬라이더(84)는, 수직 작동 모터(88)에 의해 회전될 수 있는 수직 리드 스크루(87; 도 4a 내지 도 5b에서 가상선으로 도시됨)에 의해 상하로 작동될 수 있다. 수직 작동 모터는 측면 슬라이더(76)에 의해 지지될 수 있다. 수평 작동 모터(82) 및 수직 작동 모터(88)는 프로그램 디지털 컴퓨터와 같은 제어 시스템(도시 안됨)에 연결되어, 단부 이펙터(72)의 수직 및 수평 운동을 제어한다. 단부 이펙터(72)의 운동을 작동시키는 작동기는 스텝퍼 모터, 공압 작동기 및 알려진 다른 장치를 포함하며 제어할 수 있는 방식으로 운동을 전달한다. 게다가, 벨트 드라이브 어셈블리(belt drive assembly) 또는 알려진 다른 메커니즘은 슬라이더를 수직 및 수평방향으로 작동시키기 위해 이용될 수 있다.
도 6 내지 도 7a에서, 단부 이펙터(72)는 지지대(74)로부터 클린룸 벽(26) 쪽으로 수평하게 돌출되어 있다. 이런 단부 이펙터(72)는, 전체적으로 평평하고 고리 형상의 핑거(finger; 90)를 포함하고, 이 핑거는 단부 이펙터(72)의 어느 한쪽으로 개방된 직사각형의 갭(92)을 규정한다. 이런 단부 이펙터(72)는 단부 이펙터의 개방된 단부를 통해 카세트의 일부와 결합되기에 적합하다. 카세트(100)를 이송하기 위해, 단부 이펙터(72)는 플랜지(116)와 카세트(100)의 상부면(118) 사이에 수직으로 배열된다. 도 7b에서, 플랜지(116)의 기저(117)가 갭(92) 내에 일치하도록 하기 위해 단부 이펙터(72)는 옆으로 이동된다. 마지막으로, 도 7c에서, 단부 이펙터(72)는 수직 상방향으로 이동되어, 단부 이펙터(72)의 내부 림(rim; 94)이 플랜지(116)의 밑면(119)과 접하여 카세트(100)를 들어올린다. 그리고 나서, 단부 이펙터(72)는 옆으로 이동되어 카세트(100)를 다른 지지 선반(62), 로딩 플랫폼(52), 또는 도킹 플랫폼(42)으로 운반한다.
도 7a 내지 도 8a에서, 카세트(100)는 로딩 플랫폼(52)으로부터 지지선반(62c)과 같은 지지 선반으로 이송될 수 있다. 로딩 플랫폼(52)의 측면에 위치한 지지대(74)를 이용하여, 카세트(100)는 수동으로 또는 AGV 중 어느 한 방식으로 로딩 플랫폼(52) 상에 로딩된다. 로딩 플랫폼(52)으로부터 카세트(100)를 들어 올리기 위해, 단부 이펙터(72)는, 카세트(100)의 상부면(118)과 플랜지(116)의 하부면 사이의 수직 높이에서 카세트의 좌측에 배치된다. 지지대(74)는, 단부 이펙터(72)가 지지 플랜지와 결합될 때까지 오른쪽으로 이동한다(가상선 A). 그 다음, 단부 이펙터(72)는 위쪽으로 이동하여 카세트(100)를 로딩 플랫폼(52) 위로 들어올린다(가상선 B). 지지 선반(62c)과 같은 지지 선반 중 하나에 카세트(100)를 이송시키기 위해, 단부 이펙터(72)는, 카세트(100)의 밑면이 지지 핀(64) 상을 수평으로 이동할 수 있도록 하기에 충분한 수직 간극을 가진 상태에서, 지지 선반(62c) 위에 정렬될 때까지 카세트(100)를 들어올린다(가상선 C). 그리고 나서, 지지대(74)는 좌측으로 이동하여 지지 선반(62c) 위에 카세트(100)를 배치시키고, 단부 이펙터(72)는 카세트(100)가 지지핀(64) 상에 놓일 때까지 하방향으로 이동한다(가상선 D). 단부 이펙터(72)는, 카세트가 플랜지(116)로부터 제거될 때까지 좌측으로 이동하여 물러 나오고, 다음 플랜지(116)의 상부면과 지지 선반(62b) 사이에서 위쪽으로 그리고 우측으로 카세트를 이동시킨다(가상선 E).
도 8b에서 , 지지선반(62c)으로부터 카세트(100)를 이동시키기 위해, 이러한 단계는 이와 반대 순서로 전체적으로 반복된다. 구체적으로, 단부 이펙터(72)는 플랜지(116)의 상부면과 지지 선반(62b)의 하부 사이로부터 좌측으로 이동(가상선 F)하고 단부 이펙터(72)가 카세트(100)의 상부면과 플랜지(116)의 밑면 사이 수직 위치에 배치될 때까지 하방향으로 이동하고(가상선 G), 그 다음 플랜지(116)에 단부 이펙터가 결속될 때까지 우측으로 이동한다. 그리고 나서, 이 단부 이펙터(72)는 지지 선반(62b) 위로 카세트(100)를 들어올리기 위해 상방향으로 이동하고, 저장 랙(58) 사이 수직 채널 내로 카세트(100)를 이동시킨다(가상선 I). 이 위치로부터, 카세트(100)는 상방향으로 또는 하방향으로 그리고 좌측으로 또는 우측으로 이동하여 새로운 지지 선반, 도킹 플랫폼(42) 중 하나, 또는 로딩 플랫폼(52)으로 이동된다.
도 7a 내지 도 8b에 설명된 실시예에서, 단부 이펙터(72)의 고리 형상의 핑거(90)는 우측으로 굴곡을 이루어 직사각형의 갭(92)이 우측으로 개방되어 있다. 물론, 고리 형상의 핑거(90)가 좌측으로 굴곡되고 직사각형의 갭(92)이 좌측으로 개방되면, 카세트(100)와 결합하고 해제하는 단부 이펙터의 상대적 측면 운동은 이와 반대가 될 것이다. 예를 들면, 지지 선반(62b)로부터 카세트(100)를 들어올리기 위해, 단부 이펙터(72)는 카세트(100)의 우측에 배치되고 플랜지(116)와 결속하기 위해 좌측으로 이동할 것이다.
도 1 내지 3에서, 작동 중에 있을 때, 카세트(100)는 프로세싱 스테이션(20)으로 이송되어 수동으로 또는 AGV에 의해 로딩 플랫폼(52) 상에 배치된다. 로봇식 카세트 이동기(56)는 로딩 플랫폼(52)으로부터 도킹 스테이션(40) 중 하나에 카세트(100)를 이송하고, 이는 도킹 스테이션의 도어(44)와 카세트(100)의 전면을 정렬한다. 그 다음 도킹 스테이션 도어(44)는 후퇴되고, 카세트 도어(104)는 개방되어 입구 포트(102)가 클린룸 벽(26)의 개구(46)와 짝을 이룬다. 스테이지 영역(22) 앞에 있는 웨이퍼 핸들링 로봇(48)은 클린룸 벽(26)의 개구(46)를 통해 카세트(100)로부터 기판을 끌어내고 로드 록 챔버(34) 중 하나 내로 기판을 삽입한다. 이송 챔버(36) 내 로봇(39)은 로드 록 챔버(34)와 프로세싱 챔버(38)에서 기판을 이송시킨다. 제조 단계가 완료되면, 웨이퍼 핸들링 로봇(48)은 로드 록 챔버(34) 중 하나로부터 기판을 끌어내어, 클린룸 벽(26)의 개구(46)를 통해 카세트(100)로 기판을 되돌려 보낸다. 이런 모든 기판이 처리되면, 카세트 도어(104)는 폐쇄되고, 카세트(100)는 저장 랙(58) 또는 로딩 플랫폼(52)으로 이동되고, 처리되지 않은 기판을 포함한 새로운 카세트(100)가 도킹 스테이션(40) 상에 로딩된다.
상술한 바와 같이, 프로세싱 시스템은 추가의 제조 단계 또는 그 대신 측정장치를 이용하여 검사 프로세싱을 수행할 수 있다. 측정 스테이션은 웨이퍼 카세트(100) 내에 저장된 처리된 웨이퍼 및/또는 처리되지 않은 웨이퍼를 통상적으로 시험하고 검사한다. 전형적으로, 측정 스테이션은 측정을 위해 선택된 각 웨이퍼 카세트(100) 내에서 하나씩의 웨이퍼를 검사할 것이며, 카세트(100)는 무작위로 선택되거나 표준 측정 원리에 따라 미리 결정되어 선택된 기준에 기초를 둔다. 따라서, 측정을 위해 제공되는 각 웨이퍼 카세트(100)는, 이런 웨이퍼 카세트(100)가 프로세싱 스테이션에서 프로세싱을 위해 제공되는 시간보다, 측정 스테이션과 관련되어 작동되는 특정 도킹 스테이션(40)에서의 상주시간이 더 짧을 것이다. 그 결과로서, 도어를 개방하고 폐쇄하기 위해 필요한 시간 및 도킹 스테이션(40)으로부터 제 1 카세트(100)를 이동시켜 제 2 카세트와 교체시키는데 필요한 시간은, 하나 또는 두 개의 도킹 스테이션(40)이 사용될 경우먼, 측정 스테이션의 바람직하지 않은 휴지시간을 초래할 것이다. 추가적으로, 특히 짧은 프로세싱 시간을 가진 어떤프로세싱 스테이션은, 하나 또는 두 개의 도킹 스테이션(40) 만의 이용으로 불필요한 휴지시간을 초래할 것이다.
그 결과, 각각의 저장 랙(58)과 관련한 수직으로 층을 이룬 다수의 도킹 스테이션을 포함하는 것이 바람직하다. 도 9 내지 11은 프로세싱 스테이션(20)의 로딩 및 저장 영역(24)에서 층을 이룬 도킹 스테이션 실시예를 도시하고 있는데, 이는 하층에 있는 두 개의 도킹 스테이션(40) 및 상층에 있는 두 개의 도킹 스테이션(540)으로 이루어져 있다. 앞의 실시예에서처럼, 프로세싱 스테이션(20)의 로딩 및 저장 영역(24)은 카세트 로딩 플랫폼(52), 로딩 플랫폼(52)의 한 쪽에 위치하며 하층에 있는 두 개의 카세트 도킹 스테이션 또는 기판 이송 스테이션(40), 그리고 프로세싱 스테이션에 카세트(100)를 저장하고 로딩 플랫폼(52) 및 도킹 스테이션(40)으로 그리고 그들로부터 카세트(100)를 이송시키기 위한 카세트 스토커 또는 저장 스테이션(50)을 포함한다. 또한, 이 실시예는 도킹 스테이션(40) 중 하나에 위치하며 상층에 있는 두 개의 카세트 도킹 스테이션 또는 기판 이송 스테이션(540)을 포함한다. 이런 도킹 스테이션은 밑넓이가 적어도 부분적으로 일치하며, 완전히 일치하는 것이 바람직하다. 또한, 수직으로 배열된 도킹 스테이션은 하나 이상의 지지 선반(62)에 대해서도 수직으로 배열시킨다.
이런 실시예에 따라, 카세트(100)는 수동으로 또는 AGV에 의해 로딩 플랫폼(52)으로부터 배치되거나 제거된다. 클린룸 벽(26)을 통해 기판을 이송하기 위해, 카세트(100)는 하층 도킹 스테이션(40) 중 하나에 위치되거나 상층 도킹 스테이션(540) 중 하나에 위치된다. 각각의 하층 도킹 스테이션(40)은, 카세트(100)를 지지하기 위한 하층 도킹 플랫폼(42), 클린룸 벽(26)을 통해 형성된 하층 개구(46), 기판이 하층 개구(46)를 통해 이송되지 않거나 카세트(100)가 하층 도킹 플랫폼(42) 상에 위치되지 않을 때 하층 개구(46)를 폐쇄하는 하층 이동식 도어(44), 그리고 카세트 도어(104)를 개방하고 전-단부 스테이지 영역(22) 내로 후퇴하도록 하여 기판으로의 수평 접근을 제공하는 메커니즘을 포함한다. 이와 유사한 각각의 상층 도킹 스테이션(540)은, 카세트를 지지하기 위한 상층 도킹 플랫폼(542), 클린룸 벽(26)을 통해 형성된 상층 개구(546), 기판이 상층 개구(546)를 통해 이송되지 않거나 카세트(100)가 상층 도킹 플랫폼(542) 상에 위치되지 않을 때 상층 개구(546)를 폐쇄하는 상층 이동식 도어(544), 그리고 카세트 도어(104)를 개방하고 전-단부 스테이지 영역(22) 내로 들어가도록 하여 기판으로의 수평 접근을 제공하는 메커니즘을 포함한다.
상층 도킹 스테이션(540)의 구조와 작동은 하층 도킹 스테이션(40)과 유사하다. 상층 이동식 도어(544)의 구조와 작동은 하층 이동식 도어(44)와 유사하다. 상층 개구(546)와 하층 개구(46) 사이에 적절한 공간이 제공되어, 상층 이동식 도어(544)는 하층 이동식 도어(44)의 작동을 방해하지 않으며, 이는 당업자라면 쉽게 이해할 것이다. 다른 실시예에서, 상층 이동식 도어(544)는 상방향으로 개방되도록 배열되어, 두 개의 도어(44, 544) 및 두 개의 도킹 스테이션(540, 40)이 인접하여 위치될 수 있다(도 14에 도시됨). 상층 도킹 스테이션(542)으로의 카세트(100) 이송 및 시스템의 작동은, 다른 실시예에서 언급된 바와 유사하다. 여기서 언급된 실시예는, 각각의 저장 랙(58)과 연결된 상층 및 하층 도킹 스테이션(40, 540)을가지고 있다. 하지만, 다수의 상층 도킹 스테이션(540)은 이용될 수 있는 수직 공간에 의해 제한될 수 있다. 게다가, 상층 도킹 스테이션(540) 및 하층 도킹 스테이션(40)은 하나 이상의 지지 선반(62)에 의해 분리되거나, 다수의 저장 선반(62) 상에 위치될 수 있다.
또한, 상기에서 언급된 실시예는, 두 쌍의 도킹 스테이션(40, 540) 위에 배열된 두 개의 저장 랙(58) 및 두 개의 도킹 스테이션 사이에 배열된 로딩 스테이션(52)을 포함한다. 여섯 개의 지지 선반(62a 내지 52f)이 도킹 스테이션(40, 540) 위에 배열되는 것이 바람직하다. 이런 구조가, 이용가능한 공간 내에서 최고의 기판 수율을 제공한다면, 본 발명은 도 13에 도시된 바와 같이, 도킹 스테이션(40, 540)에 근접하여 배열된 하나 이상의 카세트 지지 선반(62)을 구비한 단일 쌍의 도킹 스테이션(40, 540)으로 이루어진다.
도 9는 두 쌍의 도킹 스테이션(40, 540)과 각 쌍의 도킹 스테이션(40, 540)에 배열된 세 개의 지지 선반(62a 내지 62c 또는 62d 내지 62f)을 갖춘 본 발명의 한 실시예의 사시도이다. 세 개의 지지 선반(62a 내지 62c 또는 62d 내지 62f)이 도시되어 있지만, 지지 선반(62a) 또는 지지 선반(62d)만이 사용되는 것이 바람직하다. 앞에서 언급된 실시예의 일부를 형성하는 구성요소들은 동일한 도면부호를 이용하여 도시되어 있다. 각각의 도 10 및 11은, 카세트가 배치된 상태와 배치되지 않은 상태의 도킹 스테이션(40, 50) 및 지지 선반(62a 내지 62c)을 추가로 도시하고 있다. 도 12에서, 인터스테이션 이송 장치(interstation transfer apparatus; 120)는 인접한 프로세싱 스테이션(20', 20") 사이에서 카세트(100)를이동하기 위해 사용되어, AGV 또는 수동 이송의 필요성을 제거한다. 인터스테이션 이송 장치(120)는 오버헤드 지지 빔(overhead support beam; 122)을 포함하며, 이 지지 빔은 서로 인접한 카세트 스토커(50', 50")의 포스트(66', 66")에 고정될 수 있다. 이송 암(124)은 가이드(127)를 따라 수평하게 이동하는 슬라이더(126)에 부착되며, 이 슬라이더는 지지 빔(122)의 일부로서 형성되거나 지지 빔에 부착된다. 슬라이더(126)는 리드 스크루(130)에 의해 수평하게 이동될 수 있으며, 리드 스크루는 수평 드라이브 모터(132)에 의해 회전될 수 있다. 단부 이펙터(128)가 공압 작동기(134)에 이송 암에 연결됨으로써, 단부 이펙터(128)에 짧은 수직 행정이 제공될 수 있다.
인접한 프로세싱 스테이션(20', 20") 사이에서 카세트(100)를 이송하기 위해, 로봇식 카세트 이동기(56')를 이용하여 카세트(100)는 우측 지지 선반, 예를 들어 카세트 스토커(50')의 지지선반(62e')으로 이동된다. 단부 이펙터(128)는 플랜지(116) 위로 수평으로 이동한 다음, 플랜지 하부와 카세트 상부 사이의 수직 위치로 하방향으로 이동한다. 그리고 나서, 이 단부 이펙터(128)는 우측으로 이동하여 카세트 플랜지(116)에 맞물린다. 단부 이펙터(128)는 지지 선반(62e')으로부터 카세트(100)를 들어올리고, 수평 드라이브 모터(132)는 이송 암(124)를 우측으로 이동시켜 카세트(100)를 카세트 스토커(50")의 우측 지지 선반(62a") 위에 위치시킨다. 마지막으로, 이 단부 이펙터(128)는 지지 선반(62a") 상에 카세트(100)를 배치시키기 위해 아래로 이동한 다음 카세트를 이 지지 선반 상에 내려놓는다.
인터스테이션 이송 장치(120)는 인접한 프로세싱 스테이션(20', 20") 사이에서 카세트(100)를 이송하는 매우 간단한 방법을 제공한다. 이것은 프로세싱 스테이션 중 하나가 측정 스테이션(클린룸 내에 위치될 수 있음)인 경우 특히 유용한데, 그 이유는 측정 스테이션이, 프로세싱 스테이션(20', 20") 내에 측정 장치를 설치하지 않고 팩토리 자동 시스템(22)의 사용 없이 측정을 할 수 있기 때문이다.
카세트 스토커(50', 50")가 클린룸 벽(26)에 대해 위치된 것처럼 도시되어 있지만, 이 인터스테이션 이송 장치(120)는 회전식 메커니즘을 포함하고 있으며, 이 메커니즘은 다른 지지 빔 상에 있는 단부 이펙터(128) 사이에서 핸드오프될 수 있다. 이것은 프로세싱 스테이션(20', 20")이 클린룸의 맞은편 벽 또는 코너 주위에 배치될 수 있도록 한다.
위에서 설명된 실시예는, 도킹 스테이션(40, 540) 위에 배열된 두 개의 저장 랙(58) 그리고 두 개의 저장 랙(58) 사이에 배열된 로딩 스테이션(52)을 포함한다. 여섯 개의 카세트 지지 선반(62a 내지 62f)이 하나 이상의 도킹 스테이션 각각의 위에 배열되는 것이 바람직하다. 이러한 구조는 이용가능한 공간에서 최고의 기판 수율을 제공하는 것으로 생각되며, 또한 본 발명은, 도킹 스테이션(40, 540)에 인접하여 배열된 하나 이상의 카세트 지지 선반(62)을 갖춘 한 쌍의 도킹 스테이션(40, 540)을 포함한다. 도 13 및 14는 한 쌍의 도킹 스테이션(40, 540)과 이 도킹 스테이션(40, 540) 위에 배열된 두 개의 지지 선반(62a, 62b)을 갖춘 카세트 스토커의 정면도이다. 도 13에서는 아래로 도 14에서는 위로 개방되는 상층 이동식 도어(544)가 도시되어 있다. 상층 이동식 도어(544)가 도 14에서처럼 위로 개방될 경우, 도킹 스테이션(540, 40)은 가깝게 위치될 수 있으며, 이는 카세트 스토커의 전체적인 높이를 낮출 수 있다. 도 13 및 14의 양 실시예에서, 지지 선반(62a)이 가상선으로 도시되어, 단 하나의 지지 선반(62b)을 사용하는 것이 바람직하다는 것을 나타내고 있다. 인클로져(550)는 도킹 스테이션(40, 540), 로딩 스테이션(52) 및 카세트 지지 선반(62) 둘레에 배열되어 있다. 앞에서 언급된 실시예의 부분으로 형성된 구성요소들은 동일한 도면부호를 사용된다.
기판은, 도킹 스테이션(40, 540) 중 하나, 저장 선반(62) 중 하나, 또는 로딩 스테이션(52)에서 시스템(20) 내로 로딩될 수 있다. 이 로딩 스테이션(52)은, 지지 선반(62) 또는 도킹 스테이션(40, 540)에 인접하여 배열될 수 있다. 다른 실시예와 관련되어 설명된 기판 이동기 시스템은, 도 13의 실시예에 도시된 것처럼 하나의 층을 이루어 이용되고, 지지 선반(62)이 추가의 층을 이루는 것을 제거하고 하나의 층에 배열되는 것을 제외하고는 동일한 방식으로 작동된다.
여기서 설명된 각각의 실시예들은 도킹 스테이션의 위 또는 아래로의 이용가능한 공간을 활용하여, 시스템 밑넓이(시스템이 차지하는 바닥 면적)의 증가없이 시스템의 저장 능력을 증가시킨다. 도시된 저장 선반이 쌍을 이룬 도킹 스테이션 위에 위치하지만, 하나 이상의 저장 선반은 수직으로 배열된 다수의 도킹 스테이션 사이 또는 그 아래쪽에 위치될 수 있다. 따라서, 프로세싱 시스템은, 도킹 스테이션에 인접하여 배열된 카세트 저장 선반을 갖춘 본 발명 저장 장치의 임의의 구조를 이용할 수 있다. 또한, 수직으로 배열된 쌍을 이룬 도킹 스테이션이 하나 이상의 지지 선반 각 층과 연결되는 것이 바람직할지라도, 수평으로 배열된 한 쌍의 도킹 스테이션은 지지 선반 각 층과 연결될 수 있다. 이렇게 수평하게 구조화된 각도킹 스테이션은 개별적인 도구와 연결되어 있다. 개별적인 도구는 고수율 도구(측정 도구 등)가 바람직하다. 또한, 카세트 이동기는, 지지 선반 및 도킹 스테이션에 인접해 배열되어 이런 지지 선반 및 도킹 스테이션 사이에서 카세트의 이송을 실행하는 것이 바람직하다. 그에 따라, 본 발명은 바람직한 실시예와 관련하여 설명되었고, 다른 실시예들이 따라는 청구범위에 정의된 바와 같이, 본 발명의 사상 및 범위 내에 있음을 이해할 것이다.
상술한 바와 같이, 프로세싱 스테이션에 다수의 카세트를 저장하기 위한 방법 및 장치를 제공하여, 프로세싱되지 않은 기판을 연속적으로 제공하고 처리하여 사용되지 않는 프로세싱 설비가 없도록 함으로써, 카세트 내 모든 기판이 처리되면, 이 카세트는 처리되지 않은 기판을 포함한 새로운 카세트로 수동 또는 AGV에 의해 신속하게 교체되야 하는 번거로움과 그로 인한 시설 비용의 증가를 가져오는 많은 프로세싱 스테이션의 단점을 극복하는 효과를 가지고 있다.

Claims (35)

  1. 카세트를 저장하기 위한 장치로서,
    다수의 카세트 저장 선반;
    수직으로 배열된 두개 이상의 도킹 스테이션;
    상기 선반에 인접하여 위치한 지지 부재를 구비한 카세트 이동기; 및
    상기 지지 부재에서 이동될 수 있도록 연결되고 카세트와 결합되도록 구성된 단부 이펙터(end effector)를 포함하며,
    상기 카세트 이동기는 상기 선반과 두개 이상의 도킹 스테이션 사이에서 카세트를 이동하기 위해 상기 선반에 대해 대체로 평행하게 이동할 수 있는 장치.
  2. 제 1항에 있어서, 상기 선반이 클린룸 벽에 인접하여 위치하도록 구성되는 장치.
  3. 제 2항에 있어서, 하나 이상의 상기 선반이 두개 이상의 상기 도킹 스테이션 위에 위치하는 장치.
  4. 제 1항에 있어서, 상기 카세트 저장 선반 및 상기 지지 부재를 지지하는 프레임을 추가로 포함하는 장치.
  5. 제 4항에 있어서, 상기 프레임이 실질적으로 두개 이상의 상기 도킹 스테이션 아래에 설치되는 장치.
  6. 제 1항에 있어서, 실질적으로 두개 이상의 상기 도킹 스테이션 아래에 위치한 프레임을 추가로 포함하며, 상기 지지 부재의 하단부가 상기 프레임에 활주식으로 연결되는 장치.
  7. 제 6항에 있어서, 상기 지지 부재와 결합된 수직 가이드 및 상기 수직 가이드에 활주식으로 연결되는 제 1 슬라이더를 추가로 포함하며, 상기 단부 이펙터가 상기 제 1 슬라이더에 결합되는 장치.
  8. 제 7항에 있어서, 상기 프레임에 결합된 수평 가이드 및 상기 수평 가이드에 활주식으로 연결되는 제 2 슬라이더를 추가로 포함하며, 상기 제 2 슬라이더가 상기 지지 부재와 결합하는 장치.
  9. 제 8항에 있어서, 상기 제 1 슬라이더와 결합되는 제 1 리드 스크루 및 상기 제 1 리드 스크루를 회전하도록 하는 제 1 드라이브 모터를 추가로 포함하여, 상기 제 1 가이드를 따르는 상기 제 1 슬라이더가 상기 단부 이펙터를 수직으로 이동시키는 장치.
  10. 제 9항에 있어서, 상기 제 2 슬라이더와 결합되는 제 2 리드 스크루 및 상기 제 2 리드 스크루를 회전하도록 하는 제 2 드라이브 모터를 추가로 포함하여, 상기 제 2 가이드를 따르는 상기 제 2 슬라이더가 상기 단부 이펙터를 수평으로 이동시키는 장치.
  11. 제 1항에 있어서, 상기 선반이 두개 이상의 도킹 스테이션 위에서 수직 종렬로 배열되는 장치.
  12. 제 1항에 있어서, 상기 단부 이펙터가, 카세트 상부면 상의 플랜지 하부와 결합되기 위한 고리 형상의 핑거를 포함하는 장치.
  13. 제 1항에 있어서, 상기 선반 상에 배치된 카세트 밑면의 압입부와 상응하여 카세트를 고정하도록 상기 선반으로부터 수직으로 돌출된 다수의 핀을 각각의 선반이 포함하는 장치.
  14. 카세트를 저장하기 위한 장치로서,
    수직으로 배열된 다수의 카세트 도킹 스테이션 위에서 벽과 인접하여 위치한 다수의 카세트 저장 선반; 및
    상기 선반과 도킹 스테이션 사이에서 카세트를 이동하기 위한 카세트 이동기를 포함하며, 상기 카세트 이동기는, 상기 선반에 인접하여 위치하고 상기 벽에 대해 평행면을 이루며 이동할 수 있는 지지 부재 및 상기 지지 부재에 활주식으로 연결되고 카세트를와 결합되도록 구성된 단부 이펙터를 포함하는 장치.
  15. 제 14항에 있어서, 상기 선반이, 수직으로 배열된 다수의 카세트 도킹 스테이션 중 적어도 하나의 위에 수직 종렬로 배열되는 장치.
  16. 제 14항에 있어서, 다수의 상기 카세트 저장 선반은 수직 종렬로 배열되고 수직 채널에 의해 분리되어 있으며, 채널을 통해 카세트를 수직으로 이동시키고 상기 카세트를 선택된 지지 선반보다 높은 높이에 위치시킨 다음, 상기 선택된 지지 선반 위에 상기 카세트를 위치시키기 위해 수평으로 이동시킴으로써. 상기 카세트 이동기가, 선택된 지지 선반에 카세트를 이송하도록 구성되는 장치.
  17. 기판 상에 프로세싱을 수행하기 위한 제 1 프로세싱 스테이션;
    기판 상에 프로세싱을 수행하기 위한 제 2 프로세싱 스테이션; 및
    인터스테이션 카세트 이동기를 포함하며,
    상기 제 1 프로세싱 스테이션은 제 1 도킹 스테이션, 상기 제 1 도킹 스테이션 위에 배치된 다수의 카세트 저장 선반, 상기 제 1 도킹 스테이션 위에 위치한 제 2 도킹 스테이션, 그리고 다수의 선반과 상기 제 1 및 2 도킹 스테이션 사이에서 카세트를 이송하기 위한 카세트 이동기를 가지며,
    상기 제 2 프로세싱 스테이션은 제 1 도킹 스테이션, 상기 제 1 도킹 스테이션 위에 배치된 다수의 카세트 저장 선반, 상기 제 1 도킹 스테이션 위에 위치한 제 2 도킹 스테이션, 그리고 다수의 선반과 사이 제 1 및 2 도킹 스테이션 사이에서 카세트를 이송하기 위한 카세트 이동기를 가지며,
    상기 인터스테이션 카세트 이동기는 상기 제 1 프로세싱 스테이션 다수의 선반 중 하나와 상기 제 2 프로세싱 스테이션 다수의 선반 중 하나 사이에서 카세트를 이송하는 제조 시스템.
  18. 제 17항에 있어서, 상기 제 1 프로세싱 스테이션이 제 1 제조 단계를 수행하는 제조 시스템.
  19. 제 17항에 있어서, 상기 제 1 및 2 프로세싱 스테이션 중 적어도 하나가 측정 시스템인 제조 시스템.
  20. 제 17항에 있어서, 상기 선반과 상기 제 1 및 2 도킹 스테이션이 수직 종렬되고, 상기 인터스테이션 카세트 이동기가 제 1 및 2 프로세싱 스테이션 각각의 가장 높은 선반 사이에서 카세트를 이송하는 제조 시스템.
  21. 제 17항에 있어서, 상기 선반에 인접하여 위치하고 클린룸 벽에 대해 평행을 이루며 이동될 수 있는 지지 부재 및 상기 지지 부재에 수직으로 이동하며 카세트와 결합하도록 구성된 단부 이펙터를 상기 인터스테이션 카세트 이동기가 포함하는제조 시스템.
  22. 기판 상에 프로세싱을 수행하기 위한 프로세싱 시스템;
    클린룸으로부터 프로세싱 시스템을 분리하는 경계 벽;
    카세트를 지지하며 클린룸에 수직으로 배치된 한 쌍의 도킹 스테이션;
    상기 도킹 스테이션과 프로세싱 스테이션 사이 경계 벽에 위치한 개구;
    상기 도킹 스테이션과 프로세싱 스테이션 사이의 개구를 통해 기판을 이송하기 위한 웨이퍼 이송 로봇;
    상기 도킹 스테이션에 인접하며 상기 클린룸에 배치된 로딩 플랫폼; 및
    상기 클린룸에 배치된 카세트 스토커를 포함하며,
    상기 카세트 스토커는,
    i) 다수의 상기 도킹 스테이션 중 적어도 하나 위에 수직 종렬로 정렬된 다수의 선반, 및
    ⅱ) 상기 선반, 상기 로딩 플랫폼 및 상기 도킹 스테이션 사이에서 카세트를 이송하기 위한 카세트 이동기를 포함하며, 상기 카세트 이동기는 상기 선반에 인접하여 상기 선반에 대해 전체적으로 평행하게 이동될 수 있도록 배열된 지지 부재 및 상기 지지 부재에 활주식으로 연결되고 카세트와 결합되도록 구성된 단부 이펙터를 포함하는 카세트 스토커를 포함하는 반도체 프로세싱 스테이션.
  23. 프로세싱 스테이션을 작동시키는 방법으로서,
    클린룸 벽과 인접한 수직으로 배열된 한 쌍의 도킹 스테이션에 대해 수직으로 배열된 다수의 카세트 저장 선반 상에 다수의 카세트를 저장하는 단계;
    상기 카세트 중 하나를 카세트 이동기가 구비된 상기 도킹 스테이션 중 하나에 이송하는 단계;
    상기 카세트로부터 기판을 제거하는 단계;
    상기 기판 상에 측정 프로세싱을 수행하는 단계; 및
    상기 카세트 이동기를 구비한 상기 카세트 저장 선반으로 상기 카세트를 복귀시키는 단계를 포함하는 방법.
  24. 카세트를 저장하기 위한 장치로서,
    클린룸 벽에 인접하여 위치할 수 있는 수직으로 배열된 한 쌍의 도킹 스테이션; 및
    클린룸 벽 및 한 쌍의 도킹 스테이션에 인접하여 위치할 수 있는 하나 이상의 카세트 저장 선반을 포함하는 장치.
  25. 제 24항에 있어서, 하나 이상의 상기 카세트 저장 선반이 수직으로 배열된 한 쌍의 도킹 스테이션 중 적어도 하나의 위에 배열되는 장치.
  26. 제 25항에 있어서, 수직으로 배열된 한 쌍의 상기 도킹 스테이션과 하나 이상의 카세트 저장 선반에 인접하여 위치한 카세트 이동기를 추가로 포함하는 장치.
  27. 제 26항에 있어서, 상기 카세트 이동기가 수직 슬라이더 및 측면 슬라이더를 포함하는 장치.
  28. 제 27항에 있어서, 수직으로 배열된 한 쌍의 도킹 스테이션 중 하나에 인접하여 배열된 로딩 스테이션을 추가로 포함하는 장치.
  29. 제 28항에 있어서, 수직으로 배열된 쌍을 이룬 도킹 스테이션, 로딩 스테이션 및 하나 이상의 카세트 저장 선반 주위에 배열된 인클로져를 추가로 포함하는 장치.
  30. 카세트를 저장하기 위한 장치로서,
    다수의 카세트 저장 선반;
    수직으로 배열된 다수의 도킹 스테이션;
    상기 선반 및 도킹 스테이션 사이에서 카세트를 이동하기 위한 카세트 이동기; 및
    지지 부재에 이동할 수 있도록 연결되고 카세트와 결합되도록 구성된 단부 이펙터를 포함하며,
    상기 카세트 이동기는 상기 선반에 인접해 배열된 지지부재를 구비하고 상기 선반에 대해 전체적으로 평행하게 이동하는 장치.
  31. 제 30항에 있어서, 상기 선반 중 하나 이상이, 다수의 상기 도킹 스테이션 중 적어도 하나 위에 위치하는 장치.
  32. 제 30항에 있어서, 상기 선반 중 하나 이상이, 다수의 상기 도킹 스테이션 중 적어도 하나 아래에 수직으로 위치하는 장치.
  33. 카세트를 저장하기 위한 장치로서,
    다수의 제 1 및 2 카세트 저장 선반;
    수직으로 배열된 다수의 제 1 및 2 도킹 스테이션;
    상기 선반 및 도킹 스테이션 사이에서 카세트를 이동하기 위한 카세트 이동기; 및
    지지 부재에 이동할 수 있도록 연결되고 카세트와 결합되도록 구성된 단부 이펙터를 포함하며,
    상기 카세트 이동기는 상기 선반에 인접해 배열되고 상기 선반에 대해 전체적으로 평행하게 이동하는 장치.
  34. 제 33항에 있어서, 다수의 상기 제 1 선반은 다수의 상기 제 1 도킹 스테이션에 대해 수직으로 위치하고 다수의 상기 2 선반은 다수의 상기 제 2 도킹 스테이션에 대해 수직으로 위치하는 장치.
  35. 제 1항에 있어서, 상층에 수직으로 배열된 상기 도킹 스테이션 중 하나가 위쪽으로 개방되는 도어를 포함하고, 하층에 수직으로 배열된 상기 도킹 스테션 중 하나가 아래쪽으로 개방되는 도어를 포함하는 장치.
KR1020010013692A 2000-03-16 2001-03-16 카세트 저장 및 이송 장치 KR100905565B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/527,092 US6506009B1 (en) 2000-03-16 2000-03-16 Apparatus for storing and moving a cassette
US09/527,092 2000-03-16

Publications (2)

Publication Number Publication Date
KR20010090477A true KR20010090477A (ko) 2001-10-18
KR100905565B1 KR100905565B1 (ko) 2009-07-02

Family

ID=24100060

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010013692A KR100905565B1 (ko) 2000-03-16 2001-03-16 카세트 저장 및 이송 장치

Country Status (6)

Country Link
US (4) US6506009B1 (ko)
EP (1) EP1134641B1 (ko)
JP (1) JP4919539B2 (ko)
KR (1) KR100905565B1 (ko)
DE (1) DE60100575T2 (ko)
TW (1) TW504732B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101414220B1 (ko) * 2009-06-15 2014-07-03 무라다기카이가부시끼가이샤 자동창고
US11383940B2 (en) 2016-04-29 2022-07-12 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Robot arm for holding cassette and automatic cassette transfer device

Families Citing this family (353)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6773220B1 (en) * 2001-04-30 2004-08-10 Intrabay Automation, Inc. Semi-conductor wafer cassettes modular stocker
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
DE10157192A1 (de) * 2001-11-23 2003-06-12 Ortner C L S Gmbh Lagereinrichtung
JP4182521B2 (ja) * 2001-12-04 2008-11-19 ローツェ株式会社 容器の一時的搬入、留置、搬出用装置
US7677859B2 (en) 2002-07-22 2010-03-16 Brooks Automation, Inc. Substrate loading and uploading station with buffer
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7506746B2 (en) 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7258520B2 (en) 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7778721B2 (en) 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US7221993B2 (en) 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
CH696829A5 (de) * 2003-07-11 2007-12-14 Tec Sem Ag Beschickungseinrichtung für Waferverarbeitungsprozesse.
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US7798309B2 (en) * 2003-11-13 2010-09-21 Applied Materials, Inc. Stabilizing substrate carriers during overhead transport
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7784164B2 (en) 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
JP4266197B2 (ja) 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
JP2006232357A (ja) * 2005-02-25 2006-09-07 Yuyama Manufacturing Co Ltd 錠剤充填装置
US8303233B2 (en) * 2005-08-01 2012-11-06 Worthwhile Products Storage and retrieval system
KR100739632B1 (ko) 2005-12-21 2007-07-13 삼성전자주식회사 반도체 모듈 테스트 설비
US20100310351A1 (en) * 2006-03-30 2010-12-09 Tokyo Electron Limited Method for handling and transferring a wafer case, and holding part used therefor
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
US7418982B2 (en) 2006-05-17 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate carrier and facility interface and apparatus including same
US8776841B2 (en) * 2006-06-19 2014-07-15 Entegris, Inc. System for purging reticle storage
JP4904995B2 (ja) * 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
US20080118334A1 (en) * 2006-11-22 2008-05-22 Bonora Anthony C Variable pitch storage shelves
US9834378B2 (en) * 2006-12-22 2017-12-05 Brooks Automation, Inc. Loader and buffer for reduced lot size
US7857222B2 (en) 2007-08-16 2010-12-28 Hand Held Products, Inc. Data collection system having EIR terminal interface node
KR20090026099A (ko) 2007-09-06 2009-03-11 아시스트 테크놀로지스 재팬 가부시키가이샤 보관고, 반송 시스템 및 보관고 세트
JP2009062153A (ja) * 2007-09-06 2009-03-26 Asyst Technologies Japan Inc 保管庫
JP5369419B2 (ja) * 2007-10-18 2013-12-18 村田機械株式会社 保管庫、保管庫セット及び保管庫付き搬送システム
US8672121B2 (en) 2007-10-22 2014-03-18 Applied Materials, Inc. Methods and apparatus for transporting substrate carriers
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
JP5209954B2 (ja) 2007-12-21 2013-06-12 株式会社ユーテック 成膜処理用治具及びプラズマcvd装置
TWI481539B (zh) * 2007-12-25 2015-04-21 Murata Machinery Ltd 保管庫以及出入庫方法
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
US8992153B2 (en) * 2008-06-30 2015-03-31 Intevac, Inc. System and method for substrate transport
WO2010014761A1 (en) 2008-07-29 2010-02-04 Intevac, Inc. Processing tool with combined sputter and evaporation deposition sources
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
JP5284808B2 (ja) 2009-01-26 2013-09-11 株式会社Sokudo ストッカー装置及び基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5463758B2 (ja) * 2009-06-26 2014-04-09 村田機械株式会社 保管庫
TWI496732B (zh) * 2009-07-31 2015-08-21 Murata Machinery Ltd 供工具利用之緩衝儲存和運輸裝置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US9497092B2 (en) 2009-12-08 2016-11-15 Hand Held Products, Inc. Remote device management interface
JP5318005B2 (ja) 2010-03-10 2013-10-16 株式会社Sokudo 基板処理装置、ストッカー装置および基板収納容器の搬送方法
EP2554495B1 (en) 2010-04-02 2020-08-05 Murata Machinery, Ltd. Automated warehouse
WO2011141960A1 (ja) * 2010-05-12 2011-11-17 ムラテックオートメーション株式会社 自動倉庫及び移載方法
US9056718B2 (en) 2010-05-13 2015-06-16 Murata Machinery, Ltd. Transfer device
EP2581327B1 (en) * 2010-06-10 2019-10-02 Murata Machinery, Ltd. Conveyance system and method of communication in conveyance system
JP2012146870A (ja) * 2011-01-13 2012-08-02 Disco Abrasive Syst Ltd カセット収容装置
KR101231968B1 (ko) 2011-03-17 2013-02-15 노바테크인더스트리 주식회사 기판 이송 시스템
EP2706563B1 (en) * 2011-05-02 2015-09-16 Murata Machinery, Ltd. Automated warehouse
US9190304B2 (en) * 2011-05-19 2015-11-17 Brooks Automation, Inc. Dynamic storage and transfer system integrated with autonomous guided/roving vehicle
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8887367B2 (en) * 2011-07-12 2014-11-18 The Boeing Company Cell including clean and dirty sections for fabricating composite parts
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8621123B2 (en) 2011-10-06 2013-12-31 Honeywell International Inc. Device management using virtual interfaces
US8539123B2 (en) 2011-10-06 2013-09-17 Honeywell International, Inc. Device management using a dedicated management interface
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140089517A (ko) * 2011-11-09 2014-07-15 신포니아 테크놀로지 가부시끼가이샤 로드 포트, efem
KR20130063131A (ko) * 2011-12-06 2013-06-14 삼성전자주식회사 터치 감지 파라미터 설정 방법 및 장치
EP2889235B1 (en) * 2012-08-21 2020-08-05 Murata Machinery, Ltd. Stocker provided with purging functionality, stocker unit, and method for supplying cleaning gas
US9287150B2 (en) 2012-10-09 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Reticle transfer system and method
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9600634B2 (en) * 2013-06-28 2017-03-21 Carefusion 303, Inc. Secure medication transport
JP6235294B2 (ja) * 2013-10-07 2017-11-22 東京エレクトロン株式会社 基板搬送室及び容器接続機構
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9530678B2 (en) * 2014-07-28 2016-12-27 Infineon Technologies Ag Substrate carrier system for moving substrates in a vertical oven and method for processing substrates
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6329880B2 (ja) * 2014-10-31 2018-05-23 富士フイルム株式会社 光学フィルム、光学フィルムの製造方法、偏光板、及び画像表示装置
JP6405259B2 (ja) * 2015-02-12 2018-10-17 株式会社Screenホールディングス 基板処理装置および基板処理方法
TWI661479B (zh) 2015-02-12 2019-06-01 日商思可林集團股份有限公司 基板處理裝置、基板處理系統以及基板處理方法
US20160236865A1 (en) * 2015-02-16 2016-08-18 David Altemir Automated Warehouse Storage and Retrieval System
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101609338B1 (ko) 2015-03-16 2016-04-05 크린팩토메이션 주식회사 웨이퍼 캐리어를 천정에 보관하고 처리하는 장치
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7349240B2 (ja) * 2018-10-05 2023-09-22 東京エレクトロン株式会社 基板倉庫及び基板検査方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11551959B2 (en) 2019-10-29 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for automated wafer carrier handling
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US20230134774A1 (en) * 2020-03-05 2023-05-04 Murata Machinery, Ltd. Storage shelf
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4884572A (en) * 1986-05-20 1989-12-05 Concept, Inc. Tack and applicator for treating torn bodily material in vivo
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS6467932A (en) 1987-09-08 1989-03-14 Mitsubishi Electric Corp Semiconductor wafer cassette conveyor
US4964776A (en) * 1987-12-01 1990-10-23 Tsubakimoto Chain Co. Article transfer and storage system
US4986715A (en) 1988-07-13 1991-01-22 Tokyo Electron Limited Stock unit for storing carriers
US5064337A (en) * 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
JPH0797564B2 (ja) 1990-02-21 1995-10-18 国際電気株式会社 縦型半導体製造装置
JP2565786B2 (ja) 1990-03-09 1996-12-18 三菱電機株式会社 自動搬送装置及び方法
JPH04158508A (ja) 1990-10-22 1992-06-01 Mitsubishi Electric Corp 半導体ウェハ搬送システム
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
EP0552756A1 (en) 1992-01-21 1993-07-28 Shinko Electric Co. Ltd. Article storage house in a clean room
ATE129361T1 (de) 1992-08-04 1995-11-15 Ibm Fertigungsstrasse architektur mit vollautomatisierten und rechnergesteuerten fördereinrichtungen geeignet für abdichtbaren tragbaren unter druck stehenden behältern.
US5246218A (en) * 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5387264A (en) 1993-04-07 1995-02-07 Nippon Kayaku Kabushiki Kaisha Method for dyeing or coloring organic macromolecular substance by using coumarin compound or coloring material
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
JPH077218A (ja) * 1993-06-15 1995-01-10 Sony Corp 半導体レーザ
JP3163884B2 (ja) 1994-02-18 2001-05-08 株式会社ダイフク 荷保管設備
JP3543996B2 (ja) * 1994-04-22 2004-07-21 東京エレクトロン株式会社 処理装置
JP3331746B2 (ja) 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
KR0167881B1 (ko) 1994-11-28 1999-02-01 김주용 웨이퍼 반송 시스템 및 그 제어방법
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
EP0735573B1 (de) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
JP3319916B2 (ja) 1995-07-04 2002-09-03 株式会社アサカ 記憶媒体自動交換装置
US5964561A (en) 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
JP2968742B2 (ja) 1997-01-24 1999-11-02 山形日本電気株式会社 自動保管棚及び自動保管方法
JPH10256346A (ja) * 1997-03-13 1998-09-25 Tokyo Electron Ltd カセット搬出入機構及び半導体製造装置
US6224313B1 (en) 1997-04-01 2001-05-01 Murata Kikai Kabushiki Kaisha Automatic warehouse
US5980183A (en) * 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6390754B2 (en) 1997-05-21 2002-05-21 Tokyo Electron Limited Wafer processing apparatus, method of operating the same and wafer detecting system
US6579052B1 (en) 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JPH1159829A (ja) 1997-08-08 1999-03-02 Mitsubishi Electric Corp 半導体ウェハカセット搬送装置、半導体ウェハカセット搬送装置で用いられるストッカ、ならびに半導体ウェハカセット搬送装置で用いられるストッカ入庫作業制御方法、ストッカ出庫作業制御方法、自動搬送車制御方法、およびストッカ在庫照合方法
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
US6027992A (en) * 1997-12-18 2000-02-22 Advanced Micro Devices Semiconductor device having a gallium and nitrogen containing barrier layer and method of manufacturing thereof
JP3656701B2 (ja) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 処理装置
US6078037A (en) 1998-04-16 2000-06-20 Intel Corporation Active pixel CMOS sensor with multiple storage capacitors
US6079927A (en) 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
KR20010043705A (ko) 1998-05-18 2001-05-25 조셉 제이. 스위니 워크 스테이션간에 웨이퍼당 이송을 위한 웨이퍼 버퍼스테이션과 방법
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US5980182A (en) 1998-06-23 1999-11-09 Duplo Usa Corporation Case-in device of adhesive bookbinder
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6435330B1 (en) 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
US6379096B1 (en) * 1999-02-22 2002-04-30 Scp Global Technologies, Inc. Buffer storage system
US6304051B1 (en) * 1999-03-15 2001-10-16 Berkeley Process Control, Inc. Self teaching robotic carrier handling system
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
JP2000286319A (ja) * 1999-03-31 2000-10-13 Canon Inc 基板搬送方法および半導体製造装置
KR100403663B1 (ko) * 1999-07-14 2003-10-30 동경 엘렉트론 주식회사 피처리체 수용 박스의 개폐 덮개의 개폐 장치 및피처리체의 처리 시스템
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101414220B1 (ko) * 2009-06-15 2014-07-03 무라다기카이가부시끼가이샤 자동창고
US11383940B2 (en) 2016-04-29 2022-07-12 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Robot arm for holding cassette and automatic cassette transfer device

Also Published As

Publication number Publication date
TW504732B (en) 2002-10-01
DE60100575T2 (de) 2004-06-24
US6955517B2 (en) 2005-10-18
JP2001298069A (ja) 2001-10-26
US6506009B1 (en) 2003-01-14
DE60100575D1 (de) 2003-09-18
US20070237609A1 (en) 2007-10-11
KR100905565B1 (ko) 2009-07-02
EP1134641A1 (en) 2001-09-19
EP1134641B1 (en) 2003-08-13
US7234908B2 (en) 2007-06-26
US20060029489A1 (en) 2006-02-09
US20030031539A1 (en) 2003-02-13
JP4919539B2 (ja) 2012-04-18

Similar Documents

Publication Publication Date Title
KR100905565B1 (ko) 카세트 저장 및 이송 장치
US6283692B1 (en) Apparatus for storing and moving a cassette
US11587816B2 (en) Container storage add-on for bare workpiece stocker
US6273110B1 (en) Automated semiconductor processing system
US6726429B2 (en) Local store for a wafer processing station
USRE43023E1 (en) Dual loading port semiconductor processing equipment
US6979168B2 (en) Method and apparatus for transferring substrate
US7410340B2 (en) Direct tool loading
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
EP1965409A2 (en) Apparatus and methods for transporting and processing substrates
US20090028669A1 (en) Removable compartments for workpiece stocker
US20080236755A1 (en) Single-wafer type substrate processing apparatus having a carry-in port provided with first and second placement tables arranged in a line
JPH0936198A (ja) 真空処理装置およびそれを用いた半導体製造ライン
EP2092556B1 (en) Compartments for workpiece stocker
US6736148B2 (en) Automated semiconductor processing system
JP3512404B2 (ja) 真空処理装置および試料の真空処理方法
US20230113673A1 (en) Factory interface robots usable with integrated load locks
JP2004096075A (ja) 真空処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee