JP4650831B2 - 基板キャリアを支持する場所を変更するための方法および装置 - Google Patents

基板キャリアを支持する場所を変更するための方法および装置 Download PDF

Info

Publication number
JP4650831B2
JP4650831B2 JP2005205939A JP2005205939A JP4650831B2 JP 4650831 B2 JP4650831 B2 JP 4650831B2 JP 2005205939 A JP2005205939 A JP 2005205939A JP 2005205939 A JP2005205939 A JP 2005205939A JP 4650831 B2 JP4650831 B2 JP 4650831B2
Authority
JP
Japan
Prior art keywords
substrate carrier
end effector
intermediate support
support location
foup
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005205939A
Other languages
English (en)
Other versions
JP2006066893A (ja
Inventor
アール. エリオット マーティン
ロバート ライス マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006066893A publication Critical patent/JP2006066893A/ja
Application granted granted Critical
Publication of JP4650831B2 publication Critical patent/JP4650831B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

発明の内容
本出願は、2004年7月14日に出願された米国特許仮出願第60/587,752号(代理人整理番号第9474/L号)に基づいて優先権を主張するものであり、その米国特許仮出願第60/587,752号は、その全体を参照として本明細書に組み入れる。
関連出願の相互参照
本出願は、米国特許出願の同一出願人による以下の同時係属出願に関連し、それらの出願の明細書は、その全体を参照として、本明細書に組み入れられる:
2003年8月28日に出願された発明の名称が「System For Transporting Substrate Carriers」である米国特許出願第10/650,310号(代理人整理番号第6900号);および
2003年8月28日に出願された発明の名称が「Substrate Carrier Handler That Unloads Substrate Carriers Directly From a Moving Conveyor」である米国特許出願第10/650,480号(代理人整理番号第7676号)。
発明の分野
本発明は、一般的には、半導体デバイスの製造に関し、より詳細には、基板キャリアを支持する場所を変更するための方法および装置に関する。
発明の背景
半導体デバイスの製造は、典型的には、シリコン基板、ガラスプレート、などの基板に対して一連の処理を実行しなければならない。これらのステップは、ポリシング、堆積、エッチング、フォトリソグラフィー、熱処理、などを含むことができる。通常、いくつかの異なるプロセスステップは、複数のプロセスチャンバーを含む単一プロセスシステムまたは「ツール」において実行されてもよい。しかしながら、異なるプロセスは加工設備内の異なるプロセスロケーションにおいて実行されなければならないのが一般的なことであり、したがって、基板は、加工設備内において、一方のプロセスロケーションから他方のプロセスロケーションへ搬送されなければならない。製造されるべき半導体デバイスの種類によっては、比較的に多数のプロセスステップが、加工設備内の多くの異なるプロセスロケーションにおいて実行されなければならないことがある。
従来、基板は、密封されたポッド、カセット、コンテナ、などのような基板キャリア内において、一方のプロセスロケーションから他方のプロセスロケーションへ搬送される。また、加工設備内のロケーションからロケーションへ基板キャリアを移動させるために、あるいは、基板キャリア搬送デバイスからまたは基板キャリア搬送デバイスへ基板キャリアを移送するために、従来、自動案内搬送車、オーバーヘッド搬送システム、基板キャリア操作ロボット、などの自動化された基板キャリア搬送デバイスが使用される。しかしながら、搬送処理中に基板キャリアを支持するための改善された方法および装置が、依然として必要とされている。
発明の概要
本発明の第1の態様においては、エンドエフェクターによって支持される場所を変更するための第1の方法が提供される。第1の方法は、(1)エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップと、(2)基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップであって、中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持するステップと、(3)エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置するステップと、(4)エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップと、(5)基板キャリアを中間支持ロケーションから移送するステップと、を含む。
本発明の第2の態様においては、エンドエフェクターによって支持される場所を変更するための第2の方法が提供される。第2の方法は、(1)エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップと、(2)基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップであって、中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持するステップと、(3)エンドエフェクターを基板キャリアの底部の近くに再配置するステップと、(4)エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップと、(5)基板キャリアを中間支持ロケーションから移送するステップと、を含む。
本発明の第3の態様においては、第1の基板キャリア移送システムが提供される。第1の基板キャリア移送システムは、(1)基板キャリアの底部によって基板キャリアを支持し、かつ、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合されたエンドエフェクターと、(2)中間支持ロケーションと、(3)エンドエフェクターに結合されたコントローラとを含み、前記コントローラは、(a)エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持し、(b)基板キャリアをエンドエフェクターから中間支持ロケーションに移送し、前記中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持し、(c)エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置し、(d)エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持し、(e)基板キャリアを中間支持ロケーションから移送するように適合される。
本発明の第4の態様においては、第2の基板キャリア移送システムが提供される。第2の基板キャリア移送システムは、(1)基板キャリアの底部によって基板キャリアを支持し、かつ、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合されたエンドエフェクターと、(2)中間支持ロケーションと、(3)エンドエフェクターに結合されたコントローラとを含み、前記コントローラは、(a)エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持し、(b)基板キャリアをエンドエフェクターから中間支持ロケーションに移送し、前記中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持し、(c)エンドエフェクターを基板キャリアの底部の近くに再配置し、(d)エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持し、(e)基板キャリアを中間支持ロケーションから移送するように適合される。本発明のこれらのおよびその他の態様に基づいて、多くのその他の態様が、方法、システム、装置、およびコンピュータプログラムプロダクトとして、提供される。本明細書で説明されるそれぞれのコンピュータプログラムプロダクトは、コンピュータによって読み込むことのできる媒体(例えば、搬送波信号、フロッピーディスク、コンパクトディスク、DVD、ハードディスク、ランダムアクセスメモリー、など)によって保持されてもよい。
以下の詳細な説明、添付の特許請求の範囲、および添付の図面から、本発明のその他の特徴および態様をより完璧に理解することができる。
詳細な説明
従来の正面開口式一体型ポッド(FOUP)のような基板キャリアは、1つ以上の基板を収容してもよい。半導体デバイス製造プロセス中、基板キャリアは、ロボットアームのエンドエフェクターのような搬送デバイスを用いて、半導体デバイス製造設備の第1のロケーションから第2のロケーションへ移送される。搬送デバイスは、基板キャリアの第1の端部(例えば、上端)を支持することによって、第1のロケーションから基板キャリアを移送することができる(例えば、持ち上げることによって)。しかしながら、本発明によれば、さらに、搬送デバイスは、基板キャリアの第2の端部(例えば、下端)を支持することによって、第2のロケーションへ基板キャリアを移送することができ、あるいは、その逆も可能である。例えば、基板キャリアを支持する場所が、搬送中、基板キャリアの第1の端部から第2の端部に変更されてもよい。このように、本発明の方法および装置は、基板キャリアを支持する場所を変更することを提供する。
図1は、本発明の実施形態によるエンドエフェクター103によって支持された従来の正面開口式一体型ポッド(FOUP)101の等角図である。一実施形態においては、FOUP101は、立方体であってもよい。図1には立方体として示されるが、FOUP101は、その他の形状を有してもよい。FOUP101は、上側部109および底側部(図1には示されない)を含めて複数の側部または表面を含んでもよい。FOUP101は、1つ以上の側部上にハンドル105を含んでもよく、そのハンドル105は、FOUP101をマニュアルで運搬するのに用いられてもよい。FOUP101は、FOUP101の上側部または表面109に結合されたオーバーヘッド移送(OHT)フランジ107を含む。OHTフランジ107は、ベース114上に存在しおよび/またはベース114から突き出た複数のフランジ111を含んでもよい。FOUP101のOHTフランジ107または底側部(図1には示されない)は、エンドエフェクター103のような搬送デバイスに結合するように適合される。
エンドエフェクター103は、上側部113および底側部115を含む。エンドエフェクター103の上側部113および底側部115に関する詳細は、以下で、図4および図5をそれぞれ参照して説明される。エンドエフェクター103は、例えば、移送中、FOUP101を支持するのに使用されてもよい。より詳細には、エンドエフェクター103の底側部115は、FOUP101の上側部109(例えば、OHTフランジ107)を用いて(例えば、FOUP101の上側部109(例えば、OHTフランジ107)によって)、FOUP101を支持してもよい。あるいは、図1に示されるように、エンドエフェクター103の上側部113は、FOUP101の底側部を用いて(例えば、FOUP101の底側部によって)、FOUP101を支持してもよい。
図1は、本発明の実施形態による中間支持ロケーション117の等角図を含む。一実施形態においては、中間支持ロケーション117は、シェルフであってもよい。中間支持ロケーション117は、その他の種類の支持体を含んでもよい。エンドエフェクター103が、FOUP101の第1の側部(例えば、底側部)からFOUP101の第2の側部(例えば、上側部109)へ支持する場所を変更されるとき(あるいは、それとは逆に変更されるとき)、中間支持ロケーション117が、FOUP101を支持する。図1に示される実施形態においては、中間支持ロケーション117は、FOUP101の底面(図1には示されない)によって、FOUP101を支持する。別の実施形態においては、中間支持ロケーション117は、別の側部および/またはさらなる側部によって、FOUP101を支持してもよい。
図2は、図1に示されるFOUP101の平面図である。OHTフランジ107の上面201は、エンドエフェクター103または図1の中間支持ロケーション117のような支持デバイスとのアライメントを提供するための1つ以上のフランジスロット203を含んでもよい。一実施形態においては、OHTフランジ107の上面201または底面(図示しない)は、3つのフランジスロット203を含む。その他の数のスロット203が、使用されてもよい。さらに、異なる実施形態は、異なる長さ、深さ、および/または、形状、および/または、スロット位置を有するスロット203を含んでもよい。
図3は、図1に示されるFOUP101の底面図である。FOUP101の底側部301は、エンドエフェクター103または図1の中間支持ロケーション117のような支持デバイスとのアライメントを提供するための1つ以上のFOUPスロット303を含む。一実施形態においては、FOUP101の底面301は、3つのFOUPスロット303を含む。その他の数のFOUPスロット303が、使用されてもよい。さらに、異なる実施形態は、異なる長さ、深さ、形状、および/または、スロット位置を有するFOUPスロット303を含んでもよい。
図4は、本発明の実施形態による図1のエンドエフェクター103および中間支持ロケーション117の等角図である。エンドエフェクター103の上側部113は、1つ以上のエンドエフェクターピン401を含んでもよい。1つ以上のエンドエフェクターピン401は、エンドエフェクターがFOUP101の底側部301を支持するとき、FOUP101の底側部301に含まれる対応するFOUPスロット303(図3)に結合する(例えば、挿入される)。FOUPスロット303および1つ以上のエンドエフェクターピン401は、1つ以上のエンドエフェクターピン401の対応するFOUPスロット303との結合がFOUP101をエンドエフェクター103に整列させるように設計される。同様に、中間支持ロケーション117は、1つ以上の支持ロケーションピン403を(例えば、支持ロケーションの上側部に)含んでもよい。1つ以上の支持ロケーションピン403は、中間支持ロケーション117がFOUP101の底面を支持するとき、対応するFOUPスロット303に結合する(例えば、挿入される)。FOUPスロット303および1つ以上の支持ロケーションピン403は、1つ以上の支持ロケーションピン403の対応するFOUPスロット303との結合がFOUP101を中間支持ロケーション117に整列させるように設計される。図4に示される実施形態においては、3つのエンドエフェクターピン401および/または3つの支持ロケーションピン403が使用されるが、その他の数のピンが、使用されてもよい。一実施形態においては、1つ以上のエンドエフェクターピン401および/または1つ以上の支持ロケーションピン403は、キネマティックピンである。その他の種類のピンが、使用されてもよい。さらに、1つ以上のエンドエフェクターピン401および/または支持ロケーションピン403は、センサー405を含んでもよい(例えば、キャリアの配置を正確に検出するために)。センサーを用いたキネマティックピンは、2003年6月3日に出願された発明の名称が「LOCATOR PIN INTEGRATED WITH SENSOR FOR DETECTING SEMICONDUCTOR SUBSTRATE CARRIER」である米国特許第6,573,522B2号に説明されており、その全体を参照として本明細書に組み入れる。
エンドエフェクター103は、コントローラ407に結合されてもよく、そのコントローラ407は、エンドエフェクター103を1つ以上の方向に動かす(例えば、エンドエフェクター103の移動を制御する)ように適合される。例えば、コントローラ407は、x軸、y軸、および/または、z軸(図4)に沿ってエンドエフェクターを動かすように適合されてもよい。エンドエフェクター103、中間支持ロケーション117、およびコントローラ407は、基板キャリア移送システム409を構成してもよい。
図4に示される実施形態においては、エンドエフェクター103の形状および1つ以上のエンドエフェクターピン401の配置は、中間支持ロケーション117の形状およびその上に存在する1つ以上の支持ロケーションピン403の配置を相補するように設計される。より詳細には、エンドエフェクター103および中間支持ロケーション117は、同一平面(例えば、xy平面)内に存在し、エンドエフェクター103のそれぞれのエンドエフェクターピン401および中間支持ロケーション117の対応するそれぞれの支持ロケーションピン403は、それぞれのFOUPスロット303に結合されてもよい(例えば、挿入されてもよい)。この期間中、エンドエフェクター103および中間支持ロケーション117の両方が、FOUP101を支持してもよい(例えば、FOUP101の底面によって)。
図5Aは、本発明の実施形態によるエンドエフェクター103の底側部115の等角図である。エンドエフェクター103の底側部115は、1つ以上のエンドエフェクターフランジ501を含んでもよい。上述したように、エンドエフェクター103の底側部115は、FOUP101の上側部109を用いて、FOUP101を支持してもよい。より詳細には、1つ以上のエンドエフェクターフランジ501は、例えば、OHTフランジ107の下へ滑り込むことによって、OHTフランジ107に結合するように適合される。このようにして、エンドエフェクター103は、OHTフランジ107を用いて、FOUP101を支持する。一実施形態においては、エンドエフェクター103は、4つのエンドエフェクターフランジ501を含む。その他の数のエンドエフェクターフランジ501が、使用されてもよい。さらに、異なる実施形態は、異なる形状、位置、および/または、寸法を有するエンドエフェクターフランジ501を含んでもよい。一実施形態においては、1つ以上のエンドエフェクターフランジは、エンドエフェクターフランジ501のOHTフランジ107との正確なアライメントを保証するために、エンドエフェクターセンサー503を含んでもよく、および/または、エンドエフェクターセンサー503に結合されてもよい。例えば、エンドエフェクターセンサー503は、1つ以上のエンドエフェクターフランジ501がOHTフランジ107に対して正確に配置されたことを指示してもよい。
図5Bは、本発明の実施形態によるエンドエフェクター103の側面図である。図5Bを参照すると、1つ以上のエンドエフェクターフランジ501の上面505は、1つ以上のエンドエフェクターピン401を含んでもよい。エンドエフェクターフランジ501の上面505上に存在する1つ以上のエンドエフェクターピン401は、エンドエフェクター103がOHTフランジ107によってFOUP101を支持するとき、OHTフランジ107の底面(図示しない)に含まれる対応するOHTフランジスロット(図示しない)に結合する(例えば、挿入される)。OHTフランジスロットおよびエンドエフェクターフランジ501の上面505上に存在する1つ以上のエンドエフェクターピン401は、1つ以上のエンドエフェクターピン401の対応するOHTフランジスロットとの結合がFOUP101をエンドエフェクター103に整列させるように設計される。
ここで、図1〜図6を参照して、また、図7〜図17を参照して、基板キャリア移送システム409(図4)の代表的な動作を説明する。図7〜図17は、本発明の実施形態によるエンドエフェクター101によって支持される場所を変更するための代表的な方法601を説明するものである。より詳細には、エンドエフェクター103によって基板キャリア(例えば、FOUP101)を支持する場所を、FOUP101の第1の側部から第2の側部へ変更するための代表的な方法が、説明される。方法601の1つ以上のステップは、例えば、コントローラ407によって実行され、かつ、例えば、何らかの適切なコンピュータ可読媒体(例えば、搬送波信号、フロッピーディスク、コンパクトディスク、DVD、ハードディスク、ランダムアクセスメモリー、など)に存在するような、コントローラ407のメモリーに記憶され、コントローラ407に結合され、そうでなければコントローラ407に関連づけられたコンピュータプログラムコードによって実施されてもよい。
図6を参照すると、ステップ603において、方法601は、開始する。ステップ605において、基板キャリア(例えば、FOUP101)の底側部301によって基板キャリアを支持するために、エンドエフェクター103が使用される。コントローラ407が、エンドエフェクター103の上側部113のエンドエフェクターピン401がFOUP101の底側部301に含まれるFOUPスロット303に結合するようにエンドエフェクターを動かすのに使用されてもよく、それによって、エンドエフェクター103の上面113が、FOUP101の底側部301に結合される。このようにして、エンドエフェクター103は、FOUP101の底側部301を支持する。上述したように、エンドエフェクター103をFOUP101に結合するために、コントローラ407が、x軸、y軸、およびz軸の1つ以上に沿ってエンドエフェクター103を動かすのに使用されてもよい。図7は、本発明の実施形態による基板キャリア(例えば、FOUP101)の底部によって基板キャリアを支持するのに使用されるエンドエフェクター103を示す。例えば、エンドエフェクター103は、この底部によってFOUP101を支持する別の支持シェルフからFOUP101を取り外しており、あるいは、FOUPのOHTフランジによってFOUPを支持するオーバーヘッドコンベアシステムからFOUP101を取り外している可能性がある。図7に示されるように、FOUP101は、エンドエフェクター103によって完全に支持されている。
ステップ607において、基板キャリア(例えば、FOUP101)は、エンドエフェクター101から中間支持ロケーション117へ移送される。中間支持ロケーション117は、基板キャリア(例えば、FOUP101)の底部301を支持する。例えば、エンドエフェクターがFOUP101の底側部301を支持しているときに、コントローラ407が、エンドエフェクターが(図7に示されるように)中間支持ロケーション117に近づくように(例えば、中間支持ロケーション117よりもわずかに高く)、エンドエフェクター103をz軸に沿って(例えば、垂直方向に上方または下方へ)動かしてもよい。
その後、エンドエフェクター103がFOUP101の底側部301を支持しているときに、コントローラ407は、エンドエフェクター103が中間支持ロケーション117の真上にくるように、エンドエフェクター103をx軸に沿って(例えば、水平方向に左または右へ)動かす。図8は、本発明の実施形態による中間支持ロケーション117の真上にある図7のエンドエフェクター103を示す。エンドエフェクター103は、FOUP101の底側部301によって、FOUP101を完全に支持する。
その後、エンドエフェクター103がFOUP101の底側部301を支持しているときに、コントローラ407は、エンドエフェクター103をz軸に沿って(例えば、垂直方向に下方へ)動かす。エンドエフェクター103が、z軸に沿って下方へ移動するとき、エンドエフェクター103は、FOUP101の底側部301を完全に支持する。しかしながら、エンドエフェクター103が、図9に示される位置(例えば、エンドエフェクター103および中間支持ロケーション117が同じxy平面に存在する位置、あるいは、ピン401および403の相対的な高さに依存して、エンドエフェクター103のxy平面が中間支持ロケーション117のxy平面よりもわずかに高いかまたは低い位置)に近づくと、エンドエフェクター103および中間支持ロケーション117は、その両方が、FOUP101の底側部301を支持してもよい。例えば、エンドエフェクター103のそれぞれのエンドエフェクターピン401および中間支持ロケーション117の対応するそれぞれの支持ロケーションピン403は、FOUP101の底側部301を支持するそれぞれのFOUPスロット303に結合してもよい(例えば、それぞれのFOUPスロット303内に挿入してもよい)。エンドエフェクター103および中間支持ロケーション117の両方がFOUP101の底側部301を支持する時間は、短時間(例えば、1秒以下)であってもよい。図9は、本発明の実施形態によるFOUP101の底側部301を支持するのに使用される中間支持ロケーション117を示す。
コントローラ407が、エンドエフェクター103を垂直方向に下方へ動かし続けると、FOUP101は、底側部301から中間支持ロケーション117によって支持され続け、エンドエフェクターピン401は、FOUPスロット303から外れる。したがって、エンドエフェクター103は、もはやFOUP101を支持せず、中間支持ロケーション117が、FOUP101の底部(例えば、底側部)によってFOUP101を完全に支持する。このようにして、FOUP101は、エンドエフェクター103から中間支持ロケーション117にうまく移送される(図10に示されるように)。
ステップ609において、エンドエフェクター103は、基板キャリア(例えば、FOUP101)のオーバーヘッド移送(OHT)フランジ107の近くに再配置される。エンドエフェクター103をOHTフランジ107の近くに再配置するために、コントローラ407が、FOUP101を支持していないエンドエフェクター103をx軸、y軸、およびz軸の1つ以上に沿って動かすのに使用される。例えば、コントローラ407は、FOUP101がエンドエフェクター103から中間支持ロケーション117に移送された後、エンドエフェクター103を垂直方向に下方へ動かしてもよい。このような垂直方向における下方への運動は、ステップ607の垂直方向における下方への運動に続く連続的なものであってもよく、あるいは、独立した運動が、使用されてもよい。垂直方向における下方への運動の結果として、エンドエフェクター103は、中間支持ロケーション117の真下に存在し、中間支持ロケーション117が、FOUP101を支持する。図10は、中間支持ロケーション117の真下に配置されたエンドエフェクター103を示し、中間支持ロケーション117は、本発明の実施形態によるFOUP101を支持する。エンドエフェクター103は、十分に遠く離れてFOUP101の底面301の下方にあるので、エンドエフェクター103のどのような水平方向の運動中にも、エンドエフェクターピン401は、中間支持ロケーション117に接触しない(垂直運動および水平運動が組み合わせられる可能性がある場合には、図10に示されるものよりも小さいかまたは大きい垂直距離が使用されてもよい)。
その後、コントローラ407は、水平方向に、例えば、x軸に沿って、エンドエフェクター103を動かしてもよい。コントローラ407は、エンドエフェクター103をオープン領域またはトンネル(例えば、図19に示されるオープン領域1911を参照)の中へ動かし、その結果として、エンドエフェクター103は、中間支持ロケーション117によって支持されたFOUP101の下方に存在する部分がない。トンネルは、例えば、半導体デバイス製造プロセス中に使用されるその他の機器または装置に接触することなく、z軸に沿って(例えば、垂直方向に)エンドエフェクターを動かすことのできる領域を画成する。例えば、トンネルは、シェルフの列間の通路および/またはプロセスツールのロードポート間の通路であってもよい。図11は、エンドエフェクター103のいかなる部分も本発明の実施形態によるFOUP101の下方に存在しないようにして、中間支持ロケーション111によって支持されたFOUP101の下方に配置されたエンドエフェクター103を示す。
その後、コントローラ407は、エンドエフェクター103をz軸に沿って(例えば、トンネル内を垂直方向に)動かし、それによって、エンドエフェクター103は、FOUP101よりもわずかに高くなる。より詳細には、エンドエフェクター103は、エンドエフェクター103の底側部115がFOUPのOHTフランジ107の上面よりも高くなるように(例えば、垂直方向に)また、エンドエフェクター103のエンドエフェクターフランジ501および高くなったあらゆる特徴(図5Bの側面図に示される垂直方向に置かれたキネマティックピン401のような)がOHTフランジ107よりも低くなるように(例えば、垂直方向に)、動かされる。エンドエフェクター103は、オープン領域内を移動するので、エンドエフェクター103は、半導体デバイス製造プロセス中に使用されるその他の機器または装置に接触しない。図12は、上述したように、本発明の実施形態によるFOUP101よりもわずかに高く配置されたエンドエフェクター103を示す。
コントローラ407は、エンドエフェクター103を水平方向に(例えば、x軸および/またはy軸に沿って)動かし、それによって、エンドエフェクター103の底側部115は、OHTフランジ107の上面201の真上に存在し、エンドエフェクターフランジ501は、OHTフランジ107の真下に存在する。エンドエフェクター103が、z軸に沿って上方へ動かされると、エンドエフェクターフランジ501は、OHTフランジ107に結合する(例えば、エンドエフェクター103とFOUP101との間の最終的なアライメントを提供し、かつX方向における運動中にFOUP101が滑り動くのを防止するキネマティックピン401を介して)。このようにして、エンドエフェクター103は、FOUP101のOHTフランジ107の近くに再配置される。図13は、上述したように、本発明の実施形態によるFOUP101の近くに配置されたエンドエフェクター103を示す。
ステップ611において、エンドエフェクター103が、基板キャリア(例えば、FOUP101)のOHTフランジ107によって基板キャリア(例えば、FOUP101)を支持するのに使用される。コントローラ407は、エンドエフェクター103をz軸に沿って(例えば、垂直方向に)上方へ動かす。エンドエフェクター103が、z軸に沿って上方へ動かされるとき、エンドエフェクターフランジ501は、OHTフランジ107に結合し、そのOHTフランジ107を支持する。エンドエフェクター103の上方への運動の結果として、FOUP101は、中間支持ロケーション117からz軸に沿って上方へ持ち上げられる。その結果として、支持ロケーション117の支持ロケーションピン403は、対応するFOUPスロット303から外れる。したがって、エンドエフェクター103は、FOUP101のOHTフランジ107によって、FOUP101を完全に支持する。図14は、本発明の実施形態によるFOUP101のOHTフランジ107によってFOUP101を支持するエンドエフェクター103を示す。
ステップ613において、エンドエフェクター103が基板キャリアのOHTフランジによって基板キャリアを支持した後、基板キャリア(例えば、FOUP101)は、中間支持ロケーション117から移送される。例えば、FOUP101は、プロセスチャンバーまたはロードロックチャンバー(図示しない)内に設置されてもよい。エンドエフェクター103が動かされ、すなわち、FOUP101が、z軸に沿って上方へ持ち上げられ、それによって、支持ロケーション117のピンは、エンドエフェクター103およびFOUP101のどのような水平運動中においても、FOUP101の底側部301に接触しない。このように、エンドエフェクター101は、図15に示されるように、中間支持ロケーション117の真上にFOUP101を支持する。
コントローラ407は、エンドエフェクター103を水平方向に(例えば、x軸および/またはy軸に沿って)オープン領域またはトンネルの中へ動かし、その結果として、エンドエフェクター103およびそのエンドエフェクター103によって支持されたFOUP101は、中間支持ロケーション117の上方に存在する(例えば、上方に張り出した)部分がない。図16は、中間支持ロケーション117よりも高く配置されたエンドエフェクター103およびFOUP101を示し、また、その結果として、エンドエフェクター103およびFOUP101は、本発明の実施形態による中間支持ロケーション117の上方に存在する部分がないことを示す。
コントローラ407は、エンドエフェクター103およびそのエンドエフェクター103によって支持されたFOUP101をz軸に沿って(例えば、垂直方向に)動かし、半導体デバイス製造設備に含まれるロードロックチャンバー(図示しない)、プロセスツールのドッキングステーションなどのようなその他のコンポーネントに対して相対的に、FOUP101を配置する。コントローラ407は、コンポーネントに対して相対的にFOUP101を配置するために、エンドエフェクター103およびFOUP101を水平方向に(例えば、x軸および/またはy軸に沿って)動かしてもよい。このようにして、FOUP101は、中間支持ロケーション117から移送される。図17は、本発明の実施形態による中間支持ロケーション117から移送されるエンドエフェクターおよびFOUPを示す(その後、例えば、ロードロックチャンバー、プロセスツールのドッキングステーション、別の支持ロケーション、貯蔵シェルフ、コンベアシステム、などのようなその他のいずれかの所望のロケーションへ搬送される)。
ステップ615において、方法601は、終了する。図6に示される方法601を使用することによって、エンドエフェクター103によって支持される場所が変更される。より詳細には、FOUP101が、半導体デバイス製造設備において、第1のロケーションから第2のロケーションへ移送されるとき、エンドエフェクター103が基板キャリア(例えば、FOUP101)を支持する場所は、FOUP101の第1の端部(例えば、底側部301)からFOUP101の第2の端部(例えば、上側部109)に変更されてもよい。FOUP101が、FOUP101の第1の端部を用いれば、第1のロケーションからより好都合に移送され、かつ、FOUP101の第2の端部を用いれば、第2のロケーションへより好都合に移送される場合、本発明による方法は有益である。
特定の一実施形態においては、方法601は、オーバーヘッド移送フランジによって基板キャリアを支持するオーバーヘッドコンベアシステムから基板キャリアの底側部によって基板キャリアを支持するプロセスツールのロードポートへ基板キャリアを移送する操作の一部として、使用されてもよい。例えば、エンドエフェクター103は、先に組み入れたいずれも2003年8月28日に出願された米国特許出願第10/650,310号および米国特許出願第10/650,480号に記載されるように、基板キャリアの底部によって基板キャリアを支持することによって、(例えば、コンベアシステムが移動しているときに)基板キャリアをオーバーヘッドコンベアシステムから取り外すのに使用されてもよい。その後、エンドエフェクター103は、エンドエフェクター103が基板キャリアのOHTフランジによって基板キャリアを支持するように再配置されてもよい(方法601によって説明されるように)。その後、基板キャリアは、プロセスツールのロードポート上へ配置され(降下させられ)、その後、ドッキングされ/開けられてもよく、それによって、基板キャリア内に含まれる基板を処理することができる。それとは逆の操作が実行され、基板キャリアのOHTフランジによって基板キャリアを支持することによって、ロードポートから基板キャリアを取り外し、その後、基板キャリアの底側部によって基板キャリアを支持することによって基板キャリアをオーバーヘッドコンベアシステム上に再び装填してもよい。
さらに、ここで、図7〜図17を参照して、また、本発明の実施形態によるエンドエフェクター103が支持する場所を変更するための第2の代表的な方法1801を説明する図18を参照して、基板キャリア移送システム409の代表的な動作を説明する。より詳細には、エンドエフェクター103が基板キャリア(例えば、FOUP101)を支持する場所をFOUP101の上側部109(例えば、第2の側部)から底側部301(例えば、第1の側部)に変更するための代表的な方法が、説明される。方法1801の1つ以上のステップは、例えば、コントローラ407によって実行され、かつ、例えば、何らかの適切なコンピュータ可読媒体(例えば、搬送波信号、フロッピーディスク、コンパクトディスク、DVD、ハードディスク、ランダムアクセスメモリー、など)に存在するような、コントローラ407のメモリーに記憶され、コントローラ407に結合され、そうでなければコントローラ407に関連づけられたコンピュータプログラムコードによって実施されてもよい。
図18を参照すると、ステップ1803において、方法1801は開始する。ステップ1805において、エンドエフェクター103は、基板キャリア(例えば、FOUP101)のオーバーヘッド移送(OHT)フランジ107によって基板キャリア(例えば、FOUP101)を支持するのに使用される。上述したように、エンドエフェクターフランジ501が、OHTフランジ107に結合し、そのOHTフランジ107を支持してもよい。その結果として、図17に示されるように、エンドエフェクター103は、FOUP101のOHTフランジ107によってFOUP101を支持する。例えば、エンドエフェクター103は、半導体デバイス製造設備の第1のロケーション(例えば、ロードロックチャンバーまたはドッキングステーション)からFOUP101を移送するときに、OHTフランジ107を用いてFOUP101を支持する。
ステップ1807において、基板キャリア(例えば、FOUP101)は、エンドエフェクター103から中間支持ロケーション117に移送される。中間支持ロケーションは、FOUP101の底側部301を支持する。コントローラ407は、エンドエフェクター103をx軸、y軸、およびz軸の1つ以上に沿って動かすのに使用されてもよく、それによって、FOUP101は、エンドエフェクター103から中間支持ロケーション117へ移送される。例えば、コントローラ407は、エンドエフェクター103すなわちFOUP101をz軸に沿って動かしてもよく、それによって、FOUP101は、中間支持ロケーション117に近づく(例えば、中間支持ロケーション117よりもわずかに高い)。より詳細には、コントローラ407は、エンドエフェクター103およびFOUP101が水平方向に(例えば、x軸および/またはy軸に沿って)動かされるときにFOUP101の底側部301が支持ロケーションピン403に接触しないように、エンドエフェクター103およびFOUP101を配置する。図16に示されるように、エンドエフェクター103およびFOUP101は、中間支持ロケーション117よりも高く配置される。
コントローラ407は、FOUP101の底側部301に存在する各々のFOUPスロット303が中間支持ロケーション117の対応する支持ロケーションピン403の真上に配置されるように、エンドエフェクター103すなわちFOUP101を水平方向に(例えば、x軸および/またはy軸に沿って)動かす。図15に示されるように、エンドエフェクター103およびFOUP101は、中間支持ロケーション117の真上に配置される。
コントローラ407は、エンドエフェクター103すなわちFOUP101を垂直方向に(z軸に沿って)下方へ動かす。FOUP101の底側部301が、中間支持ロケーション117よりもわずかに高く配置されると、支持ロケーションピン403は、FOUP101の底側部301に存在する対応するFOUPスロット303に入り始める。図14に示されるように、FOUP101は、中間支持ロケーション117よりもわずかに高く配置される。
コントローラ407は、支持ロケーションピン403がFOUPスロット303に係合または接触(例えば、結合)するまで、エンドエフェクター103すなわちFOUP101を垂直方向に下方へ動かす。上述したように、支持ロケーションピン403は、1つ以上のエンドエフェクターピン401および/または1つ以上の支持ロケーションピン403がFOUPスロット303に対して適切に配置されたことを指示しおよび/または適切に配置されたかどうかを決定するためのセンサー405を含んでもよい。支持ロケーションピン403が、FOUPスロット303内に適切に配置(例えば、結合)されると、エンドエフェクターフランジ501は、OHTフランジ107に結合されない。その結果、中間支持ロケーション117が、FOUP101を完全に支持し、エンドエフェクター103は、FOUP101を支持しない。それによって、FOUP101は、エンドエフェクター103から中間支持ロケーション117に移送される。図13に示されるように、中間支持ロケーション117は、FOUP101を支持する。
ステップ1809において、エンドエフェクター103は、基板キャリア(例えば、FOUP101)の底部の近くに再配置される。エンドエフェクター103をFOUP101の底側部301の近くに再配置するために、コントローラ407が、エンドエフェクター103をx軸、y軸、およびz軸の1つ以上に沿って動かすのに使用されてもよい。例えば、コントローラ407は、エンドエフェクター103をx軸および/またはy軸に沿って水平方向に(例えば、トンネル内へ)動かし、それによって、エンドエフェクター103は、中間支持ロケーション117によって支持されたFOUP101の上方に存在する(例えば、上方に張り出した)部分がない。図12に示されるように、エンドエフェクター103は、エンドエフェクター103のいかなる部分もFOUP101の上方に張り出さないように配置される。
その後、コントローラ407は、エンドエフェクター103が中間支持ロケーション117よりも低くなるように、エンドエフェクター103をz軸に沿って(例えば、トンネル内を垂直方向に)下方へ動かす。エンドエフェクター103は、エンドエフェクター103が水平方向に(例えば、x軸および/またはy軸に沿って)動かされるときにエンドエフェクターピン401が中間支持ロケーション117に接触しないように配置される。図11に示されるように、エンドエフェクター103は、上述したように、中間支持ロケーション117よりも低く配置される。
その後、コントローラ407は、エンドエフェクター103が中間支持ロケーション117およびFOUP101の真下にくるように、エンドエフェクター103を水平方向に(例えば、x軸および/またはy軸に沿って)動かす。より詳細には、エンドエフェクター103は、エンドエフェクター103が垂直方向に動かされたときにエンドエフェクター103および中間支持ロケーション117が同じ平面(例えば、xy平面)を占めるように配置され、その後、エンドエフェクターピン401は、FOUP101の底側部301に存在する対応するFOUPスロット303の真下に配置される。図10に示されるように、エンドエフェクター103は、上述したように、中間支持ロケーション117およびFOUP101の真下に配置される。このようにして、エンドエフェクター103は、FOUP101の底側部301の近くに再配置される。
ステップ1811において、エンドエフェクター103は、基板キャリア(例えば、FOUP101)の底部を支持するのに使用される。コントローラ407は、エンドエフェクター103をz軸に沿って(例えば、垂直方向に)上方へ動かし、それによって、エンドエフェクターピン401は、対応するFOUPスロット303に係合または結合する。その後、エンドエフェクター103は、図9に示されるように、FOUP101を支持する。上述したように、エンドエフェクター103と中間支持ロケーション117とは、短時間だけ同時にFOUP101を支持してもよい。エンドエフェクター103の上方への運動中、支持ロケーションピン403は、FOUPスロット303から外れる。その結果として、エンドエフェクター103が、FOUP101の底側部303を支持し、中間支持ロケーション117は、FOUP101の底側部303を支持しない。
ステップ1813において、基板キャリア(例えば、FOUP101)は、中間支持ロケーション117から移送される。コントローラ407が、エンドエフェクター103をx軸、y軸、およびz軸の1つ以上に沿って動かすのに使用されてもよく、それによって、FOUP101は、中間支持ロケーション117から移送される。例えば、コントローラ407は、FOUP101の底側部301を支持するエンドエフェクター103をz軸に沿って(例えば、垂直方向に)上方へ動かし、中間支持ロケーションの真上に配置する。より詳細には、エンドエフェクター103は、エンドエフェクター103が水平方向に(例えば、x軸および/またはy軸に沿って)動かされるときにエンドエフェクター103が中間支持ロケーション117に接触しないように、配置される。図8に示されるように、エンドエフェクター103およびFOUP101は、上述したように、中間支持ロケーション117の上方に配置される。
コントローラ407は、エンドエフェクター103を水平方向に(例えば、x軸および/またはy軸に沿って)動かしてもよく、それによって、エンドエフェクター103およびFOUP101は、中間支持ロケーション117の上方に存在する(例えば、上方に張り出した)部分がない。例えば、エンドエフェクター103すなわちFOUP103は、トンネルまたはオープン領域の中へ動かされる。図7に示されるように、エンドエフェクター103およびFOUP101は、エンドエフェクター103およびFOUP101のいかなる部分も中間支持ロケーション117の上方に存在しないように配置される。エンドエフェクター103すなわちFOUP101は、FOUP101が半導体デバイス製造設備の第2のロケーション(例えば、オーバーヘッドコンベア(図示しない))に近づくように、z軸に沿って(例えば、垂直方向に)動かされてもよい。このようにして、FOUP101は、中間支持ロケーション117から移送される。
ステップ1815において、方法1801は終了する。図18の方法1801を使用することによって、エンドエフェクター103によって支持される場所が変更される。より詳細には、FOUP101が、半導体デバイス製造設備において、第1のロケーション(例えば、プロセスチャンバーまたはロードロックチャンバー)から第2のロケーション(例えば、オーバーヘッドコンベア)に移送されるときに、エンドエフェクター103によって基板キャリア(例えば、FOUP101)を支持する場所をFOUP101の上側部109からFOUP101の底側部301に変更することができる。本発明による方法は、FOUP101が、FOUP101の上側部を使用すれば、第1のロケーションからより好都合に移送され、かつ、FOUP101の底側部を使用すれば、第2のロケーションへより好都合に移送される場合、本発明による方法は有益である。
本発明は、先に組み入れた2003年8月28日に出願された発明の名称が「SUBSTRATE CARRIER HANDLER THAT UNLOADS SUBSTRATE CARRIERS DIRECTLY FROM A MOVING CONVEYOR」である米国特許出願第10/650,480号(整理番号第7676号)に記載されるようなシステムにおいて使用される場合、とりわけ有益である。
上記の説明は、本発明のほんのいくつかの代表的な実施形態を開示するものである。当業者は、本発明の範囲内に存在する上述した装置および方法の変形を容易に考えだすことができる。例えば、本発明による方法601および1801の1つ以上のステップを説明するとともに、エンドエフェクター103の代表的な運動のシーケンスが提供されたが、本発明による方法601および1801のいずれかのステップを実行するために、異なる運動のシーケンスが使用されてもよい。1つ以上の実施形態においては、コントローラ407は、本発明による方法601および1801の1つ以上のステップを実行するように適合される。あるいは、その他の制御デバイスが、本発明による方法601および1801の1つ以上のステップを実行するのに使用されてもよい。1つ以上の実施形態においては、第1の端部は、FOUP101の底側部301であり、第2の端部は、FOUP101の上側部109であり、あるいは、その逆であったが、第1の端部は、FOUP101のいずれかの面であり、第2の端部は、FOUP101のその他のいずれかの面であってもよい。
さらに、エンドエフェクター103および/または中間支持ロケーション117は、ピン401および403を対応的に(例えば、相補的に)スロット303に結合することによって、FOUP101の底側部301を支持するが、エンドエフェクター103および/または中間支持ロケーション117およびFOUP101は、異なる相補的なデバイスを用いて、結合されてもよい。同様に、エンドエフェクターフランジ501およびOHTフランジ107は、その他の相補的なデバイスに置き換えられてもよい。ある実施形態においては、中間支持ロケーション117は、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持してもよい。
図19は、本発明による基板キャリアを搬送するためのシステム1901の概略正面図である。図19を参照すると、システム1901は、基板キャリア1905を複数のプロセスツール間で搬送するように適合されたオーバーヘッドコンベアシステム1903を含み、それらの複数のプロセスツールには、図19に示される第1のプロセスツール1907が含まれる。
本発明の1つ以上の実施形態においては、オーバーヘッドコンベアシステム1903は、先に組み入れたいずれも2003年8月28日に出願された米国特許出願第10/650,310号および米国特許出願第10/650,480号に示されるように適合されてもよく、また、連続的に移動するように適合されてもよい。連続的に移動しないコンベアシステムを含むその他のオーバーヘッドコンベアシステムが、使用されてもよい。図19に示される実施形態においては、オーバーヘッドコンベアシステム1903は、それぞれの基板キャリア1905のオーバーヘッド移送フランジ1906によって基板キャリア1905を支持するように適合される。
プロセスツール1907は、エンドエフェクター103を含み、そのエンドエフェクター103は、基板キャリア1905の底部によって、あるいは、基板キャリア1905のオーバーヘッド移送フランジ1906によって(破線で示されるように)、基板キャリア1905を支持するように適合される。プロセスツール1907は、図示されるように2つの列に分離された複数のロードポート1909a〜1909fを含む。その他の構成のおよび/またはその他の数のロードポートが、使用されてもよい。各々のロードポート1909a〜1909fは、基板キャリア1905を支持し、基板キャリア1905とドッキングし、および/または、基板キャリア1905を開けるように適合され(例えば、基板キャリア1905内の基板を取り出す、およびプロセスツール内で処理するのを可能にするために)、また、それに加えて、基板キャリア1905を切り離し、および/または、閉めるように適合されてもよい。図示される実施形態においては、それぞれのロードポート1909a〜1909fは、基板キャリアの底部によって基板キャリア1905を支持するように適合される。
プロセスツール1907は、さらに、複数の支持ロケーション117a〜117fを含む。その他の数のおよび/またはその他の配列の支持ロケーション117a〜117fが、使用されてもよい。オープン領域またはトンネル1911が、支持ロケーション117a〜117fの列とロードポート1909a〜1909fの列との間に存在し、そのオープン領域またはトンネル1911は、その他の支持ロケーションおよび/またはロードポートに接触することなくエンドエフェクター103をz軸に沿って(例えば、垂直方向に)動かすことのできる領域を画成する。
コントローラ407は、プロセスツール1907に結合され、かつ、プロセスツール1907の動作を制御するように適合されてもよく、そのプロセスツール1907の動作には、上述したようなエンドエフェクター103の動作(例えば、方法601または1801を実行するために)が含まれる。
システム1901の代表的な動作中、基板キャリア1905は、基板キャリア1905の底部によって基板キャリア1905を支持するエンドエフェクター103を用いて、オーバーヘッドコンベアシステム1903から切り離されてもよい(例えば、いずれも2003年8月28日に出願された米国特許出願第10/650,310号および米国特許出願第10/650,480号を参照)。その後、基板キャリア1905は、支持ロケーション117a〜117fの中の1つの上に設置されてもよく、また、エンドエフェクター103は、基板キャリア1905のオーバーヘッド移送フランジ1906によって基板キャリア1905を支持するように、再配置されてもよい(上述したように)。その後、基板キャリア1905は、支持ロケーション117a〜117fからロードポート1909a〜1909fの中の1つに移送され、それぞれのロードポートにおいては、基板キャリア1905の底部によって支持されてもよい。その後、基板キャリア1905は、ロードポートにドッキングされ、かつ、開けられてもよく、その後、基板キャリア1905の基板は、プロセスツール1907内で処理されてもよい。その後、基板キャリア1905は、ロードポートにおいて、閉められ、かつ、ロードポートから切り離されてもよい。その後、エンドエフェクター103は、基板キャリア1905のオーバーヘッド移送フランジによって基板キャリア1905を支持して、基板キャリア1905をそれぞれのロードポートから支持ロケーション117a〜117fの中の1つに移送してもよい。その後、エンドエフェクター103は、基板キャリア1905の底部によって基板キャリア1905を支持するように、再配置されてもよい(上述したように)。その後、基板キャリア1905は、エンドエフェクター103によって、オーバーヘッドコンベアシステム1903上へ装填され、その後、製造設備内の別のプロセスツール(図示しない)またはその他のロケーションへ搬送されてもよい。コントローラ407は、上述したステップのいずれかを実行するためのコンピュータプログラムコードを含んでもよい。基板キャリアをオーバーヘッドコンベアシステム1903上に/から装填し/切り話すステップは、コンベアシステムが停止しているときまたは移動しているときに実行されてもよい。
主としてFOUPを参照して、本発明を説明したが、その他の種類の基板キャリア(例えば、底部開口基板キャリア、上部開口基板キャリア、など)が使用されてもよいことがわかる。同様に、本発明は、小さなロットサイズの基板キャリアまたは大きなロットサイズの基板キャリアとともに使用されてもよい。ここで使用される場合、「小さなロット」サイズの基板キャリアは、典型的には13枚または25枚の基板を保有する一般的な「大きなロット」サイズの基板キャリアよりも相当に少ない数の基板を保有するように適合された基板キャリアを意味する。例として、一実施形態においては、小さなロットサイズの基板キャリアは、5枚かまたはそれ以下の基板を保有するように適合される。その他の小さなロットサイズの基板キャリア(例えば、1枚、2枚、3枚、4枚、5枚、6枚、7枚、または、それ以上であるが、大きなロットサイズの基板キャリアよりも相当に少ない数の基板を保有する小さなロットサイズのキャリア)が、使用されてもよい。例えば、一実施形態においては、それぞれの小さなロットサイズの基板キャリアは、少なすぎて人が半導体デバイス製造設備で基板キャリアを搬送できないような数の基板を保有してもよい。
このように、本発明の代表的な実施形態を参照して、本発明が、開示されたが、その他の実施形態は、特許請求の範囲に規定される本発明の精神および範囲内に存在することを理解すべきである。
本発明の実施形態によるエンドエフェクターによって支持される従来の正面開口式一体型ポッド(FOUP)の等角図である。 図1のFOUPの平面図である。 図1のFOUPの底面図である。 本発明の実施形態による図1のエンドエフェクターおよび中間支持ロケーションの等角図である。 本発明の実施形態による図1のエンドエフェクターの底面の等角図である。 本発明の実施形態による図1のエンドエフェクターの側面図である。 本発明の実施形態によるエンドエフェクターによって支持される場所を変更するための代表的な方法を説明する図である。 本発明の実施形態による基板キャリア(例えば、FOUP)の底部によって基板キャリアを支持するのに使用されるエンドエフェクターを示す図である。 本発明の実施形態による中間支持ロケーションの真上に存在する図7のエンドエフェクターを示す図である。 本発明の実施形態によるFOUPの底側部を支持するのに使用される図7の中間支持ロケーションを示す図である。 中間支持ロケーションが本発明の実施形態によるFOUPを支持しているときに、中間支持ロケーションの真下に配置された図7のエンドエフェクターを示す図である。 エンドエフェクターのいかなる部分も本発明の実施形態によるFOUPの下方に存在しないようにして、中間支持ロケーションによって支持されたFOUPの下方に配置された図7のエンドエフェクターを示す図である。 本発明の実施形態によるFOUPよりもわずかに高く配置された図7のエンドエフェクターを示す図である。 本発明の実施形態によるFOUPの近くに配置された図7のエンドエフェクターを示す図である。 本発明の実施形態によるFOUPのOHTフランジによってFOUPを支持する図7のエンドエフェクターを示す図である。 本発明の実施形態による中間支持ロケーションの真上に存在するFOUPを支持する図7のエンドエフェクターを示す図である。 中間支持ロケーションよりも高く配置された図7のエンドエフェクターおよびFOUPを示す図であり、その結果として、エンドエフェクターおよびFOUPのいかなる部分も本発明の実施形態による中間支持ロケーションの上方には存在しない。 本発明の実施形態による中間支持ロケーションから移送される図7のエンドエフェクターおよびFOUPを示す図である。 本発明の実施形態によるエンドエフェクターによって支持される場所を変更するための第2の代表的な方法を説明する図である。 本発明の実施形態による基板キャリアを搬送するためのシステムの概略正面図である。
符号の説明
101…FOUP、103…エンドエフェクター、105…ハンドル、107…オーバーヘッド移送フランジ、109…上面、111…フランジ、113…上面、114…ベース、115…底面、117…中間支持ロケーション。

Claims (30)

  1. エンドエフェクターによって支持される場所を変更するための方法であって、
    エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップと、
    基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップであって、中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持するステップと、
    エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置するステップと、
    エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップと、
    基板キャリアを中間支持ロケーションから移送するステップと、
    を含む方法。
  2. エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップが、エンドエフェクターの上側部を使用して基板キャリアの底部によって基板キャリアを支持する工程を含む、請求項1に記載の方法。
  3. エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップが、エンドエフェクターの底側部を使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持する工程を含む、請求項1に記載の方法。
  4. エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置するステップが、基板キャリアから横方向にずれた領域においてエンドエフェクターを垂直方向に動かす工程を含む、請求項1に記載の方法。
  5. 基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップが、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、エンドエフェクターの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かす工程を含む、請求項1に記載の方法。
  6. 基板キャリアを中間支持ロケーションから移送するステップが、エンドエフェクターの底側部に結合されたピンが基板キャリアのオーバーヘッド移送フランジの対応するスロットに結合するように、かつ、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かす工程を含む、請求項1に記載の方法。
  7. エンドエフェクターによって支持される場所を変更するための方法であって、
    エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップと、
    基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップであって、中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持するステップと、
    エンドエフェクターを基板キャリアの底部の近くに再配置するステップと、
    エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップと、
    基板キャリアを中間支持ロケーションから移送するステップと、
    を含む方法。
  8. エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するステップが、エンドエフェクターの底側部を使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持する工程を含む、請求項7に記載の方法。
  9. エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持するステップが、エンドエフェクターの上側部を使用して基板キャリアの底部によって基板キャリアを支持する工程を含む、請求項7に記載の方法。
  10. エンドエフェクターを基板キャリアの底部の近くに再配置するステップが、基板キャリアから横方向にずれた領域においてエンドエフェクターを垂直方向に動かす工程を含む、請求項7に記載の方法。
  11. 基板キャリアをエンドエフェクターから中間支持ロケーションに移送するステップが、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、エンドエフェクターの底側部に結合されたピンが基板キャリアのオーバーヘッド移送フランジの対応するスロットにもはや結合しないようにエンドエフェクターを動かす工程を含む、請求項7に記載の方法。
  12. 基板キャリアを中間支持ロケーションから移送するステップが、エンドエフェクターの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かす工程を含む、請求項7に記載の方法。
  13. 基板キャリア移送システムであって、
    基板キャリアの底部によって基板キャリアを支持し、かつ、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合されたエンドエフェクターと、
    中間支持ロケーションと、
    エンドエフェクターに結合されたコントローラとを備え、前記コントローラが、
    エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持し、
    基板キャリアをエンドエフェクターから中間支持ロケーションに移送し、前記中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持し、
    エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置し、
    エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持し、
    基板キャリアを中間支持ロケーションから移送する、
    ように適合された基板キャリア移送システム。
  14. コントローラが、さらに、エンドエフェクターの上側部を使用して基板キャリアの底部によって基板キャリアを支持するように適合された、請求項13に記載の基板キャリア移送システム。
  15. コントローラが、さらに、エンドエフェクターの底側部を使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合された、請求項13に記載の基板キャリア移送システム。
  16. コントローラが、さらに、基板キャリアから横方向にずれた領域においてエンドエフェクターを垂直方向に動かすことによって、エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置するように適合された、請求項13に記載の基板キャリア移送システム。
  17. コントローラが、さらに、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、エンドエフェクターの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かすように適合された、請求項13に記載の基板キャリア移送システム。
  18. コントローラが、さらに、エンドエフェクターの底側部に結合されたピンが基板キャリアのオーバーヘッド移送フランジの対応するスロットに結合するように、かつ、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かすように適合された、請求項13に記載の基板キャリア移送システム。
  19. 基板キャリア移送システムであって、
    基板キャリアの底部によって基板キャリアを支持し、かつ、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合されたエンドエフェクターと、
    中間支持ロケーションと、
    エンドエフェクターに結合されたコントローラとを備え、前記コントローラが、
    エンドエフェクターを使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持し、
    基板キャリアをエンドエフェクターから中間支持ロケーションに移送し、前記中間支持ロケーションは、基板キャリアの底部によって基板キャリアを支持し、
    エンドエフェクターを基板キャリアの底部の近くに再配置し、
    エンドエフェクターを使用して基板キャリアの底部によって基板キャリアを支持し、
    基板キャリアを中間支持ロケーションから移送する、
    ように適合された基板キャリア移送システム。
  20. コントローラが、さらに、エンドエフェクターの底側部を使用して基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持するように適合された、請求項19に記載の基板キャリア移送システム。
  21. コントローラが、さらに、エンドエフェクターの上側部を使用して基板キャリアの底部によって基板キャリアを支持するように適合された、請求項19に記載の基板キャリア移送システム。
  22. コントローラが、さらに、基板キャリアから横方向にずれた領域においてエンドエフェクターを垂直方向に動かすことによって、エンドエフェクターを基板キャリアの底部の近くに再配置するように適合された、請求項19に記載の基板キャリア移送システム。
  23. コントローラが、さらに、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、エンドエフェクターの底側部に結合されたピンが基板キャリアのオーバーヘッド移送フランジの対応するスロットにもはや結合しないようにエンドエフェクターを動かすように適合された、請求項19に記載の基板キャリア移送システム。
  24. コントローラが、さらに、エンドエフェクターの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットに結合するように、かつ、中間支持ロケーションの上側部上に存在するピンが基板キャリアの底部に存在する対応するスロットにもはや結合しないようにエンドエフェクターを動かすように適合された、請求項19に記載の基板キャリア移送システム。
  25. オーバーヘッドコンベアシステムを使用して基板キャリアを搬送するステップと、
    プロセスツールのエンドエフェクターを使用して、基板キャリアの底部によって基板キャリアを支持することによって、オーバーヘッドコンベアシステムから基板キャリアを取り出すステップと、
    エンドエフェクターを使用して、基板キャリアをプロセスツールの中間支持ロケーションに移送するステップと、
    エンドエフェクターを基板キャリアのオーバーヘッド移送フランジの近くに再配置するステップと、
    エンドエフェクターを使用して、基板キャリアのオーバーヘッド移送フランジによって基板キャリアを支持することによって、基板キャリアを中間支持ロケーションから取り出すステップと、
    エンドエフェクターを使用して基板キャリアをプロセスツールのロードポートに移送するステップと、
    を含む方法。
  26. 基板キャリアをロードポートにドッキングし、かつ開けるステップをさらに含む、請求項25に記載の方法。
  27. プロセスツールを用いて基板キャリア内のいずれかの基板を処理するステップをさらに含む、請求項26に記載の方法。
  28. ロードポートにおいて基板キャリアを閉めかつロードポートから基板キャリアを切り離すステップをさらに含む、請求項26に記載の方法。
  29. エンドエフェクターを使用して、基板キャリアのオーバーヘッド移送フランジによって基板キャリアをロードポートから取り外すステップと、
    エンドエフェクターを使用して、基板キャリアをプロセスツールの中間支持ロケーションに移送するステップと、
    エンドエフェクターを基板キャリアの底部の近くに再配置するステップと、
    エンドエフェクターを使用して、基板キャリアの底部によって基板キャリアを支持することによって、基板キャリアを中間支持ロケーションから取り外すステップと、
    エンドエフェクターを使用して、基板キャリアの底部によって基板キャリアを支持することによって、基板キャリアをオーバーヘッドコンベアシステム上に装填するステップと、
    をさらに含む、請求項25に記載の方法。
  30. エンドエフェクターを使用して基板キャリアをオーバーヘッドコンベアシステムから取り外すステップおよびエンドエフェクターを使用して基板キャリアをオーバーヘッドコンベアシステム上に装填するステップが、オーバーヘッドコンベアシステムが移動しているときに発生する、請求項29に記載の方法。
JP2005205939A 2004-07-14 2005-07-14 基板キャリアを支持する場所を変更するための方法および装置 Expired - Fee Related JP4650831B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US58775204P 2004-07-14 2004-07-14

Publications (2)

Publication Number Publication Date
JP2006066893A JP2006066893A (ja) 2006-03-09
JP4650831B2 true JP4650831B2 (ja) 2011-03-16

Family

ID=36113033

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005205939A Expired - Fee Related JP4650831B2 (ja) 2004-07-14 2005-07-14 基板キャリアを支持する場所を変更するための方法および装置

Country Status (5)

Country Link
US (2) US7409263B2 (ja)
JP (1) JP4650831B2 (ja)
KR (1) KR101157673B1 (ja)
CN (1) CN100568479C (ja)
TW (1) TWI306827B (ja)

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7433756B2 (en) * 2003-11-13 2008-10-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20090099688A1 (en) * 2005-11-10 2009-04-16 Hugo Salamanca Integral robot system and method for the dislodging process and/or anode handling from casting wheels
US20090177324A1 (en) * 2005-11-10 2009-07-09 Hugo Salamanca Robot system and method for maxibags sampling in ore concentration processes
US20100057254A1 (en) * 2006-11-13 2010-03-04 Salamanca Hugo P Methods for using robotics in mining and post-mining processing
US10537671B2 (en) 2006-04-14 2020-01-21 Deka Products Limited Partnership Automated control mechanisms in a hemodialysis apparatus
KR100772845B1 (ko) * 2006-06-21 2007-11-02 삼성전자주식회사 반도체 디바이스 제조설비에서의 웨이퍼 수납장치
JP2008047696A (ja) * 2006-08-16 2008-02-28 Disco Abrasive Syst Ltd ウエーハ搬送方法および研削装置
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
KR101861192B1 (ko) 2007-02-27 2018-05-28 데카 프로덕츠 리미티드 파트너쉽 혈액투석 장치 및 방법
US10463774B2 (en) 2007-02-27 2019-11-05 Deka Products Limited Partnership Control systems and methods for blood or fluid handling medical devices
US8409441B2 (en) 2007-02-27 2013-04-02 Deka Products Limited Partnership Blood treatment systems and methods
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
TW200911654A (en) * 2007-09-06 2009-03-16 Asyst Technologies Japan Inc Storage, transporting system and storage set
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
JP5062485B2 (ja) * 2008-04-09 2012-10-31 株式会社ダイフク 物品搬送設備
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113563A1 (de) * 2011-09-19 2013-03-21 Oerlikon Trading Ag, Trübbach Karussellschlitten für Vakuumbehandlungsanlage
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US20140041755A1 (en) * 2012-08-09 2014-02-13 Santa Phoenix Technology Inc. Wafer pod gas charging apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6275824B2 (ja) * 2014-03-20 2018-02-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10625977B2 (en) * 2015-10-20 2020-04-21 Schlumberger Technology Corporation Method and system for detecting faults and abnormal wear conditions in oil and gas wireline logging winch units
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
CN107324041B (zh) 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10371646B2 (en) * 2016-09-19 2019-08-06 The Boeing Company Method and system for automated data collection and part validation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10504762B2 (en) 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11084169B2 (en) * 2018-05-23 2021-08-10 General Electric Company System and method for controlling a robotic arm
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11239099B2 (en) * 2018-09-27 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Tool monitoring device and method of monitoring tool
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TWI735115B (zh) * 2019-12-24 2021-08-01 力成科技股份有限公司 晶圓儲存裝置及晶圓承載盤
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7327640B2 (ja) * 2020-03-13 2023-08-16 村田機械株式会社 グリッパ装置、搬送車、及び搬送方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD954769S1 (en) * 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4052962B1 (de) * 2021-03-03 2023-07-26 Jungheinrich Aktiengesellschaft Lageranordnung
US20220315336A1 (en) * 2021-04-05 2022-10-06 Microsoft Technology Licensing, Llc Loader tool
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04179143A (ja) * 1990-11-09 1992-06-25 Hitachi Ltd ウェハ収納治具およびその搬送方法
JP2001520803A (ja) * 1997-04-14 2001-10-30 アシスト テクノロジーズ インコーポレイテッド 一貫生産型のベイ内バッファ・デリベリ・ストッカシステム
JP2001526470A (ja) * 1997-12-19 2001-12-18 セミトゥール・インコーポレイテッド 半導体ウエハ搬入/搬出取り扱いシステム
JP2002265011A (ja) * 2001-03-12 2002-09-18 Shinko Electric Co Ltd ストッカ用ロボット
JP2002531934A (ja) * 1998-12-01 2002-09-24 アシスト テクノロジーズ インコーポレイテッド キャリヤパージ用受動式弁
WO2003105216A1 (ja) * 2002-06-07 2003-12-18 平田機工株式会社 容器搬送システム
JP2005136294A (ja) * 2003-10-31 2005-05-26 Murata Mach Ltd 移載装置

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4861222A (en) 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
US4776744A (en) 1985-09-09 1988-10-11 Applied Materials, Inc. Systems and methods for wafer handling in semiconductor process equipment
US4886412A (en) 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
US4859137A (en) 1987-10-21 1989-08-22 Asyst Technologies Apparatus for transporting a holder between a port opening of a standardized mechanical interface system and a loading and unloading station
US4995430A (en) 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
US5169272A (en) 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5256204A (en) 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2970183B2 (ja) 1992-03-03 1999-11-02 松下電器産業株式会社 ウエハの搬送保管方法とウエハキャリア
US5246218A (en) * 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
DE69403890T2 (de) 1994-01-14 1998-01-08 Ibm Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5713711A (en) 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
EP0735573B1 (de) * 1995-03-28 2004-09-08 BROOKS Automation GmbH Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5740845A (en) 1995-07-07 1998-04-21 Asyst Technologies Sealable, transportable container having a breather assembly
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5950643A (en) 1995-09-06 1999-09-14 Miyazaki; Takeshiro Wafer processing system
US5752796A (en) 1996-01-24 1998-05-19 Muka; Richard S. Vacuum integrated SMIF system
TW363903B (en) 1996-03-11 1999-07-11 Memc Electronic Materials Spa Apparatus for use in automatically cleaning semiconductor wafers and methods for drying a semiconductor wafer in the automatic drying machine
US5870488A (en) 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6053688A (en) 1997-08-25 2000-04-25 Cheng; David Method and apparatus for loading and unloading wafers from a wafer carrier
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
JPH11204615A (ja) 1998-01-19 1999-07-30 Speedfam Co Ltd ローディングロボットのウェーハローディング、アンローディング機構
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
JP2000068350A (ja) 1998-08-24 2000-03-03 Mitsubishi Electric Corp ウエハ枚葉収納カセットの搬送装置及び搬送方法
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6165268A (en) 1998-12-16 2000-12-26 Pri Automation, Inc. Wafer carrier adapter and method for use thereof
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6135698A (en) 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
US6249342B1 (en) * 1999-07-06 2001-06-19 David Cheng Method and apparatus for handling and testing wafers
US6428262B1 (en) 1999-08-11 2002-08-06 Proteros, Llc Compact load lock system for ion beam processing of foups
US6135168A (en) 1999-12-22 2000-10-24 Industrial Technology Research Institute Standard mechanical interface wafer pod gas filling system
US6506009B1 (en) 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6677690B2 (en) * 2001-02-02 2004-01-13 Asyst Technologies, Inc. System for safeguarding integrated intrabay pod delivery and storage system
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
TW494079B (en) 2001-08-07 2002-07-11 Taiwan Semiconductor Mfg Wafer pod transport system and apparatus
US20030110649A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
US6848882B2 (en) * 2003-03-31 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US7236853B2 (en) * 2003-10-01 2007-06-26 Varian Semiconductor Equipment Associates, Inc. Automated robot alignment system and method using kinematic pins and end effector sensor
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7168553B2 (en) * 2003-11-13 2007-01-30 Applied Materials, Inc. Dynamically balanced substrate carrier handler
US7433756B2 (en) * 2003-11-13 2008-10-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US7051870B2 (en) * 2003-11-26 2006-05-30 Applied Materials, Inc. Suspension track belt
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20070258796A1 (en) 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04179143A (ja) * 1990-11-09 1992-06-25 Hitachi Ltd ウェハ収納治具およびその搬送方法
JP2001520803A (ja) * 1997-04-14 2001-10-30 アシスト テクノロジーズ インコーポレイテッド 一貫生産型のベイ内バッファ・デリベリ・ストッカシステム
JP2001526470A (ja) * 1997-12-19 2001-12-18 セミトゥール・インコーポレイテッド 半導体ウエハ搬入/搬出取り扱いシステム
JP2002531934A (ja) * 1998-12-01 2002-09-24 アシスト テクノロジーズ インコーポレイテッド キャリヤパージ用受動式弁
JP2002265011A (ja) * 2001-03-12 2002-09-18 Shinko Electric Co Ltd ストッカ用ロボット
WO2003105216A1 (ja) * 2002-06-07 2003-12-18 平田機工株式会社 容器搬送システム
JP2005136294A (ja) * 2003-10-31 2005-05-26 Murata Mach Ltd 移載装置

Also Published As

Publication number Publication date
US7409263B2 (en) 2008-08-05
KR20060050174A (ko) 2006-05-19
US7914248B2 (en) 2011-03-29
US20060013674A1 (en) 2006-01-19
TW200607732A (en) 2006-03-01
JP2006066893A (ja) 2006-03-09
TWI306827B (en) 2009-03-01
US20080286076A1 (en) 2008-11-20
CN1779937A (zh) 2006-05-31
KR101157673B1 (ko) 2012-06-20
CN100568479C (zh) 2009-12-09

Similar Documents

Publication Publication Date Title
JP4650831B2 (ja) 基板キャリアを支持する場所を変更するための方法および装置
JP4919539B2 (ja) カセット保管装置並びに半導体処理ステーション及びその操作方法
US6053688A (en) Method and apparatus for loading and unloading wafers from a wafer carrier
US6283692B1 (en) Apparatus for storing and moving a cassette
JP6582676B2 (ja) ロードロック装置、及び基板処理システム
US8500915B2 (en) Substrate transporting apparatus, substrate platform shelf and substrate processing apparatus
US6817823B2 (en) Method, device and system for semiconductor wafer transfer
US6848882B2 (en) Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US9701024B2 (en) Integrated gripper for workpiece transfer
JP2009049232A (ja) 基板処理装置
WO1987006566A1 (en) Automatic wafer loading method and apparatus
US20060182560A1 (en) Substrate processing apparatus
US20090024244A1 (en) High throughput semiconductor wafer processing
US7134826B2 (en) Substrate transfer apparatus, substrate processing apparatus and holding table
JP5164416B2 (ja) 基板処理装置、収納容器の搬送方法および半導体装置の製造方法
KR101058597B1 (ko) 프로세싱 툴에 기판을 공급하는 방법 및 장치
TWI747074B (zh) 基板處理裝置
US7810645B2 (en) Paddle for securely mounting a wafer cassette holder thereto
US12027397B2 (en) Enclosure system shelf including alignment features
US20030051974A1 (en) Automated semiconductor processing system
US6969841B2 (en) Method and apparatus for securing microelectronic workpiece containers

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20051220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101109

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101207

R150 Certificate of patent or registration of utility model

Ref document number: 4650831

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131224

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees