JP2001526470A - 半導体ウエハ搬入/搬出取り扱いシステム - Google Patents

半導体ウエハ搬入/搬出取り扱いシステム

Info

Publication number
JP2001526470A
JP2001526470A JP2000525324A JP2000525324A JP2001526470A JP 2001526470 A JP2001526470 A JP 2001526470A JP 2000525324 A JP2000525324 A JP 2000525324A JP 2000525324 A JP2000525324 A JP 2000525324A JP 2001526470 A JP2001526470 A JP 2001526470A
Authority
JP
Japan
Prior art keywords
article
processing apparatus
container
processing
door
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000525324A
Other languages
English (en)
Other versions
JP2001526470A5 (ja
Inventor
ジェフリー・エイ・デイビス
カート・エル・ドールチェック
ゲイリー・エル・カーティス
Original Assignee
セミトゥール・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by セミトゥール・インコーポレイテッド filed Critical セミトゥール・インコーポレイテッド
Publication of JP2001526470A publication Critical patent/JP2001526470A/ja
Publication of JP2001526470A5 publication Critical patent/JP2001526470A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

(57)【要約】 半導体ウエハ(50)などの物品を加工処理するための処理装置(10)であって、ほぼ密閉された清浄な処理室(20)を形成する密閉区域と、前記処理室内に配置された少なくとも1つの処理ステーションとを含む。前記密閉区域の境界の端部に隣接して境界区域(14)が設置される。前記境界区域には、処理される物品が収納されたポッド(51)を前記処理装置に搬入し、もしくは処理装置から搬出するための少なくとも1つの出入口を含む。前記境界区域は一般に、前記の防塵された処理室ほどには清浄ではないため、前記処理室からは防塵上隔離されている。前記ポッドとシールするよう形成された物品引き出し機構が設けられている。前記機構は、前記ポッド内に収納された物品を、前記境界区域内の環境雰囲気条件にさらすことなく前記処理室内に引き出せるように配置されている。前記物品処理装置は又、好ましくは、前記境界区域内にあるポッドとシールするよう形成された物品挿入機構を含む。

Description

【発明の詳細な説明】
【0001】 (関連出願の相互参照) 本出願は、1996年3月26日に出願された米国出願第622、349号の
継続出願である1996年7月15日出願の米国出願第680、463号(現在
の米国特許第5、664、337号)の更に継続出願である係属中の米国出願第
08/851、480号(代理人整理番号SE10−0121号)の継続出願で
あり、上述の出願は、参考として本明細書に含まれる。
【0002】 (合衆国後援の研究もしくは開発の申告) 適用なし。
【0003】 (発明の背景) 本発明は、例えばウエハの液体処理や気体処理を行うために使用される、自動
化された半導体ウエハ処理装置に関する。このような装置は、非常に低い汚染レ
ベルを必要とする半導体ウエハ、データ・ディスク、半導体回路基板、及びこれ
らの類似物品を処理するために使用することができる。より具体的には、本発明
は、改良された搬入/搬出ウエハ取り扱いシステムを備えた上述のような装置に
関する。
【0004】 半導体ウエハ等の処理は、集積回路、データ・ディスク及びこれらの類似物品
が大量に生産されていることから、経済上非常に重要となっている。近年におい
て、集積回路及びデータ・ディスクに用いられる特性は、寸法的に大幅に小さく
なっており、そのためより大幅な統合と、より大きい容量とをもたらしている。
加えて、半導体ウエハの直径は、だんだんと大きくなっており、処理された各ウ
エハに対して、より大きな規模の経済を及ぼしている。
【0005】 これまで半導体ウエハの処理に用いられてきた装置及び方法は、成功の度合い
が様々であり、また、処理中に発生する汚染や粒子混入などの問題にもたびたび
悩まされてきた。半導体装置上に形成される個々の部品の形状や寸法がより小さ
くなり、またより高密接に設置されるに従い、また、半導体ウエハの直径が大き
くなるに従い、汚染及び破損に対するより厳格な管理の必要性がより重大になっ
ている。
【0006】 半導体の製造において常に難題であるのは、粒子汚染の多さである。処理装置
の閉鎖区域内に汚染粒子が入るのを防ぐことは、全ての形式の半導体処理装置に
ついて最も重要である。このような粒子は、ウエハ上に移設される画像の劣化原
因となり、集積回路のレイアウトを処理中のウエハ上に移設する際に使用される
画像処理に影響を及ぼし得る。汚染粒子はまた、製造される装置の特性を変えて
しまう結果ともなり得る。
【0007】 汚染粒子の最大の原因の1つは、半導体処理装置を取り囲む空気の中に漂って
いる埃の存在である。周囲の汚染量を減らすために、半導体集積回路の製造業者
は、作業場の埃の量を相対的に少なくする最善の方法をとってきた。これらの作
業場は「クリーン・ルーム」と呼ばれる。このような作業場は、建設するのにも
操業するにも費用がかかる。そのため、特定の装置を製造するために使用するク
リーン・ルームの数及び大きさを制限することが好ましい。
【0008】 従来の半導体処理装置に伴う別の問題は、製造工程で、酸、腐食薬、溶剤及び
その他の処理流体といった、有毒で腐食性のある処理流体が使用されるというこ
とである。そのような処理流体は、腐食および半導体処理装置の密閉領域外にあ
る人及び物への悪い影響を避けるため、管理された処理室内に保管されねばなら
ない。処理流体の気体及び液体の両形態ともに問題であり、双方とも、処理室か
ら漏れたり、腐食されやすい機械部分に付着したりすることが防がれねばならな
い。以上のことから、製造中には処理流体を処理室の中に十分に密閉し、それら
が漏れて損傷を起こさないような半導体処理装置を提供する必要性が存在してい
る。
【0009】 本発明の発明者らは、前記の問題を認識し、以下に記載される装置にそれらの
解決策を見出した。この装置は、自動化された半導体処理装置内で一群のウエハ
をまとめて処理する改良されたシステムを提供する。また、この装置は、標準的
なウエハ容器、すなわちポッドの使用を可能にする処理システムを提供する。こ
の装置は更に、搬入中に空気の進入が少なく、一方では連続的な自動化されたウ
エハの処理を可能とする複数のウエハ容器搬入のための処理システムを提供する
【0010】 本発明の装置の更なる特性に関しては、この装置は、汚染粒子が処理室に入る
ことを防ぎ、また処理液や気体が処理室からもれるのを防ぐ流体密封シールを形
成する改善されたドア操作とシール機構とを備えている。
【0011】 (発明の開示) 半導体ウエハなどの物品を、ほぼ清浄な環境の中で加工処理するための処理装
置に関する。前記処理装置は、ほぼ密閉された清浄な処理室を形成する密閉区域
と、前記処理室内に配置された少なくとも1つの処理ステーションとを含む。前
記密閉区域の境界の端部に隣接して境界区域が設置される。前記境界区域には、
処理される物品が収納されたポッドを前記処理装置に搬入し、もしくは処理装置
から搬出するための少なくとも1つの出入口を含む。前記境界区域は一般に、前
記の防塵された処理室ほどには清浄ではないため、前記処理室からは防塵上隔離
されている。前記ポッドとシールするよう形成された物品引き出し機構が設けら
れている。前記機構は、前記ポッド内に収納された物品を、前記境界区域内の環
境雰囲気条件にさらすことなく前記処理室内に引き出せるように配置されている
。前記物品処理装置は又、好ましくは、前記境界区域内にあるポッドとシールす
るよう形成された物品挿入機構を含む。前記物品挿入機構は、前記少なくとも1
つの処理ステーションで処理された後に、前記物品を前記ポッド内に挿入するよ
う配置される。前記挿入機構は、前記物品を、前記境界区域内の環境雰囲気条件
にさらすことなく挿入することを可能にする。
【0012】 (発明の詳細な説明) 図1A、1B及び2は、処理システム10の壁を画定する基本フレーム12を
含んだ処理システム10の概要を示す。一般的に言って、処理システム10は、
2つの主要な区域に分けられる。1つは半導体製品を搬入し、保管する境界区域
14であり、もう1つは処理区域16である。処理区域は、例えば液体及び/又
は気体による処理工程を用いて半導体製品を処理するための1つ又は複数の処理
ステーション3を含んでいる。
【0013】 図示のように、境界区域14は、好ましくは複数のステーションに分けられて
いる。開示された実施の形態では、境界区域14は、容器アクセス・ステーショ
ン5、保管ステーション6、容器ドッキング・ステーション7及びウエハ移送ス
テーション8から成る。操業時には、ウエハ容器51は容器アクセス・ステーシ
ョン5にあるドア32を通り、処理システム10の中に搬入される。各容器51
は、容器ドッキング・ステーション7の構成要素が容器にアクセスするときまで
保管ステーション6に保管される。壁11は、ステーション5、6及び7をウエ
ハ移送ステーション8から有効に隔てている。このため、壁11には、1つ又は
複数のドアが設けられており、そのドアに対し各容器51は密封状態となること
ができ、容器51の外界に汚染されることなく、容器51に含まれるウエハの直
接アクセスを可能にしている。これにより、どの容器51内にあるウエハであっ
ても、汚染の可能性のある外界にさらされることなく、処理システム10の処理
ステーション16内に投入されることが可能である。
【0014】 図1A及び1Bにおいて、フレーム12は、ほぼ処理システムの構成要素を囲
み、作業空間20を画定する密閉区域を形成するように構成されている。半導体
ウエハなどの半導体製品は、埃や汚染から比較的保護された状態で、作業空間2
0の中で保持されたり操作されたりする。作業空間20には、浄化ガスを供給す
ることができ、及び/又は周囲の気圧よりもわずかに高い気圧で操業することが
できる。
【0015】 処理システム10の上部は、周囲の環境から密閉されており、周囲の空気が処
理エリア20内に入る前に必要な濾過が行われるよう、境界区域14の上には境
界フィルターが、処理区域16の上には処理フィルターが備え付けられていても
よい。これらのフィルター区域には、好ましくはHEPAタイプの限外濾過フィ
ルターが取り付けられる。送風機のような空気移送装置が空気を強制的にフィル
ターに送り込み、下降して作業空間20へと導く。
【0016】 処理システム10はまた、フレーム12の一部によって作業空間20から分離
された処理ステーションの保守区域、及び測定・制御区域を有する。これらの区
域は、処理ステーションに関連した様々な装置構成要素が存在し作動することか
ら高い汚染レベルを有する可能性があるので、これらの区域は作業空間20から
は分離しておくことが好ましい。処理システム10は、処理装置15の前面につ
ながるクリーン・ルームと、作業空間20の後ろ側の保守区域及び測定・制御区
域につながるグレイ・ルームとを有するウエハ加工設備の中に据え付けられるの
が好ましい。このようなグレイ・ルームは、汚染に対する予防をクリーン・ルー
ムほどには必要とせず、従って、設置や維持にかかる費用が少くて済む。そのた
め、前述のような構成とすることは、処理システム10の部分に対する、典型的
には保守の必要性などによる、容易なアクセスを可能としながらもプラントにか
かる費用を低減させる。
【0017】 前面制御パネル22が、境界区域14の近くに配置され、オペレータの制御を
可能にする。この制御パネル22は、指を表示スクリーンに接触させることで様
々な制御を機能させることができるタッチ・スクリーン・ブラウン管制御ディス
プレーであることが好ましい。機械の前面からも背面からも操作できるよう、2
次的な制御パネルを制御区域に設け、グレイ・ルームからのアクセスを可能とす
ることができる。使用者が処理システム10を操作しセットアップすることがで
きるように、使用者により全てプログラム化が可能な制御機能と選択肢とが、前
記制御パネルに表示されることが好ましい。
【0018】 半導体ウエハ50は、境界区域14を通って、処理システム10の密閉された
作業空間20に供給され、またそこから取り出される。ウエハは、業界標準のウ
エハ容器、すなわちポッド51の中に入った状態で境界区域に供給される。前記
ウエハ容器は、ウエハ容器をCAPSILと呼ぶ商標で販売しているEmpak
社等、様々な製造業者から入手することができる。
【0019】 図5a及び5bに最もよく図示されているように、ウエハ容器51は、カバー
52を有しており、そのカバーを取り除くと半導体ウエハをウエハ容器内に挿入
し、またそこから取り出すことができる。典型的には、カバー52は、容器51
内のウエハを視覚検知や光学走査ができるよう透明である。ウエハ容器51は、
ウエハの目視や光学走査ができる窓54も含む。ウエハ容器51の反対側には、
自動化機器によるウエハ容器51の操作を容易にするための形態が設けられてい
る。これらの形態は、内側の循環スロット55の組と、外側の循環スロット56
の組とを含んでいる。開示された処理システム10の実施の形態におけるこれら
の形態との相互作用する仕組みを以下に詳述する。ウエハ容器51は、密閉され
ており、浄化ガスを充填することができる。容器51の中に配置されるウエハの
数は各種変えることができるが、業界標準のウエハ容器では、直径300mmの
ウエハを、一般的には13から25個収納することができる。
【0020】 開示された実施の形態では、境界区域14は処理されるウエハを受け取る搬入
サブ機構として、また、処理されたウエハを取り出す搬出サブ機構として機能す
る。加えて、境界区域14は、未処理及び処理済の両ウエハを保持、すなわち保
管することができる。境界区域14は、ウエハ容器を処理システム10に搬入し
、またそこから取り出す、一般に符号30で示す搬入/搬出サブ機構を含む。サ
ブ機構30は、開示された実施の形態であるシステム10のステーション5の中
に設置されている。
【0021】 搬入/搬出サブ機構は、搬入口ドア32によって開閉が制御される搬入口を含
む。搬入口ドア32は、搬入口を開閉するためのガイド・トラック34に沿って
上下にスライドするよう空気シリンダ33等の空気圧駆動とすることができる。
搬入/搬出サブ機構30の中の構成要素は、搬入口ドア32が閉まるまで動かな
いような操作とし、これによって前記搬入口ドアがオペレータの安全機構として
機能できるようにすることが好ましい。
【0022】 搬入口ドア32が明けられると、1つ又は複数のウエハ容器51が容器アクセ
ス・ステーション5内の搭載エレベータ40に搭載される。前記搭載エレベータ
は、ウエハ容器51を受け止めるよう形成された容器リフト・プレート42を備
えている。開示された実施の形態においては、容器リフト・プレート42には連
結ピン44が付けられており、それらのピンは、業界標準のウエハ容器51に設
けられた対応する内側のスロット55(図5b)に位置合わせされ、その中に嵌
り込むようリフト・プレートに据え付けられている。図示のように、連結ピン2
4の間にあるリフト・プレート42の一部は切り取られ、ほぼパイ形状の切り欠
き部45を形成している。この切り欠き部45は、以下に更に詳述するように、
ウエハ容器51を搭載プレート60に移送することを可能にしている。ウエハ容
器の内側のスロット55をリフト・プレート42の連結ピン44が嵌り込むよう
位置付けるために、リフト・プレート42の上端には、少なくとも1つのガイド
・ブロック46が設置されている。リフト・プレート42はガイド・トラック4
7に沿って搬送され、空気圧シリンダ48の操作によって天井部まで上げられ、
そして搬入口ドア32に近接した搬入/搬出位置まで下げられる。
【0023】 搭載エレベータ40は、ウエハ容器がいろいろな方向から処理システムの中に
運び込まれることを可能にする。リフト・プレート42が搬入口ドア32に近接
した低い位置にあるときは、人または自動化されたロボットよってウエハ容器5
1をリフト・プレートの上に横方向から搬入することができる。リフト・プレー
ト42が天井部まで上げられているときは、天井移送システムを使ってステーシ
ョン5の上部に設置されたアクセス・ドアを通して垂直に搬入することができる
【0024】 容器リフト・プレート42が天井部にあるときは、ウエハ容器51を、搬入/
搬出サブ機構の中の搭載プレート60へ搬入することが可能である。図4に示さ
れているように、搭載プレート60には連結ピン62が付けられており、これは
リフト・プレート42に連結ピン44が付けられているのと同様であるが、搭載
プレート上の連結ピン62はウエハ容器の外側のスロット56(図5b)に嵌る
ように並べられている点が異なる。搭載プレート42は、搭載プレート上へのウ
エハ容器の位置決めを補助するために、少なくとも1つの取り付けブロック64
も含んでいる。搭載プレートは、その前方エッジ56のところにリフト・プレー
トの切り欠き部45よりもやや小さく、それと相補的な形状をしたパイ型突出部
66を有している。図5bに最もよく表されているように、切り欠き部45は、
ウエハ容器をリフト・プレートから搭載プレートに移すために、あるいはその逆
方向に移すために、搭載プレート60がリフト・プレート42を通過して移動す
ることを可能にしおり、それは以下に更に詳述する。
【0025】 搭載プレート60は、矢印71の方向に搬入口ドア32に対して水平方向に動
くようにガイド・トラック70に取り付けられている。水平方向の駆動機構は、
モータ駆動とベルト機構72とから構成されることが好ましいが、他の駆動機構
が使用されてもよい。第2の搭載及び駆動機構75には搭載プレート60が取り
付けられており、搭載プレートを垂直移動及び垂直軸の周りの回転移動させる様
々な取り付け機構及び駆動機構を有する。取り付け及び駆動機構75は、取り付
けプレート機構を介して搭載プレート60に結合された駆動アーム78を含む。
駆動アーム78は、好ましくは、モータ及びリード・スクリュ駆動機構で垂直に
駆動され、搭載プレート60を昇降させる。モータ及び調和駆動機構82が、垂
直軸83を中心に搭載プレート60を回転させるよう搭載プレート60に結合さ
れている。モータ及び調和駆動機構82は、搭載プレートを、搭載プレートの前
方エッジ65が搬入口ドア32に対向する位置から容器保管サブ機構90に対向
する位置へと90度回転させる(図1B参照)。各移送機構は、搭載プレート6
0の位置決めを制御する増分エンコーダと、搭載プレート60の水平・垂直・回
転方向の相対位置を特定する絶対エンコーダとを含んでいることが好ましい。前
記の各駆動機構は協同して、ウエハ容器51を容器保管サブ機構90へ移送し、
及びそこから移送することができるよう、搭載プレート60を容器保管サブ機構
90に位置決めする(図1Bに図示)。
【0026】 搭載プレート60は、ウエハ容器51を、搬入口を介してオペレータから受け
取ること、及びリフト・プレート42上に置かれ一時的に保管されていたウエハ
容器を受け取ることができ、容器アクセス・ステーション5の搬入及び搬出の両
作業を促進させる。ウエハ容器をリフト・プレート42から搭載プレート60に
移動させるため、リフト・プレート42はその搬入/搬出位置まで下げられ、搭
載プレート60はその前方エッジ65が搬入口ドア32に対向するように回転さ
れる。この位置では、搭載プレート60はリフト・プレート42よりも低いとこ
ろにある。搭載プレート60は、その後、搭載プレートのパイ型の突出部66が
リフト・プレート42の切り欠き部45を通過するように上昇される。図5bに
最もよく表されているように、この動作の間、搭載プレート上の連結ピンは、ウ
エハ容器51の外側のスロット56に嵌り込み、リフト・プレートの連結ピン4
4は、ウエハ容器の内側のスロット55から外される。これにより、ウエハ容器
の搭載プレート60への移送が完了する。搭載プレート60は、その後、ウエハ
容器51を保管サブ機構90に移送するため90度回転される。
【0027】 図6において、保管サブ機構90は、複数の放射線状に伸びたアーム94を有
する中心の水平方向ハブ92を含んでいる。本発明の実施の形態においては、ア
ーム数を6本としているが、アームは他の数であってもよい。各アームの末端に
は、上部棚97及び下部棚98を有する容器キャリヤ96が取り付けられている
。軸受及びタイミング・シャフト機構99が、各容器キャリヤ96をアーム94
に取り付けている。軸受及びタイミング・シャフト機構99は、中心ハブ92に
対してアームがどの位置にあろうとも上部棚97及び下部棚98が常に水平状態
を維持するようにタイミング・ベルトと連結される。各アーム94にはテンショ
ン・ピボット機構106が取り付けられており、これはタイミング・システムの
中のあらゆる遊びを減少させるために機能する。各容器キャリヤ96の上部棚9
7及び下部棚98は、業界標準の容器51にある内側のスロット55に嵌るよう
各棚に配置された連結ピン102を含む。
【0028】 予めセットされた12の割出し位置を含む割出し器104の形態をした駆動機
構が、容器キャリヤ96を備えたアーム94を、搬入/搬出サブ機構に近接する
予めセットされた搬入位置から、搬入位置の180度反対側に位置する予めセッ
トされた容器移送位置まで、ハブ92の軸を中心として回転させる。アーム94
の相対位置を特定するため、絶対エンコーダ108が中心のハブ92に設置され
ている。
【0029】 通常の操業では、ウエハ全量の途切れない連続的な処理を促進させるため、そ
れぞれの棚にはウエハ容器が搭載されている(12個すべて)。しかしながら、
システム使用者の一括処理必要量に応じ、保管サブ機構90は12個よりも少な
い数のウエハ容器51を取り扱うこともできる。
【0030】 ウエハ容器が中心ハブ92の回りに回転する間に、ウエハ容器内の半導体ウエ
ハを光学走査するため、例えば光センサのような検出器をフレーム12に対して
固定状態に設置することができる。好ましくは、このような検出器が、搬入/搬
出サブ機構30の間の境界付近や、容器保管サブ機構90と容器ドッキング・サ
ブ機構110の間の境界付近に配置される。このような光学走査により、処理シ
ステム10は、システム内の処理過程を通過する全てのウエハの追跡をすること
ができる。
【0031】 操業時には、容器キャリヤ96の一つにある棚が搬入/搬出サブ機構30に隣
接する予めセットされた装填位置に到達するまで、割出し器104がアーム94
を回転させる。次いで、搭載プレート60を棚の上方に位置するまで動かし、ウ
エハ容器51上の内側のスロット55が棚の連結ピン102に一致するまで搭載
プレートを回転させ、そして内側のスロット55に連結ピン102が嵌るように
搭載プレート60を下げることにより、ウエハ容器51は搭載プレート60から
各容器棚に移される。次いで、搭載プレート60がわずかに回転して棚から外れ
、退避する。処理に当ってウエハ容器51を保管サブ機構90から取り外すため
、容器ドッキング・ステーション6に配置された容器ドッキング・サブ機構11
0がウエハ容器にアクセスできるよう、ウエハ容器51を保持するアームおよび
容器棚は、前記ハブの回りに180°回転することができる。
【0032】 図2によく示されるように、容器ドッキング・サブ機構110は、ガイド・ト
ラック115に沿った直線的な動きをするため、搬送台114に装備されたロボ
ット式アーム12を含むロボット式コンベア111を含んでいる。開示された実
施の形態のロボット式アーム112は、3つの結合された区分、すなわち、前記
搬送台114に取り付けられた下部の、もしくは第1の区分116と、タイミン
グ・ベルト機構などにより第1の区分に結合された中間の、もしくは第2の区分
117と、そして第2の区分に結合された上部の、もしくは第3の区分118と
を有する。このロボット式アーム構造は、最小のスペースの中でアームを上下・
前後に動かすことができる。第3の区分118は馬蹄形状をしており、ロボット
式アームが容器保管サブ機構90内で容器棚からウエハ容器51を持ち上げ、取
り外すことを可能にしている。第3の区分に配置された連結ピン122は、ウエ
ハ容器51の外側のスロット56のセットに接して嵌り、ロボット式アーム11
2への移送が完了するよう形成されている。
【0033】 搬送台114は、ロボット式アーム112と共に、一般符号150で表示され
る容器搬送サブ機構に隣接する位置までガイド・トラック115に沿ってスライ
ド移動する。図7に示すように、容器搬送サブ機構は、矢印151の方向への動
きのために直線状スライド154上に装備されたドッキング板152を含んでい
る。結合ピン156は、ウエハ容器51上の内側のスロット55と一致するよう
にドッキング板152に配置されている。ドッキング板152はまた、ラッチ・
フック160を受け入れる開口部158を有しており、このラッチ・フックは、
ウエハ容器51のドアにある溝などに嵌り込むよう寸法採りされ、形成されてい
る。ラッチ・フック160は、空気圧スライド上に装備され、この空気圧スライ
ドは、ラッチ・フックをウエハ容器51方向、及びその反対方向への移動を可能
にし、ウエハ容器51の捕捉・開放を行う。ラッチ・フック160上にはローラ
・ガイド164が設けられ、ラッチ・フックとウエハ容器との接触および捕捉を
容易にする。ドッキング板152に装備されたオーバーヘッド・フレーム166
は、ウエハ容器内のウエハの存在を検出するセンサを収容している。ドッキング
板上のウエハ容器の存在を検出するには、光ファイバー・ケーブルなど、他のセ
ンサを使用することであってもよい。
【0034】 直線状スライド機構154は、図1B、8Aおよび8Bに一般符号180で示
すハッチ境界面に隣接する位置にドッキング板152をスライドさせる。ハッチ
境界面180は、ウエハ移動ステーション8に設置されている。図8Aおよび8
Bにおいて、ハッチ境界面180はハッチ・カバー182を含んでおり、該ハッ
チ・カバーは、ウエハ容器51のドアとの間でシール関係を保つ寸法、形状に形
成された境界板184を支持する。この境界板は、該境界板184とウエハ容器
ドアとをシールする周囲シール186を支持する。
【0035】 真空カップ188が境界板の開口部190を貫通して取り付けられ、ウエハ容
器ドアと真空シール接触して該ドアを境界板に固定する。境界板の開口部からは
T字型ロック・キーも延び、ウエハ容器ドアのソケット内に嵌る。回転作動装置
194がロック・キーを回転させ、ウエハ容器ドアが境界板とシール状態となる
ようにロックする。真空カップ188による真空が失われた場合には、T字型キ
ーが容器ドアを境界板184に保持する助けをする。ハッチ・カバー182およ
び境界板184は、シリンダ196aおよび196bから構成される空気シリン
ダ機構196に装着されており、これによりハッチ・カバーおよび境界板は、ウ
エハ容器に対して前後・上下にスライド可能である。
【0036】 操業時には、前記容器ドアが境界板184との間で真空シール関係に保たれる
よう、真空カップ188に真空が導入される。真空シールは容器ドア上にあり得
るいかなる汚染物質をも阻止し、これらの処理領域への浸入を防ぐ。作動装置1
94は、キーを回して容器ドアを境界板に固定する。空気シリンダ機構196a
は、境界板184およびハッチ・カバー182を後方にスライドさせると(つま
り容器から離すと)、容器ドアは境界板とともに移動する。これにより、容器が
開かれ、容器内部を処理領域の清浄な環境にさらす。次いで、空気シリンダ機構
196aおよび196bは協同して境界板184およびハッチ・カバー182を
容器ドアと共に下方へ、次いで前方へ(つまり、容器の方向へ)スライドさせ、
ウエハの取り扱い及び処理の邪魔にならない位置へドアを動かす。
【0037】 好ましい実施の形態では、一般に図2に示すように、処理システムには2つの
容器搬送サブ機構150、および2つのハッチ境界面180が、容器ドッキング
・ステーション7内およびその近接に設置される。これによって1つの容器搬送
サブ機構150は未処理のウエハを収納するウエハ容器51を取り扱い、他のサ
ブ機構150は処理済みのウエハを収納する容器を取り扱うことができ、効率的
なウエハの取り扱いを可能にする。
【0038】 ウエハは、ウエハ移動ステーション9に設置されたウエハ移送サブ機構200
により容器51から取り出される。ウエハ移送サブ機構200は、搬送台機構2
30(図10参照)に搭載されるウエハ収納箱202(図9aおよび9b参照)
を備えている。図9aおよび9bにおいて、ウエハ収納箱202は、外側に伸張
する係合アーム208を有する外側収納箱フレーム204を有し、該係合アーム
は、各々1セットの歯210をその外側端末に有する。外側収納箱フレームの中
間部分はまた、中間の歯のセット212を有する。相補的な形状の内側収納箱フ
レーム214は、係合アーム218の各外側端末に設けられた外側の歯のセット
と、フレームの中間部分に設けられた中間の歯のセット222とを有する。外側
収納箱フレームの中間の歯のセット212が内側収納箱フレームの開口部224
から伸張するように、内側収納箱フレームは外側収納箱フレームに隣接して配置
され、内側収納箱フレームの外側及び中間の歯のセット220、222は、外側
収納箱フレームのそれぞれに対応する歯のセットと隣接する。
【0039】 外側収納箱フレーム204は、内側収納箱フレーム214に対して垂直方向に
スライド移動可能である。内側収納箱フレーム214は、搬送台機構230とは
固定された位置関係にある。外側収納箱フレームが最低位置にあるとき、外側収
納箱フレームの歯は内側収納箱フレームの歯と同一高さに並び、ウエハ収納箱が
「開いた」位置になる。この位置において、ウエハ収納箱202は、ウエハ収納
箱の各歯が容器内の各ウエハに差し込まれるまで、開口したウエハ容器内に挿入
が可能である。外側収納箱フレーム204をわずかに持ち上げて、ウエハ収納箱
を図9aおよび9bに示すような「閉じた」位置にすることにより、ウエハはウ
エハ収納箱内に保持される。ステッパ・モータで駆動されるリード・スクリュな
どの種々の機構が、外側収納箱フレーム204を持ち上げるのに使用可能である
。この閉じた位置において、外側収納箱フレームの歯は、内側収納箱フレームの
歯に対してずれた位置にあることから、ウエハは、外側収納箱フレームの歯の上
に片持ちに保持される。ウエハ収納箱は、個々の歯が例えば10mmピッチなど、
水平方向からわずかな角度で傾くように設計されており、ウエハがウエハ収納箱
内に保持されることを確実にしている。
【0040】 ウエハ収納箱内のウエハの適切な位置決めを保証するため、ウエハ収納箱にセ
ンサを設けることが望ましい。1つの実施の形態では、センサ226が外側収納
箱フレーム204の中間の歯のセット212の各歯に設けられている。
【0041】 ウエハ収納箱202を装備する搬送台機構230は、それ自身、開口したウエ
ハ容器51の方向、および反対方向への直線的な移動をするため、ガイド・トラ
ック232に搭載されている。搬送台機構230は、図2に最もよく示されるよ
うに、水平位置から垂直位置へ水平方向の軸の回りにウエハ収納箱を90°回転
するため、回転モータ236および調和駆動238などの回転駆動メカニズム2
34を含んでいる。搬送台機構230はまた、ウエハ収納箱が、ウエハ一括収納
具250(図2参照)の形式のウエハ支持部にウエハを置くことができるよう、
ウエハ収納箱を持ち上げるための垂直駆動メカニズム240を含んでいる。
【0042】 図11AおよびBにおいて、ウエハ一括収納具250は、一連のウエハ受け入
れ溝254が設けられた上部表面252を有する。前記各溝は、比較的浅い溝区
域258に隣接して、下方に行くに従って収束する受け取り側面256を有する
。前記溝区域は、実質的に平行な側壁を有し、そこに嵌るウエハの厚さより約0
−10%大きい広さを持つサイズである。前記受け取り側面は、ウエハ表面との
接触を最小限に保ちつつ、ウエハの溝内への適切な挿入を確保する役割を果たす
。上部表面252、およびその溝区域は、一般にウエハの直径部分に対応する弧
を描くように形成される。ウエハ一括収納具の溝の具体的な数は変わりうる。典
型的には、2つのウエハ容器からのウエハを1回で処理できるよう、使用されて
いる2つの関連するウエハ容器の収納量に対応して26から50の溝が設けられ
る。センサ260が上部表面252に設けられ、溝内にあるウエハの適切な位置
を検出する。
【0043】 ウエハ一括収納具250は、ウエハ収納箱の垂直駆動機構240(図10参照
)が通り抜けられるような大きさの細長スロット262を有する。垂直駆動機構
240は、ウエハ収納箱をウエハ一括収納具250よりわずかに高くなる位置ま
で持ち上げ、次に垂直駆動機構が細長スロット内に位置してウエハがウエハ一括
収納具250の溝位置に一致するまで、水平駆動機構がウエハ収納箱を直線的に
動かす。次いで、ウエハは制御された状態でウエハ一括収納具の溝へと降ろされ
る。
【0044】 一旦ウエハがウエハ一括収納具の適所に置かれると、前記のドッキング機構で
の工程は逆となり、容器ドアがウエハ容器上に戻され、次いでウエハ容器は境界
板から引き離れされ、保管サブ機構のウエハ容器棚に配置される。次いで、全て
のドッキングおよびウエハ移動工程が繰り返され、2つのウエハ容器からのウエ
ハがウエハ一括収納具250上に置かれる。
【0045】 図1B、1Cおよび2は、一般に符号280で示すロボット式コンベアを示し
ており、該ロボット式コンベアは、前記処理システム内にあるウエハを一括収納
具250から、例えば処理ステーション290のような1つ以上の処理ステーシ
ョンへ、及びその逆方向へと運搬する。ロボット式コンベア280は、取り付け
用ビームまたはレール282を含み、可動コンベア・ロボット・サブ機構284
が搭載された前記レールに対して移動する。
【0046】 ロボット装置には様々な設計があり得る。1つの設計において前記ロボット式
コンベアは、上位アーム部分285、下位アーム部分286およびハンド部分2
87を有する関節接合アームで構成される。ハンド部分に装備されているのは、
半導体ウエハを捉えて該半導体ウエハを種々の個別のまたは複数のワークステー
ションへ配送する捕捉具288である。適切なコンベア装置のさらなる詳細およ
び処理システムの他の態様は、1996年8月13日発行の米国特許第5,54
4,421号;1997年8月26日発行の米国特許第5,660,517号;
および1997年9月9日発行の米国特許第5,664,337号に記載されて
おり、このそれぞれは、参考として本明細書に含まれる。
【0047】 図1Cは、半導体ウエハが処理装置10により処理されるときの半導体ウエハ
の流れを示す。図示のように、ポッド51は、まず搬入ステーション5に置かれ
る。搬入ステーションの構成要素は、ポッド51を回転させて保管ステーション
6の保管サブ機構90に置き、そこに含まれるウエハが処理されるときまで当該
ポッドは保管ステーション6内にとどまる。ポッド51のウエハが処理される際
には、当該ポッド51は、ドッキング・サブ機構150の1つによって保管サブ
機構90から取り出される。ドッキング・サブ機構150は、ポッドをハッチ境
界面に運搬し、そこでウエハが境界区域の周囲の環境状態にさらされることなく
ポッドから取り出される。むしろ、ウエハは、作業空間領域20の清浄な環境に
のみさらされる。取り出しの後、ウエハは、適切な処理ステーション290にお
いて処理するためにウエハを運搬するウエハ運搬システム280に置かれる。処
理ステーションから取り出された後、ウエハは、同一のまたは異なるポッドに返
され、ウエハを境界区域の周囲の環境状態にさらすことなくポッドがシールされ
る。次いで、各ドッキング・サブ機構150は、処理されたウエハを収納するポ
ッドを保管サブ機構90に戻し、そこで、ウエハが作業空間20内でさらなる処
理を受けるまで、もしくは、オペレータが例えば制御パネル22を介して指示す
ることによりステーション5から除去されるまで、前記ポッドは保管される。
【0048】 処理システムの処理領域には、少なくとも1つおよび好ましくは多数の、様々
な構造を持ち得る個別の処理ステーション290がある。適切な処理ステーショ
ンに関するさらなる詳細および、ウエハを処理ステーションへ搭載(装填)およ
び搬出することに関するより具体的な説明は、1997年9月9日発行の米国特
許第5,664,337号に記載されており、参考として本明細書に含める。
【0049】 図12から15において、各処理ステーション290は、部分的に処理皿を囲
む処理容器を含んでいる。処理容器はまた、図12に示される閉鎖位置と破線で
示される退避位置との間を動く可動ドア512に接する。処理システム10の開
示された実施の形態には、処理容器のための改良されたドア機構500が含まれ
ている。
【0050】 図12および13において、ドア機構500は、処理容器の正面壁502と固
定された位置関係にある。正面壁502には、正面壁の円形の開口部506で示
された(図5に最もよく示されている)アクセス開口部が設けられている。正面
壁502は処理装置の他の部分の側に設けられるが、この開口部の周辺部506
は、処理皿294の正面壁に形成されるアクセス開口部507(参照図15)に
位置合わせして配置される。
【0051】 ドア機構500はさらに、ドア512、およびドア伸展・収縮操作部514を
装備するドア支持板510を含んでいる。ドア512は、補強板504および、
皿294により形成される処理室を視覚的に点検できる点検用窓508を含んで
いる。開示された実施の形態のドア伸展・収縮操作部514は、ドア支持板51
0に結合する固定外部シリンダ516と、動作可能な伸展部518とを含んでい
る。前記の動作可能な伸展部518は、外部シリンダ516の内側に同心状に配
置され、外部シリンダに対して制御可能量の伸展および収縮をする。ドア伸展・
収縮操作部514のさらなる特徴と操作は、次に詳細に述べる。
【0052】 ドア支持板510は、窓506を通して処理装置の皿294内に含まれる処理
室内への視界を提供する監視開口部520を含んでいる。ドア支持板510は、
例えば従来の締結具を用いて、両側でスライド可能なガイド・ブラケット522
に結合されている。各スライド可能なガイド・ブラケット522は、各空気バン
ド・シリンダ524にスライド移動可能に装備されている。バンド・シリンダ5
24は、処理容器の正面壁502に装着板528を介して結合されている。前記
のガイド・ブラケット522と、バンド・シリンダ524と、装着板528との
組合せは、更なる追加のガイドまたは支持ブロックを必要とせず、より簡素化さ
れた堅実なドアを提供する。ガイド・ブラケット522は、ほぼ垂直な動きをす
るために装備されているので、ドア機構は、処理装置の皿にアクセスし得る開口
した、すなわち完全に退避した位置と、ドア機構がアクセス開口部とほぼ同心状
の閉鎖位置との間を移動することができる。前記閉鎖位置において、ドアはアク
セス開口部内に伸展することができ、処理装置の皿をシールすることができる。
【0053】 ドア機構500の断面図を示す図14および15を参照して、外部シリンダ5
16に対する伸展部518の動きをさらに詳細に説明する。本目的のため、環状
の内部固定シリンダ530は、環状のフランジ部分532と、軸方向に延びたリ
ング部分534とを有する。環状のフランジ部分532は、その外側の側面がド
ア支持板510に確実に固定される。組み付ける際に、環状フランジ部分の反対
側の側面は、外部シリンダ516により拘束される。複数の締結具が、外部シリ
ンダ516および環状フランジ部分532を装備板510に確実に固定する。
【0054】 伸展部518は、内部シリンダ・リング530と外部シリンダ516との中間
に同心状に配置され、環状ガイド受け入れ部520を形成するU字型部分519
を含んでいる。図14に示すように、軸方向に延びるリング部分534は、環状
ガイド受け入れ部520内に嵌る。伸展部518はまた、環状ピストン部分54
0を含んでいる。前記環状ピストン部分540は、リング部分534と外部シリ
ンダ516により形成される環状のピストン作動室542内に形成されている。
【0055】 ピストン540は、ピストン作動室542を2つの作動区分、すなわち収縮室
区分543、および伸張室区分544とに分ける。各ピストン室区分は、空気ま
たは流体を保持するよう形成されている。複数の環状シール550が、両区分5
43および544内の個別の流体をシールするためにピストン540および伸展
部518の周りに配置されている。
【0056】 好ましくは、個別の流体供給口が収縮室543と伸展室544とに設けられ、
それぞれの室内の流体圧を増加または減少してピストンを動かす。図15に示す
ように、液圧流体が加圧状況下で伸展室544に供給されると、ピストン540
上に圧力差が生じ、これにより伸展部518がドア支持板から離れて伸展する。
伸展部518およびピストン540全体が図15に示す伸展位置へ移動すると、
ドアは処理皿の正面壁502に形成されたアクセス開口部506とシール係合関
係になり、これによって半導体処理装置を閉じる。ドア512の周辺部に装備さ
れているのは、環状ドア・シール551である。好ましくは、前記ドア・シール
は、既知の機械加工技術を用いたテフロンで形成される。ドア・シールには、軸
方向に伸展するシュラウド部分552および環状舌片部分554が含まれる。ド
アが図15に示される閉鎖位置にあるとき、ドア・シールのシュラウド部分55
2は、処理装置の正面壁内にある水平面にあり、舌片部分は処理皿の外部リムに
対してシール係合状態となるよう圧力をかけ、これによって、ドアと処理皿の間
をシールする。ドア・シールはまた、好ましくは、ドア・シールのための停止位
置決めとして機能するフランジ部分を含む。
【0057】 ピストン540とドア・シール550との組合せは、極めて信頼性の高い、効
果的なドア閉鎖およびシール機構を提供する。前記ピストンの動きにより、確実
な等距離移動をさせるための周辺調節をすることなく、前記伸展部はいつでもド
アを支持板から外方向へ等距離に動かすことができる。前記舌片部分は、処理皿
の外部リムをシールすることにより、効果的な流体シールを提供し、ドアと処理
装置の間の調整不備があってもこれを自動的に補正する。
【0058】 前述のシステムに対し、その基本的な技術から逸脱することなく多くの変更を
加えることができる。1つもしくはそれ以上の具体的な実施の形態を参照して本
発明につき詳細に述べてきたが、添付の請求の範囲に述べられている本発明の範
囲と精神から逸脱することなく、本発明に対して変更がなされ得ることは当業者
には理解されるであろう。
【図面の簡単な説明】
【図1A】 搬入/搬出区域の様々なステーションと、処理区域の一般的な
構成要素を示す本発明の1つの実施の形態にかかる半導体処理システムの斜視図
である。
【図1B】 幾つかの主要な構成要素をより詳しく示すために一部を切り欠
いた本発明にかかる半導体処理システムの正面斜視図である。
【図1C】 図1Aの半導体処理システムの平面図で、半導体ウエハの流れ
を示す。
【図2】 特定の構成要素をより分かりやすく示すため、いくつかの部分を
取り除いた半導体処理システムの背面斜視図である。
【図3】 図1に示す処理システムの搬入/搬出サブ機構の斜視図である。
【図4】 搬入/搬出サブ機構に使用される搭載プレートの斜視図である。
【図5a】 搬入/搬出サブ機構の搭載プレートとエレベータ・プレートと
に連結するウエハ容器の斜視図である。
【図5b】 ウエハ容器がエレベータ・プレートから搭載プレートへ移送さ
れる様子を示す平面図である。
【図6】 図1に示す処理システムの一部を構成する好ましい半導体保管サ
ブ機構の斜視図である。
【図7】 図1に示す処理システムのドッキング機構の一部を構成する容器
移送具の斜視図である。
【図8】 図1に示す処理システムのドッキング・サブ機構の一部を形成す
るハッチ境界面の斜視図である。
【図9a】 図1に示す処理システムの移送サブ機構の一部を構成するウエ
ハ移送収納箱の正面斜視図である。
【図9b】 図9aに示すウエハ移送収納箱の背面斜視図である。
【図10】 図9a及びbに示すウエハ移送収納箱用のコンベアの斜視図で
ある。
【図11A】 図1に示す処理システムの一部を形成するウエハ一括収納具
の斜視図である。
【図11B】 図11Aの一括収納具の溝を示した断面図である。
【図12】 図1に示す処理システムの一部を構成し、新規のドア操作とシ
ール機構を用いた半導体処理装置の斜視図である。
【図13】 処理装置のドア操作機構の斜視図である。
【図14】 処理装置ドアの開口状態の側面断面図である。
【図15】 処理装置ドアの閉鎖状態の側面断面図である。
【符号の説明】
10.処理装置、 12.密閉区域、 14.境界区域、 16.処理ステーシ
ョン、 20.処理室、 30.搬入/搬出サブ機構、 51.ポッド、 90
.容器保管サブ機構、 180.ハッチ境界面、 280.ロボット式コンベア
、 282.レール、 284.可動コンベア・ロボット・サブ機構、 285
.上位アーム部分、 286.下位アーム部分、 287.ハンド部分、 28
8.捕捉具、 290.処理ステーション
───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F031 CA02 DA01 DA08 DA17 EA06 EA12 EA14 FA01 FA03 FA11 FA13 FA15 FA18 GA43 GA48 GA49 GA50 GA60 MA02 MA03 NA09 NA10

Claims (49)

    【特許請求の範囲】
  1. 【請求項1】 物品をほぼ清浄な環境内で加工処理するための処理装置であ
    って、 ほぼ密閉された清浄な処理室を形成する密閉区域と、 前記処理室内に配置された少なくとも1つの処理ステーションと、 前記密閉区域の境界の端部に隣接して配置される境界区域であって、加工処理
    する物品を収納したポッドを前記処理装置へ搬入し、もしくは前記処理装置から
    搬出する少なくとも1つの境界出入口を有し、前記処理室からは防塵上分離され
    た境界区域と、 前記ポッドとの間でシールを形成し、前記ポッドに収納された物品を前記境界
    区域内の環境雰囲気にさらすことなく前記処理室内に引き出すよう配置された物
    品引き出し機構と、から構成される物品処理装置。
  2. 【請求項2】 前記境界区域にあるポッドとの間でシールを形成する物品挿
    入機構であって、前記少なくとも1つの処理ステーションによる加工処理の後に
    前記物品を前記ポッド内に挿入可能に配置され、前記境界区域内の環境雰囲気に
    さらすことなく前記物品の挿入が可能である物品挿入機構を更に備えた、請求項
    1に記載の物品処理装置。
  3. 【請求項3】 前記物品引き出し機構が、前記ポッドを自動的にシールし、
    その中に収納された物品を自動的に引き出す、請求項1に記載の物品処理装置。
  4. 【請求項4】 前記物品挿入機構が、前記ポッドを自動的にシールし、その
    中に物品を自動的に挿入する、請求項1に記載の物品処理装置。
  5. 【請求項5】 前記物品引き出し機構から前記少なくとも1つの処理ステー
    ションへ前記物品を搬送する、少なくとも1つのコンベアを更に備えた、請求項
    1に記載の物品処理装置。
  6. 【請求項6】 前記少なくとも1つの処理ステーションから前記物品挿入機
    構へ前記物品を搬送する、少なくとも1つのコンベアを更に備えた、請求項2に
    記載の物品処理装置。
  7. 【請求項7】 前記境界区域が、前記境界出入口と前記物品引き出し機構と
    の間のポッドの移送通路に沿って設けられた保管ステーションを更に備える、請
    求項1に記載の物品処理装置。
  8. 【請求項8】 前記物品引き出し機構が、前記ポッドの開口部カバーをシー
    ルし、前記開口部カバーを取り除く自動ハッチ機構からなる、請求項1に記載の
    物品処理装置。
  9. 【請求項9】 前記物品引き出し機構が、前記物品を捉え、前記ポッドの開
    口部カバーが取り除かれた後にできる開口部を通して前記物品を引き出す物品搬
    送台を更に備える、請求項8に記載の物品処理装置。
  10. 【請求項10】 前記物品挿入機構が、前記ポッドの開口部カバーをシール
    し、前記ポッドに前記開口部カバーを取り付ける自動ハッチ機構からなる、請求
    項2に記載の物品処理装置。
  11. 【請求項11】 前記物品引き出し機構が、前記物品を捉え、前記ポッドの
    開口部カバーを取り付ける前に前記開口部を通して前記物品を挿入する物品搬送
    台を更に備える、請求項10に記載の物品処理装置。
  12. 【請求項12】 前記物品が、半導体ウエハである、請求項1に記載の物品
    処理装置。
  13. 【請求項13】 前記少なくとも1つの処理ステーションが、液体処理もし
    くは気体処理により前記半導体ウエハに加工処理作業を実施する、請求項12に
    記載の物品処理装置。
  14. 【請求項14】 物品を加工処理する処理装置であって、 ほぼ密閉された作業空間を内側に提供する密閉区域と、 前記密閉区域の境界の端部に隣接して配置される境界区域であって、物品を収
    納するシールされた物品容器を前記処理装置へ搬入し、もしくは前記シールされ
    た物品容器を前記処理装置から搬出する少なくとも1つの境界出入口を有する境
    界区域と、 前記境界区域内に設けられた、複数のシールされた物品容器を保持するための
    物品保管サブ機構と、 前記物品保管サブ機構からシールされた物品容器を受け取り、前記物品を前記
    境界区域内の環境雰囲気にさらすことなく前記容器を開閉する容器ドッキング・
    サブ機構と、 前記物品容器と協同し、前記容器ドッキング・サブ機構により開かれた物品容
    器の開口端末部を通して前記物品容器から前記物品を引き出す物品移送サブ機構
    と、 少なくとも1つの処理ステーションであって、当該処理ステーションに対する
    物品の装填、取り外しができるような作業空間に開かれたアクセス開口部を有す
    る処理ステーションと、 前記物品を、前記少なくとも1つの処理ステーションと前記物品移送サブ機構
    との間を搬送する少なくとも1つのコンベアと、から構成される処理装置。
  15. 【請求項15】 前記処理装置が、前記境界区域内の前記少なくとも1つの
    境界出入口に隣接する位置に搬入/搬出サブ機構を備えた、請求項14にかかる
    半導体処理装置。
  16. 【請求項16】 前記搬入/搬出サブ機構が、シールされた物品容器を受け
    取るエレベータを含む、請求項15にかかる半導体処理装置。
  17. 【請求項17】 前記エレベータが、垂直のガイド・トラックと、前記ガイ
    ド・トラックにスライド可能に係合するエレベータ・リフト・プレートとからな
    る、請求項16にかかる半導体処理装置。
  18. 【請求項18】 前記搬入/搬出サブ機構が、シールされた物品容器を受け
    取る搭載プレートを含む、請求項15にかかる半導体処理装置。
  19. 【請求項19】 前記搬入/搬出サブ機構が、シールされた物品容器を受け
    取る搭載プレートを含み、前記搭載プレートと前記エレベータ・リフト・プレー
    トとは、前記搭載プレートが前記エレベータ・リフト・プレートを通過して移動
    可能となる協同特性を備えている、請求項16にかかる半導体処理装置。
  20. 【請求項20】 前記搭載プレートが、垂直な軸の回りに回転可能である、
    請求項18にかかる半導体処理装置。
  21. 【請求項21】 前記物品保管サブ機構が、シールされたウエハ容器を受け
    取るように形成された複数の容器棚を含む、請求項14にかかる半導体処理装置
  22. 【請求項22】 前記容器棚が、中心の水平方向のハブから放射状に延びる
    アームに搭載されている、請求項21にかかる半導体処理装置。
  23. 【請求項23】 前記物品保管サブ機構が、 中心の水平方向のハブから放射状に延びる複数のアームと、 各アームの前記中心の水平方向のハブとは反対側に搭載される容器キャリヤと
    、 各容器キャリヤに設置され、シールされたウエハ容器を受け取る少なくとも1
    つの容器棚と、から構成される請求項14にかかる半導体処理装置。
  24. 【請求項24】 前記アームが、前記中心の水平方向のハブの周りに回転可
    能である、請求項22にかかる半導体処理装置。
  25. 【請求項25】 前記アームが、前記中央の水平方向のハブの回りに回転可
    能である、請求項23にかかる半導体処理装置。
  26. 【請求項26】 前記容器ドッキング・サブ機構が、前記物品保管サブ機構
    から前記シールされた物品容器を受け取るためのロボット式コンベアを含む、請
    求項14にかかる半導体処理装置。
  27. 【請求項27】 前記ロボット式コンベアが、通路上に搭載された移動可能
    な搬送台を含む、請求項26にかかる半導体処理装置。
  28. 【請求項28】 前記ロボット式コンベアが、前記搬送台に取り付けられた
    ロボット式アームを含む、請求項27にかかる半導体処理装置。
  29. 【請求項29】 前記ロボット式アームが、 前記搬送台に搭載された第1の区分と、 関節接合部においてピボット運動可能に前記第1の区分と接合された第2の区
    分と、 前記第2の区分に接合され、シールされたウエハ容器と係合可能に形成された
    第3の区分と、から構成される請求項28にかかる半導体処理装置。
  30. 【請求項30】 前記シールされた物品容器が前記容器ドッキング・サブ機
    構と係合した状態にある時に、前記容器ドッキング・サブ機構が真空ロックを形
    成するハッチ境界面を備えている、請求項26にかかる半導体処理装置。
  31. 【請求項31】 前記ハッチ境界面が、搬送台との間でスライド移動可能と
    なるよう搬送台に取り付けられている、請求項30にかかる半導体処理装置。
  32. 【請求項32】 前記容器ドッキング・サブ機構が、前記ロボット式コンベ
    アと前記ハッチ境界面との間で前記シールされた物品容器を移送する容器移送具
    を備えている、請求項30にかかる半導体処理装置。
  33. 【請求項33】 前記容器移送具が、前記シールされた物品容器に係合する
    よう形成された容器ドッキング・プレートを含む、請求項32にかかる半導体処
    理装置。
  34. 【請求項34】 前記容器ドッキング・プレートが、前記ロボット式コンベ
    アと前記ハッチ境界面との間をスライド移動可能となるよう搬送台に取り付けら
    れている、請求項33にかかる半導体処理装置。
  35. 【請求項35】 前記物品移送サブ機構が、複数の半導体物質を直接捉え、
    同時に前記複数の半導体物質を前記物品容器から離れる方向に、及び前記物品容
    器に近づける方向に移動させる捕捉アーム物品支持部を有する捕捉アームを備え
    ている、請求項14にかかる半導体処理装置。
  36. 【請求項36】 前記捕捉アームが、水平方向の軸の周りに回転可能なよう
    に搬送台に取り付けられている、請求項15にかかる半導体処理装置。
  37. 【請求項37】 前記物品移送サブ機構が、前記捕捉アームから直接複数の
    半導体物質を受け取る複数の溝を備えた物品支持部を含んでいる、請求項35に
    かかる半導体処理装置。
  38. 【請求項38】 前記少なくとも1つの処理ステーションが、 処理のために1つもしくはそれ以上の物品を受け入れる処理密閉区域であって
    、前記半導体物質をその密閉区域内に搬入し、密閉区域から搬出する、少なくと
    も部分的に開口部周辺により画定されるアクセス開口部を含む処理密閉区域と、 前記処理密閉区域に取り付けられるドア・ガイドと、 前記ガイドに搭載されたドア機構であって、外部シリンダと、前記外部シリン
    ダに同心に配置された伸展部とを含み、前記伸展部は前記外部シリンダに対して
    所定の長さだけ軸方向の伸展、もしくは収縮の移動が可能であるドア機構と、 前記伸展部を、伸展位置と収縮位置とに移動させるアクチュエータと、 前記ドア機構と前記アクセス開口部の開口部周辺との間をシールするシールと
    、から構成される請求項14にかかる半導体処理装置。
  39. 【請求項39】 半導体物質を加工処理する半導体処理装置であって、 処理のために1つもしくはそれ以上の物品を受け入れる処理密閉区域であって
    、少なくとも部分的にはアクセス開口部の周辺リップによって画定されたアクセ
    ス開口部を含む処理密閉区域と、 前記処理密閉区域に取り付けられるドア支持フレームと、 前記ドア支持フレームに搭載されるドア機構であって、固定部分と伸展部分と
    を含み、前記伸展部分は前記固定部分に対して所定量の伸展、もしくは収縮の移
    動が可能であるドア機構と、 前記伸展部分を伸展した位置、及び収縮した位置に移動させる伸展部アクチュ
    エータと、 前記ドア機構と前記アクセス開口部の周辺リップとの間をシールするシールと
    、から構成される半導体処理装置。
  40. 【請求項40】 前記シールが、前記アクセス開口部の周辺リップをシール
    する環状のシール表面を備えている、請求項39にかかる半導体処理装置。
  41. 【請求項41】 前記伸展部アクチュエータが、ピストンを含んでいる、請
    求項39にかかる半導体処理装置。
  42. 【請求項42】 前記ピストンが環状に形成され、前記伸展部分全体から延
    びて環状の室内に受け入れられる、請求項41にかかる半導体処理装置。
  43. 【請求項43】 前記ドア支持フレームが、前記ドア機構を前記アクセス開
    口部に対して平行移動可能とするよう構成されている、請求項39にかかる半導
    体処理装置。
  44. 【請求項44】 前記ドア支持フレームが、前記ドア機構を前記アクセス開
    口部に対して平行移動可能とするよう構成され、前記ドア支持フレームが少なく
    とも1つのドア・ガイドを含む、請求項39にかかる半導体処理装置。
  45. 【請求項45】 半導体物質を加工処理する半導体処理装置に使用するよう
    形成されたドア機構であって、 少なくとも一部が周辺リップによって画定されるアクセス開口部を有する処理
    密閉区域に取り付けられるよう形成された少なくとも1つのガイドと、 前記ガイドに搭載されるドア機構であって、固定要素と伸展要素とを有し、前
    記伸展要素は前記固定要素と同心状に配置され、伸展位置と収縮位置との間を前
    記固定要素に対して軸方向に移動可能であるドア機構と、 前記ドア機構に可能状態に取り付けられ、前記伸展要素を前記伸展位置と収縮
    位置との間に動作させるアクチュエータと、 前記ドア機構に搭載され、前記伸展要素が前記伸展位置にあるときに、前記ド
    ア機構と前記周辺リップとの間をシールするシールと、から構成されるドア機構
  46. 【請求項46】 前記アクチュエータがピストンである、請求項45にかか
    るドア。
  47. 【請求項47】 前記ピストンが、前記伸展要素全体から延び、環状の室内
    に受け入れられる、請求項46にかかるドア。
  48. 【請求項48】 前記ドア機構が、ドア機構が前記アクセス開口部との間で
    位置合わせされる調整位置と、調整位置から外れた位置との間を、前記ガイドに
    沿って移動可能である、請求項45にかかるドア機構。
  49. 【請求項49】 前記シールが、前記アクセス開口部の周辺リップをシール
    する環状のシール表面を有している、請求項45にかかるドア機構。
JP2000525324A 1997-12-19 1998-12-15 半導体ウエハ搬入/搬出取り扱いシステム Pending JP2001526470A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/994,737 US6447232B1 (en) 1994-04-28 1997-12-19 Semiconductor wafer processing apparatus having improved wafer input/output handling system
US08/994,737 1997-12-19
PCT/US1998/026685 WO1999032381A1 (en) 1997-12-19 1998-12-15 Semiconductor wafer input/output handling system

Publications (2)

Publication Number Publication Date
JP2001526470A true JP2001526470A (ja) 2001-12-18
JP2001526470A5 JP2001526470A5 (ja) 2006-01-05

Family

ID=25540996

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000525324A Pending JP2001526470A (ja) 1997-12-19 1998-12-15 半導体ウエハ搬入/搬出取り扱いシステム

Country Status (7)

Country Link
US (3) US6447232B1 (ja)
EP (1) EP1062172A4 (ja)
JP (1) JP2001526470A (ja)
KR (1) KR100530547B1 (ja)
CN (1) CN1088679C (ja)
TW (1) TW446993B (ja)
WO (1) WO1999032381A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066893A (ja) * 2004-07-14 2006-03-09 Applied Materials Inc 基板キャリアを支持する場所を変更するための方法および装置

Families Citing this family (371)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6599075B2 (en) * 1994-04-28 2003-07-29 Semitool, Inc. Semiconductor wafer processing apparatus
US6833035B1 (en) * 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US6736148B2 (en) * 1997-05-05 2004-05-18 Semitool, Inc. Automated semiconductor processing system
US20020051699A1 (en) * 1997-05-05 2002-05-02 Gordon Nelson Door system for a process chamber
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6454514B2 (en) 1998-07-08 2002-09-24 Semitool, Inc. Microelectronic workpiece support and apparatus using the support
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
WO2001002106A1 (en) * 1999-07-06 2001-01-11 Semitool, Inc. Chemical solutions system for processing semiconductor materials
JP3730810B2 (ja) * 1999-07-09 2006-01-05 東京エレクトロン株式会社 容器の移動装置および方法
DE60043699D1 (de) * 1999-07-14 2010-03-04 Tokyo Electron Ltd Auf/zu-vorrichtung für auf/zu-deckel eines lagerbehälters für unbehandelte dinge und behandlungssystem für unbehandelte dinge
US6811369B2 (en) * 1999-09-02 2004-11-02 Canon Kabushiki Kaisha Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
DE10118167B4 (de) * 2000-04-11 2007-06-28 Samsung Electronics Co., Ltd., Suwon Vorrichtung und Verfahren zur Reinigung von Halbleiterwafern
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
EP1332349A4 (en) * 2000-07-07 2008-12-17 Semitool Inc AUTOMATED PROCESSING SYSTEM
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
US6748961B2 (en) * 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
US20040025901A1 (en) * 2001-07-16 2004-02-12 Semitool, Inc. Stationary wafer spin/spray processor
US6866460B2 (en) * 2001-07-16 2005-03-15 Semitool, Inc. Apparatus and method for loading of carriers containing semiconductor wafers and other media
MY135391A (en) * 2001-08-27 2008-04-30 Entegris Inc Modular carrier for semiconductor wafer disks and similar inventory
KR100922051B1 (ko) * 2002-04-12 2009-10-19 도쿄엘렉트론가부시키가이샤 반도체 처리 장치에 있어서의 포트 구조
US20030093174A1 (en) * 2002-06-12 2003-05-15 Serge Nikulin Fabrication process control system emulator
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US6895981B2 (en) * 2002-07-19 2005-05-24 Semitool, Inc. Cross flow processor
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7128823B2 (en) * 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
JP3865703B2 (ja) * 2002-10-25 2007-01-10 ファナック株式会社 物品搬送システム及び搬送方法
US7289734B2 (en) * 2002-12-24 2007-10-30 Tropic Networks Inc. Method and system for multi-level power management in an optical network
JP4124449B2 (ja) * 2003-03-28 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
WO2004109748A2 (en) * 2003-06-05 2004-12-16 Palbam Class Ltd. Supporting shelf for front opening unified pod
US20050077182A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Volume measurement apparatus and method
US7347329B2 (en) * 2003-10-24 2008-03-25 Entegris, Inc. Substrate carrier
JP2007537606A (ja) * 2004-05-14 2007-12-20 ザ・ビーオーシー・グループ・インコーポレーテッド 低圧環境で物品を処理するための装置及び方法
US7455750B2 (en) * 2004-06-25 2008-11-25 E.I. Du Pont De Nemours And Company Meta- and para-aramid pulp and processes of making same
JP4509669B2 (ja) * 2004-06-29 2010-07-21 東京エレクトロン株式会社 載置機構及び被処理体の搬出方法
US20060201541A1 (en) * 2005-03-11 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Cleaning-drying apparatus and cleaning-drying method
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US8061583B2 (en) * 2006-11-22 2011-11-22 Rokko Ventures Pte Ltd Ball mounting apparatus and method
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US7602562B2 (en) 2007-05-21 2009-10-13 Electro Scientific Industries, Inc. Fluid counterbalance for a laser lens used to scribe an electronic component substrate
KR101181560B1 (ko) * 2008-09-12 2012-09-10 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그것에 사용되는 기판반송장치
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101716524B1 (ko) * 2009-05-18 2017-03-14 크로씽 오토메이션, 인코포레이티드 기판 컨테이너 보관 시스템
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
DE102010035231B4 (de) * 2010-08-24 2013-05-16 Hänel & Co. Lagerregalsystem zur Lagerung von Lagergut
US20120199065A1 (en) * 2011-02-04 2012-08-09 Stion Corporation Multi-Module System for Processing Thin Film Photovoltaic Devices
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6366515B2 (ja) * 2015-01-23 2018-08-01 東京エレクトロン株式会社 連結構造及びこれを用いた磁気アニール装置、並びに連結方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11183401B2 (en) * 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107487626B (zh) * 2017-08-11 2019-06-21 京东方科技集团股份有限公司 掩膜板的存储机构、搬运方法及搬运系统
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
NO344988B1 (en) * 2018-11-05 2020-08-10 Autostore Tech As A station for providing access to contents in a storage container
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN109625929B (zh) * 2018-12-10 2024-05-03 苏州市天烨医疗设备有限公司 一种水模自动送料机
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CA3132965C (en) * 2019-04-09 2024-06-11 John Bean Technologies Corporation Spiral conveyor drum bar
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN110993550B (zh) * 2019-12-25 2022-12-09 北京北方华创微电子装备有限公司 半导体热处理设备
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113894106B (zh) * 2021-10-09 2023-01-13 杭州中欣晶圆半导体股份有限公司 一种洗净机自动上下料系统及操作方法
CN114834835A (zh) * 2021-12-22 2022-08-02 常州天曜智能装备有限公司 一种自动化码垛总装上料清洗装置
CN115180349A (zh) * 2022-09-13 2022-10-14 江苏长易电气有限公司 一种大电流平面变压器转运组装设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3171220D1 (en) 1980-09-02 1985-08-08 Heraeus Schott Quarzschmelze Method of and apparatus for transferring semiconductor wafers between carrier members
US4568234A (en) 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US4886412A (en) 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
JPS63219134A (ja) 1987-03-09 1988-09-12 Mitsubishi Electric Corp 拡散炉ウエハ・ハンドラ装置
US5431421A (en) 1988-05-25 1995-07-11 Semitool, Inc. Semiconductor processor wafer holder
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JPH05146984A (ja) 1991-07-08 1993-06-15 Murata Mach Ltd ウエハカセツト用ハンドリングロボツト
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5451131A (en) * 1992-06-19 1995-09-19 International Business Machines Corporation Dockable interface airlock between process enclosure and interprocess transfer container
US5378145A (en) 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
EP0582019B1 (en) * 1992-08-04 1995-10-18 International Business Machines Corporation Fully automated and computerized conveyor based manufacturing line architectures adapted to pressurized sealable transportable containers
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5784797A (en) 1994-04-28 1998-07-28 Semitool, Inc. Carrierless centrifugal semiconductor processing system
WO1995030240A2 (en) 1994-04-28 1995-11-09 Semitool, Incorporated Semiconductor processing systems
AU2368495A (en) 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
DE59611078D1 (de) * 1995-03-28 2004-10-14 Brooks Automation Gmbh Be- und Entladestation für Halbleiterbearbeitungsanlagen
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5674123A (en) * 1995-07-18 1997-10-07 Semifab Docking and environmental purging system for integrated circuit wafer transport assemblies
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006066893A (ja) * 2004-07-14 2006-03-09 Applied Materials Inc 基板キャリアを支持する場所を変更するための方法および装置
JP4650831B2 (ja) * 2004-07-14 2011-03-16 アプライド マテリアルズ インコーポレイテッド 基板キャリアを支持する場所を変更するための方法および装置

Also Published As

Publication number Publication date
EP1062172A4 (en) 2009-05-13
KR100530547B1 (ko) 2005-11-23
WO1999032381A1 (en) 1999-07-01
US6273110B1 (en) 2001-08-14
US6652219B2 (en) 2003-11-25
KR20010033316A (ko) 2001-04-25
EP1062172A1 (en) 2000-12-27
US20030002961A1 (en) 2003-01-02
CN1284041A (zh) 2001-02-14
TW446993B (en) 2001-07-21
CN1088679C (zh) 2002-08-07
US6447232B1 (en) 2002-09-10

Similar Documents

Publication Publication Date Title
JP2001526470A (ja) 半導体ウエハ搬入/搬出取り扱いシステム
US6279724B1 (en) Automated semiconductor processing system
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
KR940002914B1 (ko) 반도체 제조장치
US6799932B2 (en) Semiconductor wafer processing apparatus
US5660517A (en) Semiconductor processing system with wafer container docking and loading station
US7278813B2 (en) Automated processing system
KR100741186B1 (ko) 피처리체의 처리시스템
KR20000062601A (ko) 이중으로 밀폐된 워크 반송 및 이송 장치와 컨테이너검사방법
JP2002517055A (ja) 基板取扱いおよび処理システムと方法
US6106213A (en) Automated door assembly for use in semiconductor wafer manufacturing
US6960257B2 (en) Semiconductor processing system with wafer container docking and loading station
WO1995030239A2 (en) Semiconductor processing system with wafer container docking and loading station
US6599075B2 (en) Semiconductor wafer processing apparatus
JPH0294647A (ja) ウェーハ処理装置
US6736148B2 (en) Automated semiconductor processing system
KR20190124803A (ko) 기판 처리 장치
KR20010074681A (ko) 자동 반도체 가공 시스템

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051027

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080924

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090310