CN1088679C - 半导体晶片放入/取出处理系统 - Google Patents

半导体晶片放入/取出处理系统 Download PDF

Info

Publication number
CN1088679C
CN1088679C CN98813428A CN98813428A CN1088679C CN 1088679 C CN1088679 C CN 1088679C CN 98813428 A CN98813428 A CN 98813428A CN 98813428 A CN98813428 A CN 98813428A CN 1088679 C CN1088679 C CN 1088679C
Authority
CN
China
Prior art keywords
container
article
opening
station
wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN98813428A
Other languages
English (en)
Other versions
CN1284041A (zh
Inventor
杰弗里·A·戴维斯
克尔特·L·多勒赫克
加里·L·柯蒂斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semitool Inc
Original Assignee
Semitool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semitool Inc filed Critical Semitool Inc
Publication of CN1284041A publication Critical patent/CN1284041A/zh
Application granted granted Critical
Publication of CN1088679C publication Critical patent/CN1088679C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

用于处理如半导体晶片类物品的处理器,包括限定封闭的洁净处理腔室的机箱和至少一个设在该腔室内的处理工位;邻接部分有连接开口,装有待处理物品的容器经开口被装入或从中卸载。邻接部分以卫生的方式与处理腔室分开。适于与所述容器密封的物品提取机构能够把被装于容器内的物品送入处理腔室内,而不使这些物品在所述邻接部分中暴露于周围的空气条件下。本物品处理器还包括物品插入机构,适于与放在邻接部分内的容器密封。

Description

半导体晶片放入/取出处理系统
本申请是序号为08/851,480未决美国申请的部分继续(代理人号No.SE 10-0121),它是1996.7.15提交的美国序号680,463、现专利号US5,664,337的继续,而后者又是1996.3.26提交的美国序号622,349的部分继续,因此它们被引为参考文献。
本发明涉及一种自动半导体晶片处理设备,譬如用于晶片的液体和气体处理。可将这种设备用于处理半导体晶片、数据盘、半导体基片及要求沾污程度极低的类似物品。具体地说,本发明涉及具有改进之放入/取出晶片处理系统的设备。
由于大量集成电路、数据盘及类似物品的生产,半导体晶片等的处理具有很大的经济意义。近年来,集成电路和信息盘中所用的特点在于尺寸方面的明显减小,从而给出更大的集成度和更大的容量。另外,各种半导体晶片的直径一直在增大,就每种经过处理的晶片而论,尺寸都更为实用。
虽然此前为处理半导体晶片所采用的各种设备和方法都有不同程度的成功,但它们有时还是要遇到有关沾污或附加微粒等多种问题,这些可能发在在处理过程中。由于在半导体器件上形成之分立部件的细节和几何形状变得比较小,封装也更加紧密,以及由于半导体晶片的直径的增大,都需要对杂质的更为严格的控制,同时损害已变得更为严重。
半导体生产中一贯的难题是高度的微粒沾污。就各类半导体处理器而言,防止杂质微粒进入处理器的机箱是头等重要的。这种微粒可因使被转换到晶片上的图像变差,而影响把集成电路设计转印到待处理晶片上所使用的照相过程。杂质微粒还能引起正在制造的器件的特性改变。
一种最大的沾污微粒源是半导体处理器周围空气中存在的环境灰尘。为了减少周围环境沾污的量,半导体集成电路的制作都是取各种极端的尺寸,为的是给出环境灰尘量较低的工作区域。这些区域被称为“超净室”。建立这种工作区域及其操纵都是昂贵的。因此,最好是限制为制造特定的器件所用的超净室的数目及尺寸。
与传统的半导体处理器相关联的另一个问题与所述制造过程中所用的有毒的腐蚀性处理液,如酸溶液、氢氧化物溶液及其它处理液有关。必须把这种处理液保持在受到控制的处理室内,以避免对半导体处理器机箱外面的人员和材料的腐蚀及其它有害影响。与此有关的既有液体形式也有气体形式的处理流体,这两种情况都应防止它们进入到处理器的腔室中,避免它们与对腐蚀敏感的机器部件接触。于是就需要提供一种半导体处理设备,它能在制作过程中把各种处理流体成分地密封在处理腔室内,防止它们溢出而造成损害。
本发明人很重视上述问题并寻求在所述装置中对它们的解答。所述装置给出一种改进的系统,用于在自动半导体处理设备中批量处理晶片。此外,所述装置给出一种处理系统,它能使用多种标准的晶片盛料器或晶片容器。再有,所述装置给出一种处理系统,对于多个装载晶片的容器而言,在装载工作期间其中空气的渗透作用最小,同时还能够连续地自动处理晶片。
按照所述装置的进一步的特点,它提供一种改进的门启动和密封组件,装置组件提供一种严密的流体密封,能防止杂质微粒进入处理腔室,也防止各种处理液及蒸汽从腔室溢出。
本发明提供一种在基本为洁净的空气中处理诸如半导体晶片类物品的处理器。所述处理器包括外壁(机箱),它确定一个基本为密闭的洁净处理腔室,还包括至少一个位于所述处理腔室内的处理工位。将一个邻接部分设在外壁(机箱)连接端部附近。所述邻接部分包括至少一个连接开口,通过这种开口将装有待处理物品的容器装入所述处理器,或从中取出。由于所述邻接部分一般不像高度卫生的处理腔室那样洁净,所以使所述邻接部分以卫生的方式与处理腔室分开。采用一种适于与所述容器密封的物品提取机构。此机构被设置成,使得能够把被装于所述容器内的物品取入处理腔室内,而不使这些物品在所述邻接部分中暴露于周围的空气条件下。这种物品处理器最好还包括物品插入机构,此机构适于与设在所述邻接部分的容器密封。设置这种物品插入机构,以便允许在至少一个处理工位处理之后将物品插入所述容器中。该物品插入机构允许物品插入,而不使物品在所述邻接部分中暴露于周围的空气条件下。
图1A是本发明一种实施例半导体处理系统的透视图,表示放入/取出部分的多个工位和处理部分的一般部件;
图1B是采用局部剖开方式的本发明半导体处理系统的前向透视图,用以更好地表示它的一些主要部件;
图1C是图1A半导体处理系统的顶视图,表示半导体晶片从中通过的流程;
图2是半导体处理系统的后向透视图,其中为更好地表示某些部件而移去一些部分;
图3是图1所示处理系统的放入/取出组件的透视图;
图4是放入/取出组件中所用装载板的透视图;
图5A是连同放入/取出组件的装载板和升降板一起的晶片容器透视图;
图5B是表示晶片容器从升降板到装载板的转换的顶视图;
图6是一种优选的形成图1所示处理系统的部件的半导体装载组件的透视图;
图7是图1所示处理系统的停放组件的形成容器平移的部件透视图;
图8A和B是图1所示处理系统的停放组件的开口界面形成部件透视图;
图9A是图1所示处理系统的平移装置组件的晶片平移梳形件部件的前向透视图;
图9B是图9A所示晶片平移装置梳形件的后向透视图;
图10是图9A和B所示晶片转运梳形件的传送机透视图;
图11A是图1所示处理系统聚拢晶片的梳形件形成部件的透视图;
图11B是表示图11A的梳形件的槽的截面视图;
图12是图1所示处理系统形成半导体处理器部件的透视图,采用新型门启动和密封机构;
图13是处理器门启动组件的透视图;
图14是处于开启状态下的处理器门的截面侧视图;
图15是处于关闭状态下的处理器门的截面侧视图。
图1A、1B和2以一般的方式表示处理系统10,它包括基框12,确定处理系统10的几个壁。一般地说,处理系统10被分成两个主要的部分,即用于接纳和存储半导体物品的邻接部分14和处理部分16,后者包含一个或多个处理工位3,用于利用比如液体和/或气体处理工艺处理半导体物品。
如图所示,最好将邻接部分14分成多个工位。本实施例中的邻接部分14由容器存取工位5、容器存储工位6、容器停放工位7和晶片平移工位8组成。操作时,通过容器存取工位5的门32将晶片容器51插入处理系统10。然后,在由容器停放工位7的组成部件存取之前,每个容器5一直被存储于存储工位6。壁11使工位5、6和7有效地与晶片平移工位8分开。最后,壁11设有一个或多个门,每个容器51对所述的门都密封,并允许直接存取装在容器51中的晶片,而不会受到容器51外表面沾污。因此,可将任何给定容器51中的晶片插入处理系统10的处理部分16,而不使暴露于可能周围的环境中。
参照图1A和1B,基框12形成外壁,它实际上封闭成处理系统各组成部分并确定作业空间20。半导体物品,如半导体晶片被保持于作业空间20内,并在其中受到操纵,防止灰尘和微粒而受到保护。可给作业空间20加纯净的气体和/或在相对于略高于周围大气压的压力下工作。
处理系统10的上部关于周围环境密封,并可在邻接部分14上方给其设置一个界面过滤器,以及在处理部分16上方给其设置一个处理过滤器,以便在周围的空气进入处理区20之前对之提供必要的过滤。这些过滤器部分最好采用HEPA型超滤过滤器。推动空气的设备,如风扇等强制空气通过各过滤器并向下流入作业空间20。
处理系统10还具有处理工位维修部分和测试及控制部分,由基框12的各部分使这些部分与作业空间20分开。由于与各处理工位相关联的各设备部件的存在和运行使得这些部分可能有较高程度的沾污,所以最好使这些部分与作业空间20分开。最好将处理系统10安装在晶片制造厂内,以超净室与处理器15的前面联系,而以非超净室与朝向作业空间20后面的维修部分和测试及控制部分联系。这种非超净室比起超净室来需要极少的对沾污的保护措施,比如建造和维修的费用更少。因此,前述结构降低了工厂成本,还易于与处理系统10的各部分联系,较为有代表性的是需要维修的时候。
前控制面板22安装得紧贴着邻接部分14,能够进行操纵控制。控制面板22最好是能用手指碰触显示屏的触摸屏幕阴极射线管显示,以对各种控制功能起作用。控制部分可包括辅助控制面板,并可与所述非超净室联系,以便既能从机器的前面也能从机器的背面进行操纵。最好将全部用户可编程控制功能和选择显示于控制面板上,以便由用户进行操纵和设定处理系统10。
通过邻接部分14将半导体晶片50送入处理系统10的封闭作业空间20或从中取出。将工业标准晶片容器51中的晶片送入所述邻接部分。所述晶片容器可从各种制造者,如销售商标为CAPSIL之晶片容器的Empak那里得到。
最好如图5A和5B所示那样,晶片容器51有盖子52,在它被取下时,使半导体晶片能被插入该晶片容器或者从中取出。通常,盖子52是半透明的,以便能目视检测及光学扫描容器51中的晶片。晶片容器51还包括窗口54,以便能观察和光学扫描所述晶片。在与窗口54相对的一侧,晶片容器51设有多个部件,以便于由自动设备装卸晶片容器51。这些部件包括一组靠内的圆形孔口55和一组靠外的圆形孔56。后面将会进一步详细说明所述处理系统10的实施例与这些部件相互配合的方式。晶片容器51被密封,并可被加给纯净的气体。容器51内定位的晶片数目可以改变,这时,工业标准的晶片容器一般的容量为13到25个直径为300mm的晶片。
在所述的实施例中,邻接部分14的作用是装入组件,以接纳待处理的晶片,也取出组件,以取出经处理的晶片。另外,邻接部分14可对未经处理和经过处理的晶片提供保持和存储的能力。邻接部分14包括以标号30一般性地表示的放入/取出组件,它使晶片容器能够被装入处理系统10,或者从其中取出。组件30被置于所示实施例系统10的工位5处。
所示放入/取出组件包括进口,它由进口门32可控地开启和关闭。可由气缸33或类似的装置以气动方式驱动进口门32沿着导引块34向上或向下滑动,以开启和关闭该开口。最好使放入/取出组件30内的部件受到操纵,以使它们在所述进口门32被关闭之前不会移动,从而能够使该进口门对操作者起到安全机构的作用。
当进口门32被开启时,可将一个或多个晶片容器51安装到容器存取工位5中的装载提升器40上。所述装载提升器包括容器提升板42,它适于接纳晶片容器51。在所述的实施例中,容器提升板42设有多个耦接销44,它们被安装在所述提升板上,它们被对准,可容纳于各个靠内的孔口55内(图5B),所述孔口设在工业标准的晶片容器51上。如图所示,提升板42在所述各耦接销44之间的部分被切下,形成一个几乎成饼状的切口45。有如下面将要被详细描述的那样,这个切45使晶片容器51能被传送到装载板60上。为了帮助晶片容器靠内的孔口55定位在提升板42的耦接销44上,给提升板42的上缘至少设置一个导引块46。将提升板42装在导轨47上,并由气缸48将其升至一个过顶的位置,再降到靠近进口门32的装载/卸载位置。
装载提升器40使晶片容器能以不同的方式被装入处理系统中。当提升板42处于它的靠近进口门32的较低位置时,可将晶片容器51水平地装在该提升板上;或者由人工装载,抑或是由自动机器人装载。当使提升板42升至它的过顶位置时,可通过使用过顶输送系统穿过设在工位5顶部的存取门竖向完成装载。
随着容器提升板42处在它的过顶位置,可将晶片容器51装到放入/取出组件中的装载板60上。如图4所示,装载板60设有多个耦接销62,它们类似于提升板42的耦接销44,只是装载板上的耦接销62与晶片容器上靠外的孔口56对准,并为孔口56所接纳(图5B)。装载板60还包括至少一个安装块64,有助于晶片容器在该装载板上的定位。在它的前缘65处,装载板有一饼状突起66,它略小于所述提升板的切口部分45,并与该切口互补。如图5B所示,所述切口部分45使装载板60能够平移提升板42,以将晶片容器从提升板平移至装载板,或者相反,这如后面将会进一步详细描述的那样。
将装载板60安装在导轨70上,用以沿箭号71的方向相对于进口门32横向水平移动。水平驱动机构最好包括电机驱动和传动带组件72,虽然也可利用其它驱动机构。第二安装和驱动机构安装所述装载板60,它包括各种用以使装载板关于竖直轴竖直地和转动地运动的安装机构和驱动机构。安装和驱动机构75包括驱动臂78,它借助安装板机构耦接到所述装载板60。最好由电机和导引螺旋驱动机构80沿竖向驱动所述驱动臂78,以升降装载板60。电机和振动驱动组件82与装载板60耦接,以提供绕竖直轴83的转动驱动。所述电机和振动驱动组件82使装载板转动90°,从该装载板的前缘65面对进口门32的位置转到该前缘65面对容器存储部件90(图1B)的位置。每个驱动机构最好包括一个增量编码器,以控制装载板60的位置,再包括一个绝对编码器,用以确定装载板60的水平位置、竖直位置和转动位置。各驱动机构结合装载板60在容器存储部件90(示于图1B)的位置而动作,以使晶片容器51能被送至容器存储部件90,或从该部件移出。
装载板60可通过进口从操作者处接受晶片容器,或者可以接受已被置于并暂时存在提升板42上的晶片容器,以便于进出容器存取工位5的操作。为将晶片容器从提升板42平移到装载板60,使提升板42下降至它的装载/卸载位置,并转动装载板60,使它的前缘65面对进口门32。在这个位置,使装载板60处于提升板42的下面。然后可使装载板60被抬升,使装载板的饼状突起66移过抬升板42的切口部分45。最好如图5B所示那样,在这一动作过程中,所述装载板上的各耦接销62与晶片容器51上靠外的孔口56耦接,同时使抬升板上的各耦接销42移出晶片容器上的孔口55,从而完成晶片容器51完全平移到装载板60上。然后可使装载板60转动90°,将晶片容器51平移到所述存储部件90上。
参照图6,存储部件90包括中央水平毂92,从它沿径向延伸有多个臂94。本实施例中臂的数目为六个,虽然也可采用别的臂的数目。每个臂的端部装有容器托架96,该托架分别具有上架97和下架98。轴承定时轴机构99将每个容器托架96安装到臂94上。轴承定时轴机构99可与定时皮带耦合,以保证每个容器托架96的上、下架97、98总保持水平,而与各臂绕中央毂92的相对位置无关。每个臂94上安装张力枢轴组件106,它的作用是减少所述定时系统中的游动。每个容器托架96的上、下架97、98包括位于每个架上的耦接销102,它们与标准晶片容器51上靠内的孔口55对准。
具有十二个预置指示位置的呈分度器形的驱动机构104使各个带有容器托架96的臂94绕轮毂92的轴转动,从预置的、靠近所述放入/取出组件的装载位置转到预置的、与装载位置相对成180°的容器平移位置。绝对编码器108被安装在所述中央毂92上,以检测各臂94的相对位置。
在正常工作时,每个架上都将安装一个晶片容器(总共十二个),有助于全部晶片组成部分的连续处理,而不会中断。然而,根据系统用户一次处理的需要,存储部件90可保持少于十二个晶片容器51。
可按与基框12固定的关系安装并定位诸如光学传感器之类的检测器,以便在各晶片容器绕中央毂92转动时以光学方式扫描晶片容器内的半导体晶片。最好将这种检测器置于靠近放入/取出组件30与容器存储部件90之间界面的位置,以及放在靠近容器存储部件90与容器停放部件110之间界面的位置。这种光学扫描使处理系统10在所有晶片通过本系统受到处理时能够保持晶片的运动轨迹。
操作时,分度器104转动各个臂96,直至一个容器托架96上的一个托架到达预置的、靠近所述放入/取出组件30的装载位置。然后,通过移动装载板60,直至它被定位在所述的架上面,同时转动该装载板,直至晶片容器51上靠内的孔口55与所述的架上的耦接销102对准,同时还降下该装载板60,使各靠内的孔口55接纳各耦接销102,可使晶片容器51从装载板60平移到各个容器托架。此后可使装载板60略为转动,以便从所述托架清除它,然后再取下。为从存储部件90卸载晶片容器51,以便处理,可使所述臂和保持晶片容器51的容器托架绕所述轮毂转动180°,以便能由设在容器停放工位6中的容器停放部件110存取所述晶片容器51。
最好如图2所示那样,所述容器停放部件110包括一个自动传送设备111,用于沿导轨115直线运动,所述传送设备有一个安装在托架114上的自动臂112。本实施例的自动臂112有三个连接段:安装到托架114上的下段或第一段116,经定时皮带机构等与第一段相连的中段或第二段117,以及与第二段连接的上段或第三段118。直至自动臂结构能使所述的臂移上、移下、返回及前进,而占据最小量的空间。第三段118有一呈马蹄形的装置,使所述自动臂能够抬起晶片容器51并使晶片容器51与容器存储部件90内的容器托架分开。定位于第三段上的耦接销适于使它们与晶片容器51上的靠外一组孔口56接触,并被接纳于所述孔口中,以完成平移所述自动臂112。
托架114与自动臂112一起沿着导轨115滑动到靠近容器平移组件的位置,所述平移组件用标号150一般地表示。如图7所示,所述容器平移组件包括一个安装在直线滑块154上的停放板152,用以沿箭号151方向移动。耦接销156被定位于停放板152上,为的是与晶片容器51上靠内的孔口55对准。停放板152还包括一个小孔158,用以接纳锁钩160,此锁钩的大小适合于钩入位于晶片容器51的门上的槽或类似结构中。可将锁钩160安装在气动滑块上,使所述锁钩能够移向晶片容器51或从其移离,以便锁住和释放晶片容器51。锁钩160设有滚柱导轨164,便于该锁钩与晶片容器接触和耦合。过顶框166安装在停放板152上,它放置检测晶片容器内存在晶片的传感器。另一个传感器,如光缆,可被用于检测停放板上存在晶片容器。
直线滑块154使停放板152滑到靠近开口界面的位置,在图1B、8A和8B中用标号180表示所述开口界面。开口界面180设在晶片转送工位8中。以下参照图8A和8B,所述开口界面180包括开口的盖子182,它支撑界面板184,此界面板的大小适合于与晶片容器51的门密封件耦合。此界面板支撑周边密封件186,此周边密封件使所述界面板184与晶片容器的门密封。
真空罩188通过小孔190固定在所述界面板上,使得与晶片容器的门真空密封接触,并将所述的门紧固到所述界面板上。还有多个T形锁定键伸过界面板中的小孔,插入到晶片容器门的接纳器中。转动致动器194转动各锁定键,用以将晶片容器的门与所述界面板锁定成密封耦接。即使通过真空罩1 88失去真空,这些T形锁定键帮助所述容器的门对所述界面板184的固定。开口的盖子182和界面板184安装在气缸组件196上,此气缸组件由圆柱形组件196a和196b组成,可使开口的盖子182和界面板184能够相对所述晶片容器前后及上下滑动。
工作时,给真空罩188抽真空,使所述容器的门与界面板184保持真空密封关系。这种真空密封阻挡可能是在容器门上的一切微粒,防止它们进入处理部分。致动器194转动所述各锁定键,把容器的门锁定在该界面板上。气缸组件196a向回滑移界面板184和开口的盖子182(即离开容器),使容器的门对界面板移动。这就开启容器,使容器内部暴露于处理部分的洁净环境。随后,气缸组件196a和196b共同联合,使界面板184和开口的盖子182与容器的门一起向后,再向前(即朝向容器)滑移,以便向旁边移动该门,用以加工处理晶片。
按照一种优选的实施例,本处理系统设置两个容器平移组件150和两个开口界面180,有如图所一般性地表示的那样,它们被紧贴着设置于容器停放工位7内。这就能使一个容器平移组件150保持装有尚未处理之晶片的晶片容器51,而另一个容器平移组件150保持装有已经处理之晶片的晶片容器51,从而实现晶片的有效保持。
利用设在晶片平移工位9的晶片平移组件200将晶片从容器51中移出。晶片平移组件200包括安装在托架组件230(见图10)上的晶片梳形件202(见图9A和9B)。参照图9A和9B,晶片梳形件202包括外梳形框204,它有向外伸展的耦接臂208,每个耦接臂的外端带有一组齿210。所述外梳形框的中部也带有一组中间的齿204。成互补形的内梳形框214有一组安装在它的每个耦接臂218外端的外齿220,还有一组安装在内梳形框中部的中间齿222。内梳形框位于邻近所述外梳形框,使外梳形框上的这组中间齿212延伸穿过内梳形框中的开口224,而且内梳形框上的外齿组220和中间齿组222分别靠近外梳形框上的相应齿组。
外梳形框204是关于内梳形框214竖向可滑动的。内梳形框214处于与托架组件230固定的对准位置。当所述外梳形框处于它的最低位置时,该外梳形框上的齿与内梳形框上的齿对准,处于晶片梳形件“开”的位置。在此位置,可将晶片梳形件202插入打开的晶片容器中,至晶片梳形件与容器内的晶片交叉。通过把外梳形框204略为抬起到图9A和9B所示晶片梳形件“关”的位置,使各晶片被保存在该晶片梳形件内。各种机构,如由步进电机驱动的导引螺杆都可被用于致动外梳形框204的抬起。在这个关闭的位置,外梳形框上的齿关于内梳形框上的齿偏移,造成各晶片悬出于外梳形框的齿上。所述晶片梳形件被设计成使每个齿都关于水平下倾一个很小的角度,比如10mm的齿距,以保证各晶片保持定位在晶片梳形件内。
为保证各晶片在晶片梳形件内的正常定位,可以考虑给该晶片梳形件设置传感器。在一则实施例中,将传感器226设在外梳形框204上中间的齿组212的每个齿处。
安装晶片梳形件202的托架组件230自身被安装在导轨232上,用以向着开启的晶片容器51或者离开该容器而直线运动。托架组件230包括旋转驱动机构234,如旋转电机236和振动驱动238,用于使晶片梳形件绕水平轴转动90°,即如图2所示,从水平位置转至竖直位置。托架组件230还包括竖向驱动机构240,用于抬升晶片梳形件,使晶片梳形件能够把晶片放置在晶片支架上,成聚拢晶片的梳形件250形式(见图2)。
参照图11A和B,聚拢晶片的梳形件250的上表面252上设有一排接纳晶片的槽254。每个槽都有向下收拢的接纳器侧边256,这个侧边与较窄的沟槽部分258相邻。所述沟槽部分具有基本上为平行的侧壁,其尺寸的宽度是比拟被接纳于其中的晶片厚度大0-10%。所述接纳器侧边256有助于保证晶片正常地插入槽内,同时与晶片表面接触最少。选定所述上表面252和其中的沟槽部分形成弧形,通常与晶片直径断片相对应。可以改变聚拢晶片的梳形件中的特定槽数。通常有26到50个槽,与所用的两个相关联的晶片容器容量的量级对应,使得能够一次处理两个晶片容器的晶片。传感器260设在上表面252上,用以检测晶片在槽内的正常定位。
聚拢晶片的梳形件250有一个长形沟槽262,其尺寸允许晶片梳形件的竖向驱动组件240(见图10)通过。竖向驱动组件240可抬升晶片梳形件,至该梳形件略高于聚拢晶片的梳形件250,同时水平驱动组件可直线移动所述梳形件,直至竖向驱动组件被定位在所述长形沟槽内且使晶片与聚拢晶片的梳形件250内的槽对准。然后,可按形式使晶片下降到所述聚拢晶片的梳形件的槽内。
一旦各晶片在聚拢晶片的梳形件250内就位,就可使所述停放组件的阶梯被反接,可使容器的门复原到晶片容器上,然后可使晶片容器从所述界面板缩回,回放到所述存储部件的晶片容器托架上。然后可重复整个停放和晶片平移过程,使晶片从两个晶片容器定位在聚拢晶片的梳形件250上。
图1B、1C和2表示一个自动输送机,它由标号280所一般性地表示,用于输送本处理系统内的晶片,特别是在所述聚拢晶片的梳形件250与一个或多个处理工位,如处理工位290之间往返输送。自动输送机280包括安装横杆横梁282,可移动的输送机自动组件284安装于其上,并相对该轨道移动。
所述自动装置可为各种设计。按照一种设计,所述自动输送机包括一个有活结的臂,它具有上臂部分285、下臂部分286和头部分287。所述头部装有耦接工具288,用于耦接半导体晶片,并将它们输送到各个单独的或者多个作业工位。1996.8.13授权的美国专利US5,544,421、1997.8.26授权的美国专利US5,660,517和1997.9.9授权的美国专利US5,664,337都描述了适当的输送机装置和处理系统其它方面的进一步细节,这里将它们的每一件都引为参考文献。
图1C表示由所述系统10处理半导体晶片时的流程。如图所示,首先将容器51置于存取工位5。存取工位5的各组成部分转动容器51,并将它放在存储工位6的存储部件90上,在其中所装的晶片被处理之前,使它们保持在那里。当容器51的晶片被处理时,由一个停放部件150,将每个容器51从存储部件90移走。停放部件150将容器移到开口界面,在那里将晶片移出容器,而不使其被暴露于邻接部分的周围大气条件下。相反地,只使晶片暴露在作业空间区域20的洁净环境中。取出之后,晶片存在于晶片输送机系统280,移送它们,在适当的处理工位290处理它们。在移离所述处理工位之后,使晶片返回同一个或不同的容器,并密封容器,不使晶片暴露于邻接部分的周围空气条件下。然后每个停放部件150将内中装有经处理之晶片的容器送回存储部件90,将容器存在那里一段时间,譬如直至由操作者比如通过控制面板22使其中的晶片在作业空间20经受进一步的处理,或者直至将它们移过工位5。
本处理系统的处理部分至少包括一个,最好包括多个单独的处理工位290,它们可为各种结构。就适宜的处理工位而论的进一步详述,有关把晶片装入(安置)所述处理工位以及从其卸载的更特定的说明,在1997.9.9授权的美国专利US5,664,33 7中得以描述,在此,该文被引为参考文献。
参照图12至15,每个处理工位290包括一个处理罐292,它特别封闭一个处理处理滚筒。所述处理罐还配有一个可动的门512,它可在图12所示的关闭位置与虚的外线所示的回缩位置之间移动。所述实施例的处理系统10包括一个经过改进的处理罐的门总成500。
参照图12和13,所述门总成500处于固定的与处理罐的前壁502对准的位置。所述前壁502设有由该前壁的圆形开口506所确定的存取开口(最好如图15所示)。当把前壁502安装到处理器的其余部分时,开口的周缘506被定位成与处理滚筒294的前壁中所形成的检查口507(见图15)对准。
门总成500还包括门支承板510,它安装门512和门的伸长与回缩操纵器514。门512包括加强板504和视窗508,后者可允许目视观察滚筒294所限定的处理室。所述实施例的门的伸长与回缩操纵器514包括与门支承板510连接的固定外圆柱体516和操纵的伸长部件518。操纵的伸长部件518同心地定位于外圆柱体516的内侧,用以可控制地相对于外圆柱体伸长和回缩。下面将更为详细地讨论门的伸长与回缩操纵器514的其它特点和动作情况。
门支承板510包括视孔520,提供通过窗口506到处理器的滚筒294中所包含的处理室的观察能力。门支承板510连接于可滑移的导引夹522的每一侧,所述导引夹采用比如普通夹持器。每个可滑移的导引夹522以滑移的方式被安装到每个带式气动缸524上。带式缸524经安装板528与处理罐的前壁502相连。导引夹522、带是缸524和安装板528联合在一起提供一个简单的刚性安装结构,它无需附加的导引块或支承块。安装导引夹522,用以基本上竖向移动,使得所述门总成可在开启或充分排出的位置与关闭位置之间移动,所述充分排出位置用以允许进入处理器的罐,这里的门总成基本上与所述存取开口同心地对准。在所述关闭位置时,所述门可伸入存取开口,并对处理器的罐密封。
参照图14和15,它们表示门总成500的断面视图,详细说明伸长部件518相对于外圆柱体516的移动。为此,圆形内固定圆柱体530具有圆形凸缘部分532和轴向延伸的环形部分534。圆形凸缘部分532的外侧牢固地安装在门支承板510上。由外圆柱体516将此圆形凸缘部分的相对一侧约束在安装点处。多个紧固件将所述外圆柱体516及圆形凸缘部分532紧固于安装板510上。
伸长部件518被同心地定位于内圆柱环530与外圆柱体516之间,而且它包括一个U-形部分519,该部分限定一个圆形导引插孔520。如图14所示,轴向延伸的环形部分534嵌装在圆形导引插孔520内。伸长部分518还包括一个圆形柱塞部分540。此圆形柱塞部分540安放在由环形部分534和外圆柱体516限定的圆形柱塞工作室542内。
柱塞部分540使所述柱塞工作室542分叉为两个工作隔间:回缩室工作隔间543和伸长室工作隔间544。每个柱塞室隔间都适用于保存气动流体或液压流体。多个环形密封件550关于柱塞540和伸长部件518定位,以密封室543和544内分置的流体。
最好给回缩室543和伸长室544设置分置流体供送导管,用以增加或减小每个室内的流体压力,有效地移动柱塞。如图15所示,当在加压情况下将液压流体供送给伸长室544时,柱塞540上产生压差,这将引起伸长部件518伸长,离开门支承板。伸长部件518和整个柱塞540移动到图15所示的伸长位置,使所述的门移至与处理罐的前壁502中形成的存取开口506密封耦接,从而关闭本半导体处理器。门512周缘上安装有环形门密封件551。所述门密封件最好由公知的机械工艺所用的聚四氟乙烯制成。所述门密封件包括轴向延伸的护罩部分552和圆形榫舌部分554。当所述门处于图15所示的关闭位置时,所述门密封件的护罩部分552位于处理器前壁中的平面内,而所述榫舌部分对处理罐的外侧边缘压成密封耦接,从而在所述门与处理罐之间有效地密封。所述门密封件最好还包括凸缘部分555,它的作用是终止所述门密封件。
柱塞540和门密封件550联合在一起提供高度可靠且有效的门封闭和密封机构。柱塞的移动使所述伸长部分能够随时等距离地从所述支承板向外移动所述的门,而无需为保证等距离移动对周围的调节控制。利用对处理罐外侧边缘的密封,榫舌部分提供有效的流体严格密封,并自动地校准所述门与处理器之间的任何不对准。
可对前述系统作出为数众多的改型而不致脱离它的基本技术。虽然已参照一个或多个特定的实施例详细描述了本发明,但那些熟悉本领域的人员将会认可能对它们作对准改变,而不会脱离有如所附各权利要求所设定的本发明的范围和精髓。

Claims (26)

1.一种处理存储于容器内的物品的系统,包括:
邻接部分和带有邻接部分的处理部分,所述邻接部分包括:
入口;
存储工位,它有多个用于放置容器的位置;
为将物品容器装载于所述入口与存储工位之间而定位的放入/取出组件;
容器停放部件,具有能够带着容器从存储工位平移到停放工位的容器移送装置;
所述处理部分包括:
提取机构,可从处理部分伸入在邻接部分的停放工位处的容器,以将物品移出容器,并移入处理工位;或者将物品从处理工位移入容器;
处理部分中的至少一个处理工位;
自动输送机,可带着物品沿一导轨在所述提取机构与至少一个处理工位之间移动。
2.如权利要求1所述的系统,其特征在于,还包括分开邻接部分与处理部分的壁,而且在所述壁中有一门的开口,以允许物品在邻接部分与处理部分之间移动,还包括一个开口盖子致动组件,此组件包括第一致动器,用于沿直线向着所述壁中的门开口移动开口盖子,或者从门开口移离,还包括第二致动器,用于沿竖向移动所述开口盖子。
3.如权利要求1所述的系统,其特征在于,还包括在所述容器与壁之间的密封件。
4.如权利要求1所述的系统,其特征在于,所述提取机构包括一安装在一个托架组件上的梳形件。
5.如权利要求4所述的系统,其特征在于,所述托架组件被安装在导轨上,用以直线地向着所述壁中的开口移动或者从该开口移离。
6.如权利要求4所述的系统,其特征在于,所述提取机构还包括竖向驱动器,用以在被升起和被降下的位置之间升降物品。
7.如权利要求1所述的系统,其特征在于,处理部分内还包括聚拢梳形件,由所述致动器把聚集的物品移到聚拢梳形件上。
8.如权利要求1所述的系统,其特征在于,所述提取部分包括第一梳形件和在第一梳形件内的第二梳形件,以及彼此相对地移动第一和第二梳形件的梳形件驱动器。
9.如权利要求8所述的系统,其特征在于,所述第二梳形件被装到一个托架组件上,第一梳形件上带有第一组齿,第二梳形件上带有第二组齿,可由梳形件驱动器使第一梳形件从第一位置移到第二位置,所述在第一位置时,所述第一组和第二组齿互相对准,在第二位置时,所述第一组和第二组齿至少部分地互相偏离。
10.如权利要求1所述的系统,其特征在于,所述提取机构包括一个耦接臂和装在所述耦接臂上的第一致动器,用于移动所述耦接臂,以将物品移入容器或从容器移出;所述提取机构还包括第二致动器,用于转动所述耦接臂,以在水平位置与竖直位置间移动物品。
11.如权利要求2所述的系统,其特征在于,还包括装于所述开口盖子上的界面板,以及一个开关和一个从界面板向上伸展的容器门支架,以耦接并支持容器的门。
12.如权利要求1所述的系统,其特征在于,所述容器移送装置包括一个安装在一个托架上的自动臂,用于沿一个在所述存储工位与停放工位之间延伸的导轨直线地移动。
13.如权利要求2所述的系统,其特征在于,还包括第二容器移送装置和在所述壁中的第二开口处的第二开口界面,以第一容器移送装置传送具有尚未处理之物品的容器,而以第二容器移送装置传送具有已处理之物品的容器。
14.如权利要求1所述的系统,其特征在于,还包括靠近所述入口的装载升降器,用于在所述入口与存储工位之间升降容器。
15.如权利要求1所述的系统,其特征在于,还包括在容器上的可取下的门,和驱动机构,用于转动所述容器,使得在所述入口处所述容器门的开口的取向不同于在容器处于停放工位时容器门的开口的取向。
16.一种处理存储于容器内的物品的设备,包括:
邻接部分和邻近邻接部分的处理部分;
所述邻接部分包括:
  入口;
  存储工位,它有一个存储部件,用于沿纵长方向使容器移过该存储工位;
为在所述入口与存储工位之间移动物品容器而定位的放入/取出组件;
容器停放部件,它具有能够带着容器沿垂直于所述纵长方向的直线方向,从存储工位平移到停放工位的容器移送装置;
提取机构,可沿纵长方向移入处于停放工位的容器,以将物品移入或移出容器;
处理部分中横向对准的多个处理工位;
自动输送机,可带着物品在处理部分内沿一导轨在直线方向上移带各处理工位,或移离各处理工位。
17.如权利要求16所述的系统,其特征在于,它带有提取机构,还包括转动驱动器,用于使物品绕轴从水平位置向上转至竖直位置。
18.如权利要求16所述的系统,其特征在于,所述容器移送装置和自动输送机沿互相平行的方向移动,所述提取机构沿与之垂直的方向移动。
19.如权利要求16所述的系统,其特征在于,所述邻接部分与处理部分被封闭,只是在壁中的门开口处分开它们。
20.如权利要求19所述的系统,其特征在于,所述壁中的门开口比容器的门大,并且还包括一个开口界面,用于将容器的门移过所述壁中的门开口,并移入处理工位。
21.如权利要求16所述的系统,其特征在于,所述提取机构包括一个在垂直于自动输送机的轨道延伸的导轨上的托架,具有可沿垂直驱动柱垂直移动的导轨;一个在所述托架上的旋转装置,和一个装附于所述旋转装置上的梳形件。
22.如权利要求20所述的系统,其特征在于,所述开口界面包括一个装附在开口的盖子上的纵向制动器,用以把所述开口的盖子移向所述的壁或者移离该壁;还包括一个支承所述纵向制动器的垂直制动器,用以垂直移动所述开口的盖子。
23.一种处理由与容器盖子隔开之容器提供的物品的方法,包括以下步骤:
通过处理系统的入口把装有物品的容器移入该处理系统,并移入容器存取工位;
临时把所述容器存在所述处理系统的存储工位;
把容器从存储工位移到停放工位;
通过使容器的前面耦接贴着所述处理系统中机箱的壁,把容器停放在停放工位处,并以容器盖子对准所述壁的开口;
使容器盖子与所述壁对着被停放的容器的侧面上的开口盖子耦接;
通过把所述开口盖子移离所述壁上的开口,自容器移去容器盖子,从而带着所述容器盖子通过壁的开口,以便能够通过壁上的开口存入容器;
使提取机构伸过壁上的开口,伸入容器;
用所述提取机构抓取容器中的物品;从容器中取出物品;
把物品带到处理腔室;把物品放入该处理腔室内;处理该物品。
24.如权利要求23所述的方法,其特征在于,所述壁使处理部分与处理系统的邻接部分分开,还包括以下步骤:通过只在使容器停放于停放工位处并且容器的前表面对所述的壁密封的情况下,将所述开口盖子移离壁中的开口,以保持处理部分与邻接部分之间密封。
25.如权利要求23所述的方法,其特征在于,还包括以下步骤:沿垂直取向将物品叠置于盘槽上,直至出现所需物品的数目;然后将物品带入处理腔室。
26.如权利要求23所述的方法,其特征在于,还包括以下步骤:在从容器提取物品之前,将物品定位于梳形件内。
CN98813428A 1997-12-19 1998-12-15 半导体晶片放入/取出处理系统 Expired - Fee Related CN1088679C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/994,737 US6447232B1 (en) 1994-04-28 1997-12-19 Semiconductor wafer processing apparatus having improved wafer input/output handling system
US08/994,737 1997-12-19

Publications (2)

Publication Number Publication Date
CN1284041A CN1284041A (zh) 2001-02-14
CN1088679C true CN1088679C (zh) 2002-08-07

Family

ID=25540996

Family Applications (1)

Application Number Title Priority Date Filing Date
CN98813428A Expired - Fee Related CN1088679C (zh) 1997-12-19 1998-12-15 半导体晶片放入/取出处理系统

Country Status (7)

Country Link
US (3) US6447232B1 (zh)
EP (1) EP1062172A4 (zh)
JP (1) JP2001526470A (zh)
KR (1) KR100530547B1 (zh)
CN (1) CN1088679C (zh)
TW (1) TW446993B (zh)
WO (1) WO1999032381A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104909101A (zh) * 2014-03-11 2015-09-16 株式会社大福 容器运送设备

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833035B1 (en) * 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6599075B2 (en) * 1994-04-28 2003-07-29 Semitool, Inc. Semiconductor wafer processing apparatus
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US20020051699A1 (en) * 1997-05-05 2002-05-02 Gordon Nelson Door system for a process chamber
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US6736148B2 (en) * 1997-05-05 2004-05-18 Semitool, Inc. Automated semiconductor processing system
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6454514B2 (en) 1998-07-08 2002-09-24 Semitool, Inc. Microelectronic workpiece support and apparatus using the support
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6446644B1 (en) * 1999-07-06 2002-09-10 Semitool, Inc. Chemical solutions system for processing semiconductor materials
JP3730810B2 (ja) * 1999-07-09 2006-01-05 東京エレクトロン株式会社 容器の移動装置および方法
EP1195807B1 (en) * 1999-07-14 2010-01-13 Tokyo Electron Limited Open/close device for open/close lid of untreated object storing box and treating system for untreated object
US6811369B2 (en) * 1999-09-02 2004-11-02 Canon Kabushiki Kaisha Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
US6732750B2 (en) * 2000-04-11 2004-05-11 Samsung Electronics Co., Ltd. Semiconductor wafer cleaning apparatus and method of using the same
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
AU2001268656A1 (en) * 2000-07-07 2002-01-21 Semitool, Inc. Automated processing system
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
US6748961B2 (en) * 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
US20040025901A1 (en) * 2001-07-16 2004-02-12 Semitool, Inc. Stationary wafer spin/spray processor
US6866460B2 (en) * 2001-07-16 2005-03-15 Semitool, Inc. Apparatus and method for loading of carriers containing semiconductor wafers and other media
KR20040035739A (ko) * 2001-08-27 2004-04-29 엔테그리스, 아이엔씨. 반도체 웨이퍼 디스크 및 유사 재고품용 모듈형 캐리어
KR100922051B1 (ko) * 2002-04-12 2009-10-19 도쿄엘렉트론가부시키가이샤 반도체 처리 장치에 있어서의 포트 구조
US20030093174A1 (en) * 2002-06-12 2003-05-15 Serge Nikulin Fabrication process control system emulator
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US6895981B2 (en) * 2002-07-19 2005-05-24 Semitool, Inc. Cross flow processor
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
JP3865703B2 (ja) * 2002-10-25 2007-01-10 ファナック株式会社 物品搬送システム及び搬送方法
US7289734B2 (en) * 2002-12-24 2007-10-30 Tropic Networks Inc. Method and system for multi-level power management in an optical network
JP4124449B2 (ja) * 2003-03-28 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
WO2004109748A2 (en) * 2003-06-05 2004-12-16 Palbam Class Ltd. Supporting shelf for front opening unified pod
US20050077182A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Volume measurement apparatus and method
US7347329B2 (en) * 2003-10-24 2008-03-25 Entegris, Inc. Substrate carrier
JP2007537606A (ja) * 2004-05-14 2007-12-20 ザ・ビーオーシー・グループ・インコーポレーテッド 低圧環境で物品を処理するための装置及び方法
US7455750B2 (en) * 2004-06-25 2008-11-25 E.I. Du Pont De Nemours And Company Meta- and para-aramid pulp and processes of making same
JP4509669B2 (ja) * 2004-06-29 2010-07-21 東京エレクトロン株式会社 載置機構及び被処理体の搬出方法
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20060201541A1 (en) * 2005-03-11 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Cleaning-drying apparatus and cleaning-drying method
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
SG194412A1 (en) * 2006-11-22 2013-11-29 Rokko Ventures Pte Ltd An improved ball mounting apparatus and method
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US7602562B2 (en) 2007-05-21 2009-10-13 Electro Scientific Industries, Inc. Fluid counterbalance for a laser lens used to scribe an electronic component substrate
KR101181560B1 (ko) * 2008-09-12 2012-09-10 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그것에 사용되는 기판반송장치
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
EP2433299B1 (en) * 2009-05-18 2022-10-26 Brooks Automation US, LLC Substrate container storage system
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
DE102010035231B4 (de) * 2010-08-24 2013-05-16 Hänel & Co. Lagerregalsystem zur Lagerung von Lagergut
US20120199065A1 (en) * 2011-02-04 2012-08-09 Stion Corporation Multi-Module System for Processing Thin Film Photovoltaic Devices
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6366515B2 (ja) * 2015-01-23 2018-08-01 東京エレクトロン株式会社 連結構造及びこれを用いた磁気アニール装置、並びに連結方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11183401B2 (en) * 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107487626B (zh) * 2017-08-11 2019-06-21 京东方科技集团股份有限公司 掩膜板的存储机构、搬运方法及搬运系统
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
NO344988B1 (en) * 2018-11-05 2020-08-10 Autostore Tech As A station for providing access to contents in a storage container
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN109625929A (zh) * 2018-12-10 2019-04-16 苏州市天烨医疗设备有限公司 一种水模自动送料机
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
WO2020209844A1 (en) * 2019-04-09 2020-10-15 John Bean Technologies Corporation Spiral conveyor drum bar
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN110993550B (zh) * 2019-12-25 2022-12-09 北京北方华创微电子装备有限公司 半导体热处理设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113894106B (zh) * 2021-10-09 2023-01-13 杭州中欣晶圆半导体股份有限公司 一种洗净机自动上下料系统及操作方法
CN115180349A (zh) * 2022-09-13 2022-10-14 江苏长易电气有限公司 一种大电流平面变压器转运组装设备

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0047132B1 (en) 1980-09-02 1985-07-03 Heraeus Quarzschmelze Gmbh Method of and apparatus for transferring semiconductor wafers between carrier members
US4568234A (en) 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US4886412A (en) 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
JPS63219134A (ja) 1987-03-09 1988-09-12 Mitsubishi Electric Corp 拡散炉ウエハ・ハンドラ装置
US5431421A (en) 1988-05-25 1995-07-11 Semitool, Inc. Semiconductor processor wafer holder
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JPH05146984A (ja) 1991-07-08 1993-06-15 Murata Mach Ltd ウエハカセツト用ハンドリングロボツト
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5451131A (en) * 1992-06-19 1995-09-19 International Business Machines Corporation Dockable interface airlock between process enclosure and interprocess transfer container
US5378145A (en) 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
DE69205573T2 (de) * 1992-08-04 1996-06-13 Ibm Fertigungsstrasse Architektur mit vollautomatisierten und rechnergesteuerten Fördereinrichtungen geeignet für abdichtbaren tragbaren unter Druck stehenden Behältern.
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5784797A (en) 1994-04-28 1998-07-28 Semitool, Inc. Carrierless centrifugal semiconductor processing system
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
AU2368495A (en) 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
AU2429395A (en) 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing systems
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5674123A (en) * 1995-07-18 1997-10-07 Semifab Docking and environmental purging system for integrated circuit wafer transport assemblies
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104909101A (zh) * 2014-03-11 2015-09-16 株式会社大福 容器运送设备

Also Published As

Publication number Publication date
KR20010033316A (ko) 2001-04-25
US6273110B1 (en) 2001-08-14
TW446993B (en) 2001-07-21
US6447232B1 (en) 2002-09-10
KR100530547B1 (ko) 2005-11-23
CN1284041A (zh) 2001-02-14
EP1062172A4 (en) 2009-05-13
US20030002961A1 (en) 2003-01-02
EP1062172A1 (en) 2000-12-27
WO1999032381A1 (en) 1999-07-01
JP2001526470A (ja) 2001-12-18
US6652219B2 (en) 2003-11-25

Similar Documents

Publication Publication Date Title
CN1088679C (zh) 半导体晶片放入/取出处理系统
KR940002914B1 (ko) 반도체 제조장치
US6279724B1 (en) Automated semiconductor processing system
US5660517A (en) Semiconductor processing system with wafer container docking and loading station
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
JP2004524673A (ja) 自動処理システム
US6799932B2 (en) Semiconductor wafer processing apparatus
US6960257B2 (en) Semiconductor processing system with wafer container docking and loading station
US5836736A (en) Semiconductor processing system with wafer container docking and loading station
KR20020064918A (ko) 웨이퍼 이송 시스템
CN101459100B (zh) 紧凑式晶圆自动传输装置
US6736148B2 (en) Automated semiconductor processing system
US6599075B2 (en) Semiconductor wafer processing apparatus
CN206711879U (zh) 全封闭式smif系统
KR100271778B1 (ko) 반도체장치 생산라인의 카세트 이송장치
CN201345357Y (zh) 旋转式晶圆自动传输装置
KR20010074681A (ko) 자동 반도체 가공 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee