KR20010033316A - 반도체 웨이퍼 입출력 취급 시스템 - Google Patents

반도체 웨이퍼 입출력 취급 시스템 Download PDF

Info

Publication number
KR20010033316A
KR20010033316A KR1020007006763A KR20007006763A KR20010033316A KR 20010033316 A KR20010033316 A KR 20010033316A KR 1020007006763 A KR1020007006763 A KR 1020007006763A KR 20007006763 A KR20007006763 A KR 20007006763A KR 20010033316 A KR20010033316 A KR 20010033316A
Authority
KR
South Korea
Prior art keywords
product
container
processing apparatus
boundary
wafer
Prior art date
Application number
KR1020007006763A
Other languages
English (en)
Other versions
KR100530547B1 (ko
Inventor
데이비스제프리에이.
도울체크커트엘.
커티스개리엘.
Original Assignee
세미툴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세미툴 인코포레이티드 filed Critical 세미툴 인코포레이티드
Publication of KR20010033316A publication Critical patent/KR20010033316A/ko
Application granted granted Critical
Publication of KR100530547B1 publication Critical patent/KR100530547B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67313Horizontal boat type carrier whereby the substrates are vertically supported, e.g. comprising rod-shaped elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67326Horizontal carrier comprising wall type elements whereby the substrates are vertically supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

본 발명은 반도체 웨이퍼(50) 등의 제품을 가공하는 가공 장치(10)에 관한 것으로, 가공 장치(10)는 둘러싸인 청정 가공 챔버(20)를 형성하는 수납부(12)와, 가공 챔버 내에 배치된 적어도 하나의 가공 스테이션을 포함한다. 경계부(14)는 가공될 제품을 담고 있는 포드(51)가 가공 장치로 로딩되거나 가공 장치로부터 언로딩되게 하는 적어도 하나의 경계 포트를 구비한다. 경계부는 매우 청정한 가공 챔버만큼 청정하지 않기 때문에 가공 챔버로부터 청정 상태가 분리된다. 포드와 함께 밀봉하도록 된 제품 추출 기구가 채용된다. 기구는 제품을 경계부에서 대기 분위기 조건에 노출시킴이 없이 포드 내에 담긴 제품을 가공 챔버 내로 추출할 수 있도록 배치된다. 또한, 제품 가공 장치는 경계부 내에 배치된 포드와 함께 밀봉하도록 된 제품 삽입 기구를 포함하는 것이 바람직하다.

Description

반도체 웨이퍼 입출력 취급 시스템{Semiconductor wafer input/output handling system}
관련 출원에 대한 교차 참조
본 출원은 1996년 3월 26일자로 출원된 미국 특허 출원 제622,349호의 일부 연속 출원인 1996년 7월 15일자 출원되어 미국 특허 제5,664,337호로 허여된 미국 특허 출원 제680,463호의 연속 출원인 미국 특허 출원 제08/851,480호(대리인 정리 번호 SE10-0121)의 일부 연속 출원으로서, 이들은 본원에서 참고 자료로 인용된다.
연방 후원에 의한 연구 또는 개발에 관한 언급
해당 없음
발명의 배경
본 발명은 예컨대 반도체의 액상 및 기상 가공을 수행하기 위해 사용되는 자동화된 반도체 웨이퍼 가공 장치에 관한 것이다. 이러한 장치는 반도체 웨이퍼, 데이터 디스크, 반도체 기판 및 아주 낮은 오염 수준을 요구하는 유사한 제품에 사용될 수 있다. 특히, 본 발명은 개량된 입출력 웨이퍼 취급 시스템을 갖는 장치에 관한 것이다.
반도체 웨이퍼 등의 가공은 집적 회로, 데이터 디스크 및 가공될 유사한 제품의 양이 대량이기 때문에 경제적 중요성이 커지게 되었다. 최근에는 집적 회로 및 데이터 디스크에 사용되는 특징 요소가 크기면에서 현저히 감소하여, 우수한 집적성 및 성능을 제공하고 있다. 또한, 반도체 웨이퍼의 직경은 시간이 갈수록 증가하고 있으며, 각각 가공된 웨이퍼에 비해 큰 규모의 경제성을 제공하고 있다.
반도체 웨이퍼를 가공하기 위해 지금까지 사용되었던 장치 및 방법이 가변적인 정도의 성공으로 이루면서 작동되어 왔으나, 이들은 가공 작업 중에 발생될 수 있는 오염 또는 입자 부가에 관한 문제점을 종종 겪어왔다. 반도체 장치 상에 형성된 개별 요소의 특징 및 기하학적 형상이 작아지고 집적되고 반도체 웨이퍼의 직경이 증가함에 따라, 오염 및 파손에 대한 더욱 엄밀한 제어가 중요하게 되었다.
반도체 제조에서 입자에 의한 오염이 꾸준히 가장 중요한 쟁점이 되고 있다. 모든 형태의 반도체 가공 장치에 있어서, 오염 입자들이 가공 장치 수납부 내로 유입하는 것을 방지하는 것이 극히 중요하다. 이러한 입자들은 웨이퍼 상으로 전사되는 화상의 저하를 일으킴으로써 가공될 웨이퍼 상으로 집적 회로 레이아웃(layout)을 전사하는 데 사용되는 사진 공정(photographic process)에 영향을 미칠 수 있다. 오염 입자들은 제조될 소자의 특성 변경을 초래한다.
오염 입자들의 최대 공급원 중의 하나는 반도체 가공 장치를 둘러싸는 공기 내에 보유되어 존재하는 대기의 먼지이다. 대기 오염량을 감소시키기 위해, 반도체 집적 회로 제조업자는 아주 작은 양의 대기 먼지를 갖는 작업 영역을 제공하기 위한 엄밀한 대책을 수행하였다. 이들 영역들은 "청정실"(clean room)이라고 불린다. 이러한 작업 영역을 형성하여 작업하는 데 아주 많은 비용이 필요하다. 따라서, 특정 소자를 제조하는 데 사용되는 청정실의 개수 및 크기를 제한하는 것이 바람직하게 된다.
전통적인 반도체 가공 장치와 관련된 다른 문제점은 산, 부식제, 용제 및 다른 가공 유체와 같은 독성 및 부식성 유체가 제조 공정에 사용된다는 사실에 관한 것이다. 이러한 가공 유체는 작업자 및 반도체 가공 장치 수납부 외부의 재료에 부식 및 다른 해로운 영향이 가해지는 것을 방지하기 위해 제어된 가공 챔버 내에 저장되어야 한다. 액상 및 기상 가공 유체 모두가 아주 중요하며, 이들 모두는 가공 챔버로의 배출 및 부식되기 쉬운 기계 부품과의 접촉이 방지되어야 한다. 따라서, 제조 중에 가공 챔버 내부의 가공 유체를 충분히 밀봉하여 배출 및 손상의 발생을 방지하는 반도체 가공 장비에 대한 필요성이 존재하여 왔다.
본원의 발명자들은 상기의 문제점을 인식하여 본원에 서술된 장치로써 상기 문제점에 대한 해결책을 찾아냈다. 본 장치는 자동화된 반도체 공정 장비에서 일괄 웨이퍼 취급(batch wafer handling)용의 개량된 시스템을 제공한다. 또한, 본 장치는 표준 웨이퍼 용기 또는 포드(pod)의 사용을 가능하게 하는 가공 시스템을 제공한다. 더욱이, 본 장치는 장착 작업 중의 공기 침투가 최소화됨과 동시에 웨이퍼의 자동화된 연속 가공을 가능하게 하는 다중 웨이퍼 용기 장착용 가공 시스템을 제공한다.
본 장치의 추가 특징에 의하면, 이 장치는 오염 입자들이 가공 챔버 내로 유입되는 것을 방지하고 가공 유체 및 증기들이 이 챔버로부터 배출되는 것을 방지하는 유밀 밀봉부를 제공하는 개량된 도어 작동 및 밀봉 조립체를 구비한다.
발명의 요약
사실상 청정한 대기 내에서 반도체 웨이퍼와 같은 제품을 가공하기 위한 가공 장치가 설명될 것이다. 가공 장치는 둘러싸인 청정 가공 챔버를 형성하는 수납부와, 가공 챔버 내에 배치된 적어도 하나의 가공 스테이션을 구비한다. 경계부는 수납부의 경계 단부에 인접하게 배치된다. 경계 부분은 가공될 제품을 담고 있는 포드가 가공 장치로 로딩되거나 가공 장치로부터 언로딩되는 적어도 하나의 경계 포트를 구비한다. 경계부는 매우 청정한 가공 챔버만큼 청정하지 못하기 때문에 가공 챔버로부터 청정 상태가 분리된다. 포드를 밀봉하도록 설계된 제품 추출 기구가 사용된다. 제품 추출 기구는 제품을 경계부에서 주위의 대기 조건으로 노출시킴이 없이 포드 내에 담긴 제품을 가공 챔버 내로 추출하는 것을 가능하도록 배치된다. 또한, 제품 가공 장치는 경계부 내에 배치된 포드를 밀봉하도록 된 제품 삽입 기구를 포함하는 것이 바람직하다. 제품 삽입 기구는 적어도 하나의 가공 스테이션에 의한 가공 이후에 제품의 포드 내로의 삽입을 가능하게 하도록 배치된다. 제품 삽입 기구는 제품을 경계부 내에서 주위의 대기 조건으로 노출시킴이 없이 제품을 삽입할 수 있게 한다.
도면의 간단한 설명
도1a는 가공부의 일반 구성 요소와 입출력부의 다양한 스테이션을 도시하는, 본 발명의 일 실시예에 의한 반도체 가공 시스템의 사시도이다.
도1b는 일부 주요 구성 요소를 잘 도시하기 위해 일부가 파단된, 본 발명에 의한 반도체 가공 시스템의 전방 사시도이다.
도1c는 반도체 가공 시스템을 통과하는 반도체 웨이퍼의 흐름을 도시하는, 도1a의 반도체 가공 시스템의 평면도이다.
도2는 특정 구성 요소를 보다 잘 도시하기 위해 일 부분이 제거된, 반도체 가공 시스템의 후방 사시도이다.
도3은 도1에 도시된 가공 시스템의 입출력 부조립체의 사시도이다.
도4는 입출력 부조립체에 사용되는 로딩판 사시도이다.
도5a는 입출력 부조립체의 로딩판 및 승강판(elevator plate)과 관련된 웨이퍼 용기의 사시도이다.
도5b는 승강판으로부터 로딩판으로의 웨이퍼 용기의 전달을 도시하는 평면도이다.
도6은 도1에 도시된 가공 시스템의 일부를 형성하는 바람직한 반도체 적재 부조립체의 사시도이다.
도7은 도1에 도시된 가공 시스템의 도킹 조립체의 일부를 형성하는 용기 전달부의 사시도이다.
도8은 도1에 도시된 가공 시스템의 도킹 부조립체의 일부를 형성하는 해치 경계부의 사시도이다.
도9a는 도1에 도시된 가공 시스템의 전달 부조립체의 일부를 형성하는 웨이퍼 전달 코움의 전방 사시도이다.
도9b는 도9a에 도시된 웨이퍼 전달 코움의 후방 사시도이다.
도10은 도9a 및 도9b에 도시된 웨이퍼 코움용 컨베이어의 전방 사시도이다.
도11a는 도1에 도시된 가공 시스템의 일부분을 형성하는 웨이퍼 번칭 코움(wafer bunching comb)의 사시도이다.
도11b는 도11a의 코움의 홈을 도시하는 단면도이다.
도12는 도1에 도시된 가공 시스템의 일부를 형성하고 신규한 도어 작동 및 밀봉 기구를 사용하는 반도체 가공 장치의 사시도이다.
도13은 가공 장치 도어 작동 조립체의 사시도이다.
도14는 개방 위치에 있는 가공 장치 도어의 측단면도이다.
도15는 폐쇄 위치에 있는 가공 장치 도어의 측단면도이다.
발명의 상세한 설명
도1a, 도1b 및 도2는 가공 시스템(10)의 벽을 형성하는 기본 프레임(12)을 포함하는 가공 시스템(10)을 대체로 도시하고 있다. 일반적으로, 가공 시스템(10)은 2개의 주요부, 즉 반도체 제품들을 수용 및 적재하는 경계부(14)와, 예를 들어 액상 및/또는 기상 가공 절차를 사용하여 반도체 제품을 가공하는 하나 이상의 가공 스테이션(3)을 포함하는 가공부(16)로 분할된다.
도시된 바와 같이, 경계부(14)는 바람직하게는 다수의 스테이션으로 분리된다. 개시된 실시예에서, 경계부(14)는 용기 접근 스테이션(5), 적재 스테이션(6), 용기 도킹 스테이션(7), 및 웨이퍼 전달 스테이션(8)으로 구성된다. 작동시, 웨이퍼 용기(51)는 용기 접근 스테이션(5)에서 도어(32)를 통해 가공 시스템(10)으로 삽입된다. 다음, 각각의 용기(51)는 용기가 용기 도킹 스테이션(7)의 구성 요소에 의해 접근되는 시간까지 적재 스테이션(6)에 보관된다. 벽(11)은 스테이션(5, 6, 7)들과 웨이퍼 전달 스테이션(8)을 효율적으로 분리시킨다. 이를 위해, 벽(11)에는 하나 이상의 도어가 구비되는데, 이 도어에 대해 각각의 용기(51)가 밀봉할 수도 있고 또한 용기(51)의 외면에 의한 오염 없이 용기(51) 내에 담긴 웨이퍼의 직접적인 접근을 허용할 수도 있다. 이와 같이, 소정의 용기(51) 내의 웨이퍼는 잠재적인 오염 환경에 노출되지 않고 가공 시스템(10)의 가공부(16)로 삽입될 수도 있다.
도1a 및 도1b를 참조하면, 프레임(12)은 가공 시스템 구성 요소들을 실질적으로 둘러싸서 작업 공간(20)을 형성하는 수납부를 형성하도록 구성되어 있다. 반도체 웨이퍼와 같은 반도체 소자는 작업 공간(20) 내에서 먼지와 오염물로부터 비교적 보호되면서 유지되고 이동된다. 작업 공간(20)에는 퍼지 가스가 공급될 수 있으며, 그리고/또는 작업 공간(20)은 대기압이나 대기압에서 약간 상승된 압력에서 작동될 수 있다.
가공 시스템(10)의 상부 부분은 주변 환경에 대하여 밀봉되며, 가공 영역(20)으로 들어가기 전에 대기를 필수적으로 여과하기 위해 경계부(14) 위에 중간 필터가 구비되고 가공부(16) 위에 가공 필터가 구비될 수도 있다. 이러한 필터부들은 바람직하게는 HEPA형 한외 여과 필터를 사용한다. 팬 등의 공기 이동 장비는 공기가 필터를 통해서 하방으로 작업 공간(20)으로 이동하도록 한다.
가공 시스템(10)은 또한 프레임(12)의 일부분에 의해 작업 공간(20)으로부터 분리되는 가공 스테이션 정비부와 기기 설치 및 제어부를 구비한다. 이들 부분들은 가공 스테이션과 관련된 여러 장비의 구성 요소의 존재 및 작동으로 인해 오염 수준이 높을 가능성이 있으므로, 이들 부분들을 작업 공간(20)으로부터 분리시키는 것이 바람직하다. 가공 시스템(10)은 바람직하게는 가공 장치(15)의 전방으로 청정실이 접근되고 작업 공간(20)의 후방의 정비부와 기기 설치 및 제어부로 그레이 룸이 접근되면서 웨이퍼 조립 시설 내에 용이하게 장착된다. 이러한 그레이 룸은 청정실보다 오염에 대하여 예방할 필요가 거의 없으며, 그 자체로 조립 및 정비하기에 비용이 적게 든다. 그래서, 전술한 형상은 정비를 보다 전형적으로 필요로 하는 가공 시스템(10)의 일부분에 대하여 용이한 접근을 허용하면서 공장 설비 비용을 감소시킨다.
전방 제어 패널(22)은 경계부(14)에 근접하게 배치되며 작업자의 제어를 허용한다. 제어 패널(22)은 바람직하게는 접촉 스크린 브라운관 제어 디스플레이이므로 손가락이 디스플레이 스크린에 접촉함으로써 다양한 제어 기능을 수행한다. 2차 제어 패널은 제어부 내에 포함될 수도 있으며 작업이 기계의 전후방으로부터 수행될 수 있도록 하기 위해 그레이 룸으로부터 접근될 수도 있다. 사용자가 프로그래밍 가능한 모든 제어 기능과 선택 사항들은 사용자에 의해 작업을 수행하고 가공 시스템(10)을 설정하기 위해 제어 패널 상에 표시되는 것이 바람직하다.
반도체 웨이퍼(50)는 경계부(14)를 통해 가공 시스템(10)의 둘러싸인 작업 공간(20)으로 공급되고 작업 공간(20)으로부터 제거된다. 웨이퍼는 공업 표준 웨이퍼 용기 또는 포드(51) 내의 경계부에 공급된다. 웨이퍼 용기는 상표명 "CAPSIL"로 웨이퍼 용기를 판매하는 엠팍(Empak)과 같은 여러 제조업자로부터 구입 가능하다.
도5a 및 도5b에 잘 도시된 바와 같이, 웨이퍼 용기(51)는, 제거될 때 반도체 웨이퍼가 웨이퍼 용기 내로 삽입되거나 용기로부터 제거되도록 하는 덮개(52)를 구비한다. 통상, 덮개(52)는 용기(51) 내에서 시각적인 검사와 광학적인 조사를 허용하도록 반투명이다. 웨이퍼 용기(51)는 또한 웨이퍼의 관찰 및 광학적인 조사를 허용하는 창(54)을 포함한다. 창(54)의 대향 측면의 웨이퍼 용기(51)에는 자동화된 장비에 의해 웨이퍼 용기(51)의 조작을 용이하게 하는 특징들이 제공된다. 이러한 특징들은 한 세트의 내부 원형 슬롯(55)들과 한 세트의 외부 원형 슬롯(56)들을 포함한다. 가공 시스템(10)의 개시된 실시예가 이러한 특징들과 상호 작용하는 방식은 이하에 보다 상세하게 설명될 것이다. 웨이퍼 용기(51)들은 밀봉되며 웨이퍼 용기(51)들에는 퍼지 가스가 공급될 수 있다. 용기(51) 내에 위치된 웨이퍼의 수는 변할 수 있지만, 현재 공업 표준 웨이퍼 용기들은 직경이 300 mm인 13개 내지 25개의 웨이퍼에 대한 용량을 통상 갖는다.
개시된 실시예에서, 경계부(14)는 가공될 웨이퍼를 수용하는 입력 부조립체 및 가공된 웨이퍼를 회수하는 출력 부조립체로서 모두 기능한다. 또한, 경계부(14)는 가공되지 않은 웨이퍼와 가공된 웨이퍼 모두에 대하여 유지 또는 적재 성능을 제공할 수 있다. 경계부(14)는 웨이퍼 용기가 가공 시스템(10)으로 로딩되고 가공 시스템(10)으로부터 언로딩되도록 하는 입력/출력 부조립체(30)를 포함한다. 부조립체(30)는 시스템(10)의 개시된 실시예의 스테이션(5) 내에 배치된다.
입력/출력 부조립체는 유입구 도어(32)에 의해 제어 가능하게 개폐되는 유입구를 포함한다. 유입구 도어(32)는 공기 실린더(33) 등에 의해 공압식으로 동력이 부여되므로 안내 트랙(34) 상에서 상하방으로 미끄러져서 유입구를 개폐시킨다. 입력/출력 부조립체(30) 내의 구성 요소들은 유입구 도어(32)가 폐쇄될 때까지 이동하지 않도록 작동되는 것이 바람직하므로, 유입구 도어가 작업자에 대하여 안전 기구로서 기능하게 한다.
유입구 도어(32)가 개방되면, 하나 이상의 웨이퍼 용기(51)는 용기 접근 스테이션(5) 내의 로딩 승강 장치(40) 상에 로딩될 수도 있다. 로딩 승강 장치는 웨이퍼 용기(51)를 수용하기에 적합한 용기 승강판(42)을 포함한다. 개시된 실시예에서, 용기 승강판(42)은 승강판 상에 정렬되도록 장착되는 연결핀(44)들을 구비하며, 공업 표준 웨이퍼 용기(51) 상에 제공된 각각의 내부 슬롯(55, 도5b) 내에 수용될 수 있다. 도시된 바와 같이, 연결핀(44)들 사이의 승강판(42)의 일부분은 절개되어 거의 파이 형상의 절개부(45)를 형성한다. 이 절개부(45)는 웨이퍼 용기(51)가 로딩판(60)으로 이동되도록 하며, 이에 대해서는 이하에 보다 상세하게 설명될 것이다. 웨이퍼 용기 내부 슬롯(55)이 승강판(42)의 연결핀(44) 상에 위치되는 것을 돕기 위해, 승강판(42)의 상부 모서리에는 적어도 하나의 안내 블록(46)이 구비된다. 승강판(42)은 공압 실린더(48)의 작동에 의해 안내 트랙(47) 상에서 운반되며, 높은 위치로 상승되고 유입구 도어(32)에 인접한 로딩/언로딩 위치로 하강된다.
로딩 승강 장치(40)는 웨이퍼 용기가 가공 시스템 내로 여러 가지 방식으로 로딩되도록 한다. 승강판(42)이 유입구 도어(32)에 인접한 하부 위치에 있으면, 웨이퍼 용기(51)는 사람에 의한 로딩 또는 자동화 로봇에 의한 로딩에 의해 승강판 상에 측방향으로 로딩될 수 있다. 승강판(42)이 높은 위치로 상승되면, 로딩은 높은 운반 시스템의 사용에 의하여 스테이션(5)의 정상부를 통해 배치된 접근 도어를 통해 수직으로 이루어질 수 있다.
높은 위치에 있는 용기 승강판(42)에 의해, 웨이퍼 용기(51)는 입력/출력 부조립체 내의 로딩판(60)으로 로딩될 수 있다. 도4에 도시된 바와 같이, 로딩판(60)에는 연결핀(62)들이 제공되는데, 로딩판 상의 연결핀(62)들은 웨이퍼 용기 상의 외부 슬롯(56, 도5b)과 정렬되고 외부 슬롯(56)에 의해 수용되기에 적합하다는 점을 제외하고는 승강판(42)의 연결핀(44)들과 유사하다. 로딩판(42)은 또한 웨이퍼 용기를 로딩판에 위치시키는 것을 돕기 위해 적어도 하나의 장착 블록(64)을 포함한다. 선단 모서리(65)에서, 로딩판은 승강판의 절개부(45)보다 약간 작거나 절개부(45)에 상보적인 파이 형상의 돌출부(66)를 구비한다. 도5b에 잘 도시된 바와 같이, 절개부(45)는 이하에 보다 상세하게 설명된 바와 같이 웨이퍼 용기를 승강판(42)으로부터 로딩판으로 이동시키기 위하여 로딩판(60)이 승강판(42)을 통해 이동하도록 하며, 이의 반대도 또한 같다.
로딩판(60)은 유입구 도어(32)에 대하여 화살표(71) 방향으로의 수평 이동을 위해 안내 트랙(70) 상에 장착된다. 수평 구동 기구는, 다른 구동 장치가 사용될 수도 있지만, 바람직하게는 모터 구동 장치 및 벨트 조립체(72)로 구성된다. 제2 장착 및 구동 장치(75)는 로딩판(60)을 장착하며 다양한 장착 장치와 로딩판을 수직축에 대하여 수직으로 그리고 회전되게 이동시키는 구동 장치를 포함한다. 장착 및 구동 장치(75)는 장착판 장치를 통해 로딩판(60)에 연결된 구동 아암(78)을 포함한다. 구동 아암(78)은 바람직하게는 로딩판(60)을 승강시키기 위해 모터 및 리드 스크루 구동 장치(80)에 의해 수직으로 구동된다. 모터 및 하모닉 구동 조립체(82)는 수직축(83)에 대하여 회전 구동을 제공하기 위해 로딩판(60)에 연결된다. 모터 및 하모닉 구동 조립체(82)는 로딩판의 선단 모서리(65)가 유입구 도어(32)에 대면하는 위치로부터 선단 모서리(65)가 용기 적재 부조립체(90, 도1b 참조)에 대면하는 위치로 로딩판을 90°회전시킨다. 각각의 구동 기구는 바람직하게는 로딩판(60)의 위치 결정을 제어하기 위한 점증적인 엔코더와 로딩판(60)의 상대적인 수평, 수직 및 회전 위치를 결정하기 위한 절대적인 엔코더를 포함한다. 구동 기구는 웨이퍼 용기(51)들이 용기 적재 부조립체(90)로 이동되고 용기 적재 부조립체(90)로부터 이동되도록 하기 위해 용기 적재 부조립체(90, 도1b에 도시됨)에 로딩판(60)을 위치시키는 작동을 공동으로 한다.
로딩판(60)은 용기 접근 스테이션(5)의 입력 및 출력 작업을 모두 용이하게 하기 위하여 유입구를 통해 작업자로부터 웨이퍼 용기(51)를 수용하거나 승강판(42) 상에 설치되어 일시적으로 보관된 웨이퍼 용기를 수용할 수 있다. 웨이퍼 용기를 승강판(42)으로부터 로딩판(60)으로 이동시키기 위해, 승강판(42)은 로딩/언로딩 위치로 하강되며, 로딩판(60)은 그 선단 모서리(65)가 유입구 도어(32)에 대면하도록 회전된다. 이 위치에서, 로딩판(60)은 승강판(42)의 아래에 있다. 다음, 로딩판(60)은 로딩판의 파이 형상 돌출부(66)가 승강판(42)의 절개부(45)를 통해 이동하도록 상승될 수도 있다. 도5b에 도시된 바와 같이, 이 작동 중에, 로딩판 상의 연결핀(62)은 웨이퍼 용기(51) 상의 외부 슬롯(56)과 결합되며, 동시에 승강판 상의 연결핀(44)들은 웨이퍼 용기 상의 내부 슬롯(55)으로부터 제거되며, 그래서 웨이퍼 용기(51)가 로딩판(60)으로 완전히 이동된다. 다음, 로딩판(60)은 웨이퍼 용기(51)를 적재 부조립체(90)로 이동시키기 위해 90°회전된다.
도6에 의하면, 적재 조립체(90)는 이로부터 반경 방향으로 연장한 복수개의 아암(94)을 갖는 중심 허브(29)를 구비한다. 본 실시예에 있어서, 다른 개수의 아암이 사용될 수 있지만 아암의 개수는 6개이다. 각 아암의 단부에 장착된 것은 상부 및 하부 선반(92, 98)을 각각 갖는 용기 캐리어(96)이다. 베어링 및 타이밍 샤프트 장치(99)는 각 용기 캐리어(96)를 아암(94)에 장착한다. 베어링 및 타이밍 샤프트 장치(99)는 각 용기 캐리어(96)의 상부 및 하부 선반(97, 98)이 중심 허브(92)에 대한 아암의 상대 위치에 상관 없이 항상 수평하게 있는 것을 보장하도록 타이밍 벨트와 결합될 수도 있다. 각 아암(94)에 장착된 것은 타이밍 시스템에서 임의의 동작을 감소시키도록 기능하는 신장 피벗 조립체(106)이다. 각 용기 캐리어(96)의 상부 및 하부 선반(97, 98)은 결합핀이 표준 웨이퍼 용기(51) 상의 내부 슬롯(55)과 정렬되도록 각 선반 상에 위치되는 결합핀(102)을 구비한다.
12개의 미리 설정된 인덱스 위치를 갖는 인덱서(104) 형태의 구동 장치는 입/출력 부조립체에 인접한 미리 설정된 로딩 위치로부터 이에 180° 대향되는 미리 설정된 용기 변환 위치로 허브(92)의 축 주위로 용기 캐리어(96)와 아암(94)을 회전시킨다. 절대 엔코더(108)는 아암(94)의 상대 위치를 검출하도록 중심 허브(92) 상에 장착된다.
정상 작동에 있어서, 각 선반들은 간섭 없이 웨이퍼를 완전 완성하는 연속 공정을 용이하게 하도록 선반 상에 장착된 (모두 12개의) 웨이퍼 용기를 가질 것이다. 그러나, 적재 부조립체(90)는 시스템 사용자의 일괄 공정에 따른 12개 이하의 웨이퍼 용기(51)를 취급할 수 있다.
예컨대, 광학 센서와 같은 검출기는 프레임(12)과 고정된 관계로 장착될 수 있고 용기가 중심 허브(92)에 대해 회전됨에 따라 웨이퍼 용기 내의 반도체 웨이퍼를 광학적으로 조사할 수 있도록 위치될 수 있다. 바람직하게는, 이러한 검출기는 입/출력 부조립체(30)와 용기 적재 부조립체(90) 사이의 경계부에 인접한 위치와 용기 적재 부조립체(90)와 용기 도킹 부조립체(110) 사이의 경계부에 인접한 위치에 위치된다. 이러한 광학 조사는 웨이퍼들이 시스템에 걸쳐 가공됨에 따라 가공 시스템(110)이 모든 웨이퍼의 트랙을 유지하게 허용한다.
작동 중에, 인덱서(104)는 용기 캐리어(96) 중 하나 상의 선반이 입/출력 부조립체(30)에 인접한 미리 설정된 로딩 위치에 도달할 때까지 아암(94)을 회전시킨다. 그런 후, 웨이퍼 용기(51)는 선반 상에 위치될 때까지 로딩판(60)을 이동시키고 웨이퍼 용기(51)상의 내부 슬롯(55)이 선반 상의 결합핀(102)과 정렬될 때까지 로딩판(60)을 회전시키고 내부 슬롯(55)이 결합핀(102)에 의해 수용되도록 로딩판(60)을 하강시킴으로써 로딩판(60)으로부터 각각의 용기 선반으로 전달될 수도 있다. 그런 후, 로딩판(60)은 선반으로부터 제거되도록 다소 회전되어 철수될 수도 있다. 가공을 위해 적재 부조립체(90)로부터 웨이퍼 용기(51)를 언로딩하기 위해서, 웨이퍼 용기(51)를 보유하는 용기 선반과 아암은 웨이퍼 용기가 용기 도킹 스테이션(6)에 배치된 용기 도킹 부조립체(110)에 의해 접근될 수 있도록 허브에 대해 180° 회전될 수 있다.
도2에 가장 잘 도시된 바와 같이, 용기 도킹 부조립체(110)는 안내 트랙(115)을 따른 직선형 이동을 위한 캐리지(114) 상에 장착된 로봇 아암(112)을 포함하는 로봇 컨베이어(111)를 구비한다. 개시된 실시예의 로봇 아암(112)은 3개의 관절 연결된 세그먼트 - 캐리지(114)에 장착된 하부 또는 제1 세그먼트(116), 타이밍 벨트 장치 등을 통해 제1 세그먼트에 연동된 중간 또는 제2 세그먼트(117) 및 제2 세그먼트에 연동되는 상부 및 제3 세그먼트(118)를 가진다. 이 로봇 아암 구조는 최소한의 공간을 차지하면서 아암이 상향 이동, 하향 이동 및 후향 이동할 수 있게 한다. 제3 세그먼트(118)는 말발굽형 형상을 가지고 로봇 아암이 용기 적재 부조립체(90) 내에서 용기 선반으로부터 웨이퍼 용기(51)를 상승시켜서 분리하게 한다. 제3 세그먼트 상에 위치된 결합핀(122)은 로봇 아암(112)으로의 전달을 완성하도록 웨이퍼 용기(51) 상에서 외부 세트의 슬롯(56)과 접촉하여 이에 수용될 수도 있도록 구성된다.
이 캐리지(114)는 로봇 아암(112)과 함께 용기 전달 부조립체(150)에 인접한 위치로 안내 트랙(115)을 따라 활주한다. 도7에 도시된 바와 같이, 용기 전달 부조립체는 화살표(151) 방향으로의 이동을 위한 직선형 활주부(154) 상에 장착된 도킹판(152)을 구비한다. 결합핀(156)은 웨이퍼 용기(51) 상에서 내부 슬롯(55)과 정렬하도록 도킹판(152) 상에 위치된다. 또한, 도킹판(152)은 웨이퍼 용기(51)의 도어 상에 위치된 홈 등을 래칭하도록 크기가 정해지고 구성된 래치 후크(160)를 수용하기 위한 개구(158)를 구비한다. 래치 후크(160)는 웨이퍼 용기(51)를 래칭하고 래치 해제하도록 래치 후크가 웨이퍼 용기(51)를 향해 및 이로부터 멀리 이동하는 것을 허용하는 공기 활주부 상에 장착될 수도 있다. 롤러 안내부(164)는 웨이퍼 용기와의 래치 후크(160)의 결합 및 접촉을 용이하게 하도록 래치 후크(160) 상에 제공된다. 도킹판(152)에 장착된 오버헤드 프레임(166)은 웨이퍼 용기 내의 웨이퍼의 출현을 검출하기 위한 센서를 내장한다. 광섬유 케이블과 같은 다른 센서는 도킹판 상의 웨이퍼 용기의 출현을 검출하는 데에 사용될 수도 있다.
직선형 활주 장치(154)는 도1b, 도8a 및 도8b에서 도면 부호 180으로 통상 지시되는 해치 경계부에 인접한 위치로 도킹판을 활주시킨다. 해치 경계부(180)는 웨이퍼 전달 스테이션(8)에 배치된다. 이제 도8a 및 도8b에 의하면, 해치 경계부(180)는 웨이퍼 용기(51)의 도어와의 결합을 밀봉하도록 크기가 정해지고 구성된 경계판(184)을 지지하는 해치 덮개(182)를 구비한다. 경계판은 웨이퍼 용기 도어를 사용하여 경계판(184)을 밀봉하는 외주 밀봉부(186)를 지지한다.
진공컵(188)은 웨이퍼 용기 도어와의 진공 밀봉 접촉을 형성하고 도어를 경계판에 고정하도록 경계판의 개구(190)에 끼워진다. 또한, T자형 로킹 키이는 경계판의 개구를 통해 연장하고 웨이퍼 용기 도어의 수용 장치로 삽입된다. 회전식 액츄에이터(194)는 로킹 키이를 회전시켜 웨이퍼 용기 도어가 경계판과 밀봉 결합하도록 로킹된다. 진공컵(188)을 통하는 진공의 손실이 발생하면, T자형 키이는 경계판(184)에 대항하여 용기 도어를 보유하도록 돕는다. 해치 덮개(182)와 경계판(184)은 공압 실린더 조립체(196)에 장착되고, 해치 덮개와 경계판이 웨이퍼 용기에 대해 전방 및 후방으로 그리고 상향 및 하향으로 활주하는 것을 허용하는 실린더 조립체(196a, 196b)로 구성된다.
작동 중에, 용기 도어가 경계판(184)과의 진공 밀봉 관계를 유지하도록 진공컵(188)에 진공이 인가된다. 진공 밀봉은 용기 도어 위에 있을 수도 있는 오염물을 가두고 오염물이 가공부로 진입하는 것을 방지한다. 액츄에이터(194)는 경계판에 대해 용기 도어를 로킹하도록 키이를 회전시킨다. 공압 실린더 조립체(196a)는 경계판(184)과 해치 덮개(182) 후방으로(즉, 용기로부터 멀리) 활주하여, 용기 도어가 경계판과 이동하게 한다. 이는 용기를 개방시키고 가공부의 청정한 환경에 용기 내부를 노출시킨다. 그런 후, 공압 실린더 조립체(196a, 196b)는 웨이퍼 취급 및 가공을 방해하지 않고 도어를 이동시키도록 용기 경계판(184)과 해치 덮개(182)를 용기와 함께 하향으로 그런 후 전방으로 활주시키도록 상호 협동한다.
바람직한 실시예에 있어서, 가공 시스템에는 도2에 도시된 바와 같이 용기 도킹 스테이션(7) 내에 및 이와 근접하게 위치한 2개의 용기 전달 부조립체(150)와 2개의 해치 경계부(180))가 제공된다. 이는 다른 부조립체(150)가 가공된 웨이퍼를 담고 있는 용기(51)를 취급하는 동안 가공되지 않은 웨이퍼를 보유한 웨이퍼 용기(51)를 취급하는 것을 허용하여, 웨이퍼를 효과적으로 취급하게 한다.
웨이퍼는 웨이퍼 전달 스테이션(9)에 배치된 웨이퍼 전달 부조립체(200)에 의해 용기(51)로부터 제거된다. 웨이퍼 전달 부조립체(200)는 캐리지 조립체(230, 도10 참조) 상에 장착된 웨이퍼 코움(202, 도9a 및 도9b 참조)을 구비한다. 이제 도9a 및 도9b에 의하면, 웨이퍼 코움(202)은 외향 연장하는 결합 아암(208)을 갖는 외부 코움 프레임(204)을 포함하고, 각각의 결합 아암은 그 외부 단부에 한세트의 치형부(210)를 운반한다. 외부 코움 프레임의 중간 부분은 중간 세트의 치형부(212)를 또한 운반한다. 상보 형상의 내부 코움 프레임(214)은 그 결합 아암(218)의 각각의 외부 단부 상에 장착된 외부 세트의 치형부(220)와 프레임의 중간 부분 상에 장착된 중간 세트의 치형부(222)를 가진다. 내부 코움 프레임은 외부 코움 프레임 상의 중간 세트의 치형부(212)가 내부 코움 프레임의 개구(224)를 통해 연장하고, 내부 코움 프레임 상의 외부 및 중간 세트의 치형부(220, 222)가 외부 코움 프레임 상의 대응 세트 치형부와 각각 인접하도록 외부 코움 프레임에 인접하게 위치한다.
외부 코움 프레임(204)은 내부 코움 프레임(214)에 대해 수직으로 활주 가능하다. 내부 코움 프레임(214)은 캐리지 조립체(230)와 고정된 위치로 정렬된다. 외부 코움 프레임이 가장 낮은 위치에 있을 때, 외부 코움 프레임 상의 치형부는 "개방" 웨이퍼 코움 위치에서 내부 코움 프레임 상의 치형부와 정렬된다. 이 위치에서, 웨이퍼 코움(202)은 웨이퍼 코움의 치형부가 용기에서 웨이퍼와 끼워질 때까지 개방 웨이퍼 용기 내로 삽입될 수 있다. 웨이퍼는 도9a 및 도9b에 도시된 "폐쇄된" 웨이퍼 코움 위치로 외부 코움 프레임(204)을 다소 상승시킴으로써 웨이퍼 코움 내에 보유된다. 스테핑 모터에 의해 구동되는 리드 스크류와 같은 다양한 기구는 외부 코움 프레임(204)의 상승을 추진하도록 사용될 수 있다. 이 폐쇄 위치에서, 외부 코움 프레임 상의 치형부는 내부 코움 프레임 상의 치형부에 대해 오프셋되어 외부 코움 프레임 치형부 상에서 외팔보형이 되게 한다. 웨이퍼 코움은 웨이퍼가 웨이퍼 코움 내에 위치하고 있는 것을 보장하도록 개별 치형부가 10mm 피치와 같이 수평으로부터 약간의 각으로 하향 경사지도록 설계된다.
웨이퍼 코움 내에 웨이퍼를 적절하게 위치시키는 것을 보장하기 위해서, 웨이퍼 코움에 센서를 제공하는 것이 바람직할 수도 있다. 일실시예에 있어서, 센서(226)는 외부 코움 프레임(204) 상의 중간 세트의 치형부(212)의 각각의 치형부에 제공된다.
웨이퍼 코움(202)을 장착하는 캐리지 조립체(230)는 개방 웨이퍼 용기(51)를 향해 그리고 이로부터 멀리 선형 이동하기 위해 안내 트랙(232) 상에 그 자체가 장착된다. 도2에 가장 잘 도시된 바와 같이, 캐리지 조립체(230)는 웨이퍼 코움을 수평축에 대해 수평 위치로부터 수직 위치로 90° 회전시키기 위해 회전식 모터(236)와 하모닉 구동부(238)와 같은 회전식 구동 조립체를 구비한다. 캐리지 조립체(230)는 웨이퍼 코움이 웨이퍼 번칭 코움(250, 도2 참조)의 형태로 웨이퍼 지지부 상에 웨이퍼를 축적할 수 있도록 웨이퍼 코움을 상승시키기 위한 수직 구동 기구(240)를 또한 구비한다.
도11a 및 도11b를 참조하면, 웨이퍼 번칭 코움(250)은 상부에 일련의 웨이퍼 수용 홈(254)이 제공된 상부면(252)을 구비한다. 각각의 홈은 비교적 좁은 슬롯 구역(258)에 인접해 있는 하방으로 수렴하는 수용 장치 측면(256)들을 갖는다. 슬롯 구역은 실질적으로 평행한 측벽을 갖고, 내부에 수용되는 웨이퍼의 두께보다 약 0 내지 10% 큰 폭을 제공하도록 크기가 결정된다. 수용 장치 측면들은 웨이퍼 표면과의 접촉을 최소화하면서 홈 내부의 웨이퍼의 적절한 삽입을 보장하는 것을 돕는다. 상부면(252)과 그 내부의 슬롯 구역은 웨이퍼의 직경의 세그먼트에 대체로 상응하는 아치형을 형성하도록 치수가 결정된다. 웨이퍼 번칭 코움 내의 홈의 특정 개수는 변화될 수 있다. 전형적으로, 2개의 연결된 웨이퍼가 사용되는 용량에 부합하도록 26 내지 50개의 홈이 있어, 2개의 웨이퍼 용기로부터의 웨이퍼는 한번에 가동될 수 있다. 센서(260)가 홈 내에서 웨이퍼의 적절한 위치 설정을 검출하도록 상부면(252) 상에 제공된다.
웨이퍼 번칭 코움(250)은 웨이퍼 코움의 수직 구동 조립체(240, 도10 참조)가 통과하는 것을 허용하는 크기를 갖는 종방향 슬롯(262)을 갖는다. 수직 구동 조립체(240)는 웨이퍼 코움을 웨이퍼 번칭 코움(250)보다 약간 높게 위치될 때까지 상승시킬 수 있고, 수평 구동 조립체는 수직 구동 조립체가 종방향 슬롯 내에 위치되고 웨이퍼가 웨이퍼 번칭 코움(250) 내의 홈과 정렬될 때까지 웨이퍼 코움을 직선형으로 이동시킬 수 있다. 그리고 나서, 웨이퍼는 제어식으로 웨이퍼 번칭 코움 내의 홈 내부로 하강될 수 있다.
일단, 웨이퍼가 웨이퍼 번칭 코움(250) 내의 제위치에 있게 되면, 도킹 조립체 단차부들은 용기 도어가 웨이퍼 용기 상에서 교체될 수 있고, 그리고 나서 웨이퍼 용기가 경계판으로부터 후퇴되어 적재 부조립체의 웨이퍼 용기 선반 상으로 복귀되어 위치되도록 역전될 수 있다. 그리고 나서, 전체 도킹 및 웨이퍼 전달 공정은 2개의 웨이퍼 용기로부터의 웨이퍼가 웨이퍼 번칭 코움(250) 상에 위치되도록 반복될 수 있다.
도1b, 도1c 및 도2는 가공 시스템 내에서 웨이퍼를 전달하기 위해, 특히 번칭 코움(250)과 가공 스테이션(290)과 같은 하나 이상의 가공 스테이션 사이와, 이들에 대해, 그리고 이들로부터 웨이퍼를 전달하기 위한 로봇식 컨베이어(280)를 도시한다. 로봇식 컨베이어(280)는 장착 비임 또는 선로(282)를 포함하고, 가동 컨베이어 로봇 부조립체(284)가 선로 상에 장착되어 선로에 대해 이동한다.
로봇식 장치는 다양하게 설계될 수 있다. 하나의 설계에서, 로봇식 컨베이어는 상부 아암부(285), 하부 아암부(286) 및 핸드부(287)를 구비한 인조 아암을 포함한다. 반도체 웨이퍼와 결합하고 이들을 각종 개개 또는 복수개의 작업 스테이션으로 전달하기 위한 결합 공구(288)가 핸드부에 장착된다. 적절한 컨베이어 장치 및 가공 시스템의 다른 태양의 보다 상세한 설명이 1996년 8월 13일자로 허여된 미국 특허 제5,544,421호, 1997년 8월 26일자로 허여된 미국 특허 제5,660,517호 및 1997년 9월 9일자로 허여된 미국 특허 제5,664,337호에 개시되어 있고, 상기 특허들은 본 명세서에 참조로서 합체되어 있다.
도1c는 가공 장치(10)에 의해 가공되는 반도체 웨이퍼의 흐름을 도시한다. 도시된 바와 같이, 포드(51)는 먼저 삽입 스테이션(5)에 위치된다. 삽입 스테이션(5)의 구성 부품들은 포드(51)를 회전시키고 적재 스테이션(6)의 적재 부조립체(90) 상에 포드를 위치시키고, 여기서 구성 부품들이 내부에 포함된 웨이퍼를 가공되는 시간까지 보유한다. 포드(51)의 웨이퍼가 가공될 때, 각각의 포드(51)는 하나의 도킹 부조립체(150)에 의해 적재 부조립체(90)로부터 제거된다. 도킹 부조립체(150)는 웨이퍼가 경계 구역의 외기 상태에 노출되지 않고 포드로부터 제거되는 해치(hatch) 경계로 포드를 수송한다. 웨이퍼는 작업 공간 영역(20)의 청정 환경에만 노출된다. 적출 후, 웨이퍼는 가공을 위해 적절한 가공 스테이션(290)으로 웨이퍼를 수송하는 웨이퍼 컨베이어 시스템(280) 상에 있게 된다. 가공 스테이션으로부터 제거된 후, 웨이퍼는 동일한 또는 상이한 포드로 복귀되고, 포드는 웨이퍼를 계면 구역의 외기 상태에 노출시키지 않고 밀봉된다. 그리고 나서, 각각의 도킹 부조립체(150)는 가공된 웨이퍼를 포함하는 포드를 적재 부조립체로 복귀시키고, 여기서 포드가 내부에 포함된 웨이퍼를 작업 공간(20) 내에서 추가로 가공되는 시간까지, 또는 포드가 예를 들면 제어 패널(22)을 통해 지시되는 작동 장치에 의해 스테이션(5)을 통해 제거될 때까지 저장한다.
가공 시스템의 가공 구역은 다양한 구조가 가능한 적어도 하나, 바람직하게는 복수개의 개개의 가공 스테이션(290)을 포함한다. 적절한 가공 스테이션에 대한 보다 상세한 설명과, 가공 스테이션으로의 웨이퍼의 로딩(설치) 및 언로딩에 대한 보다 특정한 설명은 본 명세서에 참조로서 합체된 1997년 9월 9일자로 허여된 미국 특허 제5,664,337호에 개시되어 있다.
도12 내지 도15를 참조하면, 각각의 가공 스테이션(290)은 가공 보울(bowl)을 부분적으로 수용하는 가공 용기(292)를 포함한다. 가공 용기는 또한 도12에 도시된 폐쇄 위치와 가상선으로 도시된 후퇴 위치 사이에서 이동할 수 있는 가동 도어(512)와 결합한다. 가공 시스템(10)의 개시된 실시예는 가공 용기를 위한 향상된 도어 조립체(500)를 포함한다.
도12 내지 도13을 참조하면, 도어 조립체(500)는 가공 용기의 전방벽(502)과 위치적으로 정렬되어 고정된다. 전방벽(502)에는 본 명세서에서 전방벽의 원형 개구(506)에 의해 형성된 접근 개구가 제공된다(도15에 가장 잘 도시됨). 전방벽(502)이 가공 장치의 잔여 부분에 장착된 때, 개구 외주(506)는 가공 장치 보울(294)의 전방벽 내에 형성된 접근 개구(507, 도15 참조)와 정렬되도록 위치된다.
도어 조립체(500)는 도어(512)와 도어 연장 및 후퇴 작동 장치(514)를 장착하는 도어 지지판(510)을 추가로 포함한다. 도어(512)는 강성판(504) 및 보울(294)에 의해 형성된 가공 챔버의 시각적인 관찰을 허용하는 관찰 창(508)을 포함한다. 개시된 실시예의 도어 연장 및 후퇴 작동 장치(514)는 도어 지지판(510)에 결합된 정지 외부 실린더(516), 및 작동 연장 부분(518)을 포함한다. 작동 연장 부분(518)은 외부 실린더에 대한 제어 가능한 연장 및 후퇴를 위해 외부 실린더(516)의 내측에 동심으로 위치된다. 도어 연장 및 후퇴 작동 장치(514)의 추가적인 특징 및 작동은 이하에서 보다 상세히 설명될 것이다.
도어 지지판(510)은 가공 장치의 보울(294) 내에 포함된 가공 챔버 내부로의 창문(506)을 통한 관찰을 제공하는 관찰 개구(520)를 포함한다. 도어 지지판(510)은 각각의 측면 상에서, 예컨대 종래의 체결구를 사용하는 활주 가능한 안내 브래킷(522)에 체결된다. 각각의 활주 가능한 안내 브래킷(522)은 각각의 공압 밴드 실린더(524)에 활주 가능하게 장착된다. 밴드 실린더(524)는 장착판(528)을 통해 가공 용기의 전방벽(502)에 연결된다. 안내 브래킷(522), 밴드 실린더(524) 및 장착판(528)의 조합은 추가의 안내 또는 지지 블록을 필요로 하지 않는 단순하고 강성인 도어 장착 구조를 제공한다. 안내 브래킷(522)은 도어 조립체가 가공 장치의 보울 내부로 접근을 허용하는 개방 또는 완전히 변위된 위치와 도어 조립체가 접근 개구와 실질적으로 동심으로 정렬되는 폐쇄 위치 사이에서 이동할 수 있도록 실질적으로 직각으로 이동하도록 장착된다. 폐쇄 위치에서, 도어는 접근 개구 내부로 연장될 수 있고, 가공 장치의 보울에 대해 밀봉될 수 있다.
도어 조립체(500)의 단면을 도시하는 도14 내지 도15를 참조하여, 외부 실린더(516)에 대한 연장 부분(518)의 이동이 상세히 설명될 것이다. 이를 위해, 환형 내부 정지 실린더(530)가 환형 플랜지부(532)와 축방향 연장 링부(534)를 갖는다. 환형 플랜지부(532)는 도어 지지판(510)에 대해 외부 측면 상에서 확고하게 장착된다. 장착 지점에서, 환형 플랜지부는 외부 실린더(516)에 의해 대향 측면 상에서 구속된다. 복수개의 체결구들은 장착판(500)에 대해 외부 실린더(516)와 환형 플랜지부(532)를 고정한다.
연장 부분(518)은 내부 실린더 링(530)과 외부 실린더(516) 사이에 동심으로 위치되고, 환형 안내 리셉터클(520)을 한정하는 U자형부(519)를 포함한다. 도14에 도시된 바와 같이, 축방향 연장 링부(534)는 환형 안내 리셉터클(520) 내에 끼워진다. 연장 부분(518)은 또한 환형 피스톤부(540)를 포함한다. 환형 피스톤부(540)는 링부(534)와 외부 실린더(516)에 의해 형성된 환형 피스톤 작동 챔버(542) 내에 배치된다.
피스톤(540)은 피스톤 작동 챔버(542)를 2개의 작동 구획실, 즉 후퇴 챔버 구획실(543) 및 연장 챔버 구획실(544)로 분리한다. 각각의 피스톤 챔버 구획실은 공압 또는 유압 작동유를 보유하도록 되어 있다. 다중 환형 밀봉체(550)는 챔버(543, 544) 내에서 각각의 작동유를 밀봉하도록 피스톤(540)과 연장 부분(518) 둘레에 위치된다.
각각의 작동유 공급 도관은 바람직하게는 각각의 챔버 내에서 작동유의 압력을 증가 또는 감소시키고, 피스톤의 이동을 수행하도록 후퇴 챔버(543) 및 연장 챔버(544)에 제공된다. 도15에 도시된 바와 같이, 유압 작동유가 연장 챔버(544)에 대해 증가된 압력 하에서 공급될 때, 피스톤(540) 상에서 압력차가 생성되고, 이는 연장 부분(518)이 도어 지지판으로부터 멀리 연장하도록 할 것이다. 도15에 도시된 연장 위치로의 연장 부분(518) 및 일체형 피스톤(540)의 이동은 도어를 가공 장치 보울의 전방벽(502) 내에 형성된 접근 개구(506)와 밀봉 결합하도록 이동시켜, 반도체 가공 장치를 폐쇄한다. 환형 도어 밀봉체(551)가 도어(512)의 외주 상에 장착된다. 바람직하게는, 도어 밀봉체는 공지된 기계 가공 기술을 사용하는 테프론으로 형성된다. 도어 밀봉체는 축방향 연장 시라우드부(552)와 환형 설부(554)를 포함한다. 도어가 도15에 도시된 폐쇄 위치에 있을 때, 도어 밀봉체의 시라우드부(552)는 가공 장치의 전방벽 내의 평면 내에 있고, 설부 부분은 가공 장치의 보울의 외측 림에 대항하여 밀봉 결합 상태로 가압하여, 도어와 가공 장치 보울 사이의 밀봉을 달성한다. 도어 밀봉체는 또한, 바람직하게는 도어 밀봉체를 위한 정지부로서 작용하는 플랜지부(555)를 포함한다.
피스톤(540)과 도어 밀봉체(550)의 조합은 높은 신뢰성과 효과적인 도어 폐쇄 및 밀봉 기구를 제공한다. 피스톤의 이동은 연장 부분이 등거리 이동을 보장하도록 외주의 조절을 필요로 하지 않고 항상 등거리로 지지판으로부터 외측으로 도어를 이동하도록 한다. 가공 장치 보울의 외측 림에 대항하여 장착됨으로써, 설부는 효과적인 유체 밀봉과 도어와 가공 장치 상의 어떠한 오정렬에 대해서도 자동적인 보정을 제공한다.
다양한 수정이 본 발명의 기본 기술로부터 벗어나지 않고 전술된 시스템에 대해 만들어질 수 있다. 비록, 본 발명이 하나 이상의 특정 실시예와 관련하여 상당히 상세하게 설명되었지만, 당해 기술 분야의 숙련자들은 본 발명에 대한 변경이 청구의 범위에 기재된 본 발명의 정신과 범위로부터 벗어나지 않고 만들어질 수 있다는 것을 알 것이다.

Claims (49)

  1. 청정 분위기 내에서 제품을 가공하는 가공 장치에 있어서,
    둘러싸인 청정 가공 챔버를 형성하는 수납부와,
    가공 챔버 내에 배치된 적어도 하나의 가공 스테이션과,
    가공될 제품을 담고 있는 포드가 가공 장치로 로딩되거나 가공 장치로부터 언로딩되게 하는 적어도 하나의 경계 포트를 구비하고 가공 챔버로부터 청정 상태가 분리되며 수납부의 경계 단부에 인접 배치된 경계부와,
    포드와 함께 밀봉하도록 되어 있고, 제품을 경계부에서 대기 분위기 조건에 노출시킴이 없이 포드 내에 담긴 제품을 가공 챔버 내로 추출할 수 있도록 배치된 제품 추출 기구
    를 포함하는 것을 특징으로 하는 제품 가공 장치.
  2. 제1항에 있어서, 상기 제품 가공 장치는 경계부에 배치된 포드와 함께 밀봉하도록 된 제품 삽입 기구를 추가로 포함하며, 상기 제품 삽입 기구는 적어도 하나의 가공 스테이션에 의한 가공 이후에 제품이 포드 내로 삽입되게 하도록 배치되고, 상기 제품 삽입 기구는 제품을 경계부에서 대기 분위기 조건에 노출시킴이 없이 제품을 삽입할 수 있게 하는 것을 특징으로 하는 제품 가공 장치.
  3. 제1항에 있어서, 제품 추출 기구는 포드와 함께 자동 밀봉하고 포드 내에 담긴 제품을 자동 추출하는 것을 특징으로 하는 제품 가공 장치.
  4. 제1항에 있어서, 제품 삽입 기구는 포드와 함께 자동 밀봉하고 제품을 포드 내에 자동 삽입하는 것을 특징으로 하는 제품 가공 장치.
  5. 제1항에 있어서, 제품을 제품 추출 기구로부터 적어도 하나의 가공 스테이션으로 운반하는 적어도 하나의 컨베이어를 추가로 포함하는 것을 특징으로 하는 제품 가공 장치.
  6. 제2항에 있어서, 제품을 적어도 하나의 가공 스테이션으로부터 제품 삽입 기구로 운반하는 적어도 하나의 컨베이어를 추가로 포함하는 것을 특징으로 하는 제품 가공 장치.
  7. 제1항에 있어서, 경계부는 경계 포트와 제품 추출 기구 사이의 포드 전달 경로를 따라 배치된 적재 스테이션을 추가로 포함하는 것을 특징으로 하는 제품 가공 장치.
  8. 제1항에 있어서, 제품 추출 기구는 포드의 개방 덮개와 함께 밀봉하고 상기 개방 덮개를 제거하는 자동 해치 기구를 포함하는 것을 특징으로 하는 제품 가공 장치.
  9. 제8항에 있어서, 제품 추출 기구는 포드의 개방 덮개의 제거에 의해 남은 개구를 통해 제품과 결합하여 제거하는 제품 캐리지 조립체를 추가로 포함하는 것을 특징으로 하는 제품 가공 장치.
  10. 제2항에 있어서, 제품 삽입 기구는 포드 상의 개방 덮개와 함께 밀봉하고 상기 개방 덮개를 배치시키는 자동 해치 기구를 포함하는 것을 특징으로 하는 제품 가공 장치.
  11. 제10항에 있어서, 제품 추출 기구는 포드의 개방 덮개의 배치 이전에 존재하는 개구를 통해 제품과 결합하여 삽입하는 제품 캐리지 조립체를 추가로 포함하는 것을 특징으로 하는 제품 가공 장치.
  12. 제1항에 있어서, 제품은 반도체 웨이퍼인 것을 특징으로 하는 제품 가공 장치.
  13. 제12항에 있어서, 적어도 하나의 가공 스테이션은 액상 또는 기상 공정을 사용하여 반도체 웨이퍼 상에 가공 작업을 수행하는 것을 특징으로 하는 제품 가공 장치.
  14. 제품을 가공하는 가공 장치에 있어서,
    둘러싸인 작업 공간을 내부에 제공하는 수납부와,
    제품을 담고 있는 밀봉된 제품 용기가 가공 장치로 로딩되거나 가공 장치로부터 언로딩되게 하는 적어도 하나의 경계 포트를 구비하고 수납부의 경계 단부에 인접 위치된 경계부와,
    복수개의 밀봉된 제품 용기를 보유하도록 경계부 내에 배치된 제품 적재 부조립체와,
    제품을 경계부에서 대기 분위기 조건에 노출시킴이 없이 용기를 개폐하도록 제품 적재 부조립체로부터 밀봉된 제품 용기를 수용하는 용기 도킹 부조립체와,
    제품 용기로부터 제품을 추출하도록 용기 도킹 부조립체에 의해 노출된 개방 단부를 통해 제품 용기와 협동하는 제품 전달 부조립체와,
    적어도 하나의 가공 스테이션과,
    적어도 하나의 가공 스테이션 및 제품 전달 부조립체로 또는 이들로부터 제품을 운반하는 적어도 하나의 컨베이어를 포함하며,
    상기 가공 스테이션은 가공 스테이션에 대하여 제품이 설치 및 제거되도록 작업 공간으로 개방된 접근 개구를 갖는 것을 특징으로 하는 제품 가공 장치.
  15. 제14항에 있어서, 경계부 내에 있고 적어도 하나의 경계 포트에 인접한 입력/출력 부조립체를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  16. 제15항에 있어서, 입력/출력 부조립체는 밀봉된 제품 용기를 수용하는 승강 장치를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  17. 제16항에 있어서, 승강 장치는 수직 안내 트랙과, 안내 트랙 상에서 활주 가능하게 결합된 승강 장치 승강판을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  18. 제15항에 있어서, 입력/출력 부조립체는 밀봉된 제품 용기를 수용하는 로딩판을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  19. 제16항에 있어서, 입력/출력 부조립체는 밀봉된 제품 용기를 수용하는 로딩판을 포함하고, 로딩판 및 승강 장치 승강판에는 로딩판이 승강 장치 승강판을 통해 병진 이동하게 하는 협동부가 제공된 것을 특징으로 하는 반도체 가공 장치.
  20. 제18항에 있어서, 로딩판은 수직축을 중심으로 회전 가능한 것을 특징으로 하는 반도체 가공 장치.
  21. 제14항에 있어서, 제품 적재 부조립체는 밀봉된 웨이퍼 용기를 수용하도록 된 복수개의 용기 선반을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  22. 제21항에 있어서, 용기 선반은 중앙 수평 허브로부터 반경방향으로 연장되는 아암 상에 장착된 것을 특징으로 하는 반도체 가공 장치.
  23. 제14항에 있어서, 제품 적재 부조립체는 중앙 수평 허브로부터 반경방향으로 연장되는 복수개의 아암과, 중앙 수평 허브에 대향하여 각각의 아암 상에 장착된 용기 캐리어와, 밀봉된 웨이퍼 용기를 수용하도록 되어 각각의 용기 캐리어 상에 배치된 적어도 하나의 용기 선반을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  24. 제22항에 있어서, 아암은 중앙 수평 허브를 중심으로 회전 가능한 것을 특징으로 하는 반도체 가공 장치.
  25. 제23항에 있어서, 아암은 중앙 수평 허브를 중심으로 회전 가능한 것을 특징으로 하는 반도체 가공 장치.
  26. 제14항에 있어서, 용기 도킹 부조립체는 밀봉된 제품 용기를 제품 적재 부조립체로부터 수용하는 로봇형 컨베이어를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  27. 제26항에 있어서, 로봇형 컨베이어는 트랙 상에 이동 가능하게 장착된 캐리지를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  28. 제27항에 있어서, 로봇형 컨베이어는 캐리지에 장착된 로봇형 아암인 것을 특징으로 하는 반도체 가공 장치.
  29. 제28항에 있어서, 로봇형 아암은 캐리지 상에 장착된 제1 세그먼트와, 엘보우 조인트에서 피벗 운동되도록 제1 세그먼트에 연결된 제2 세그먼트와, 밀봉된 웨이퍼 용기와 결합되도록 제2 세그먼트에 연결된 제3 세그먼트를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  30. 제26항에 있어서, 용기 도킹 부조립체는 밀봉된 제품 용기가 결합 위치에 있을 때 진공 로크를 형성하는 해치 경계부를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  31. 제30항에 있어서, 해치 경계부는 활주 가능하게 이동되도록 캐리지에 장착된 것을 특징으로 하는 반도체 가공 장치.
  32. 제30항에 있어서, 용기 도킹 조립체는 밀봉된 제품 용기를 로봇형 컨베이어와 해치 경계부 사이에서 작동하도록 전달하는 용기 전달부를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  33. 제32항에 있어서, 용기 전달부는 밀봉된 제품 용기와 결합되도록 된 용기 도킹판을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  34. 제33항에 있어서, 용기 도킹판은 로봇형 컨베이어와 해치 경계부 사이에서 활주 가능하게 이동되도록 캐리지에 장착된 것을 특징으로 하는 반도체 가공 장치.
  35. 제14항에 있어서, 제품 전달 부조립체는 복수개의 반도체 제품과 직접 결합되는 동시에 제품 용기에 대해 이동시키는 결합 아암 제품 지지부를 위에 갖는 결합 아암을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  36. 제15항에 있어서, 결합 아암은 수평축을 중심으로 회전되도록 캐리지에 장착된 것을 특징으로 하는 반도체 가공 장치.
  37. 제35항에 있어서, 제품 전달 부조립체는 결합 아암으로부터 복수개의 반도체 제품을 직접 수용하는 복수개의 슬롯을 갖는 제품 지지부를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  38. 제14항에 있어서, 적어도 하나의 가공 스테이션은 반도체 제품이 설치 및 제거되고 개구 외주부에 의해 적어도 부분적으로 형성된 접근 개구를 갖는 하나 이상의 가공될 제품을 수용하는 가공 수납부와, 가공 수납부에 장착된 도어 안내부와, 외부 실린더와 외부 실린더와 동심으로 정렬된 연장 부분을 구비하고 도어 안내부 상에 장착된 도어 조립체와, 연장부를 연장 및 후퇴 위치로 작동시키는 액추에이터와, 도어 조립체와 접근 개구의 개구 외주부 사이를 밀봉하는 밀봉부를 포함하며, 연장 부분은 제어 가능한 연장 또는 후퇴를 위해 외부 실린더에 대해 축방향으로 이동 가능한 것을 특징으로 하는 반도체 가공 장치.
  39. 반도체 제품을 가공하는 반도체 가공 장치에 있어서,
    접근 개구 외주 립에 의해 적어도 부분적으로 형성된 접근 개구를 갖고 하나 이상의 가공될 제품을 수용하는 가공 수납부와,
    가공 수납부에 장착된 도어 지지 프레임과,
    고정부와 연장부를 구비하고 도어 지지 프레임 상에 장착된 도어 조립체와,
    연장 부분을 연장 및 후퇴 위치로 작동시키는 연장 부분 액추에이터와,
    도어 조립체와 접근 개구의 외주 립 사이를 밀봉하는 밀봉부를 포함하며,
    연장부는 제어 가능한 연장 또는 후퇴를 위해 고정부에 대해 이동 가능한 것을 특징으로 하는 반도체 가공 장치.
  40. 제39항에 있어서, 밀봉부는 접근 개구의 외주 립에 대해 밀봉되는 환형 밀봉면을 갖는 것을 특징으로 하는 반도체 가공 장치.
  41. 제39항에 있어서, 연장 부분 액추에이터는 피스톤을 포함하는 것을 특징으로 하는 반도체 가공 장치.
  42. 제41항에 있어서, 피스톤은 환형 형상이고 연장 부분으로부터 일체로 연장되며 환형 챔버 내에 수용된 것을 특징으로 하는 반도체 가공 장치.
  43. 제39항에 있어서, 도어 지지 프레임은 접근 개구에 대한 도어 조립체의 병진 이동을 가능하게 하도록 구성된 것을 특징으로 하는 반도체 가공 장치.
  44. 제39항에 있어서, 도어 지지 프레임은 접근 개구에 대한 도어 조립체의 병진 이동을 가능하게 하도록 구성되고 적어도 하나의 도어 안내부를 포함하는 것을 특징으로 하는 반도체 가공 장치.
  45. 반도체 제품을 가공하는 반도체 가공 장치에 사용되도록 된 도어 조립체에 있어서,
    외주 립에 의해 적어도 부분적으로 형성된 접근 개구를 갖는 가공 수납부에 연결되도록 된 적어도 하나의 안내부와,
    고정 부재 및 연장 부재를 구비하고 안내부 상에 장착된 도어 조립체와,
    연장 부재를 연장 및 후퇴 위치 사이에서 작동시키도록 도어 조립체에 작동 가능하게 결합된 액추에이터와,
    연장 부재가 연장 위치에 있을 때 도어 조립체와 외주 립 사이를 밀봉하도록 도어 조립체 상에 장착된 밀봉부를 포함하며,
    연장 부재는 고정 부재와 동심 정렬 상태로 위치되고 연장 위치와 후퇴 위치 사이에서 축방향으로 이동 가능한 것을 특징으로 하는 도어 조립체.
  46. 제45항에 있어서, 액추에이터는 피스톤인 것을 특징으로 하는 도어 조립체.
  47. 제46항에 있어서, 피스톤은 연장 부재로부터 일체로 연장되고 환형 챔버 내에 수용된 것을 특징으로 하는 도어 조립체.
  48. 제45항에 있어서, 도어 조립체는 접근 개구와 정렬된 위치와 변위 위치 사이에서 안내부를 따라 이동 가능한 것을 특징으로 하는 도어 조립체.
  49. 제45항에 있어서, 밀봉부는 접근 개구의 외주 립에 대해 밀봉되는 환형 밀봉면을 갖는 것을 특징으로 하는 도어 조립체.
KR10-2000-7006763A 1997-12-19 1998-12-15 반도체 웨이퍼 입출력 취급 시스템 KR100530547B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8/994,737 1997-12-19
US08/994,737 1997-12-19
US08/994,737 US6447232B1 (en) 1994-04-28 1997-12-19 Semiconductor wafer processing apparatus having improved wafer input/output handling system
PCT/US1998/026685 WO1999032381A1 (en) 1997-12-19 1998-12-15 Semiconductor wafer input/output handling system

Publications (2)

Publication Number Publication Date
KR20010033316A true KR20010033316A (ko) 2001-04-25
KR100530547B1 KR100530547B1 (ko) 2005-11-23

Family

ID=25540996

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-7006763A KR100530547B1 (ko) 1997-12-19 1998-12-15 반도체 웨이퍼 입출력 취급 시스템

Country Status (7)

Country Link
US (3) US6447232B1 (ko)
EP (1) EP1062172A4 (ko)
JP (1) JP2001526470A (ko)
KR (1) KR100530547B1 (ko)
CN (1) CN1088679C (ko)
TW (1) TW446993B (ko)
WO (1) WO1999032381A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101157673B1 (ko) * 2004-07-14 2012-06-20 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 지지부의 재배치 방법 및 장치

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US6599075B2 (en) * 1994-04-28 2003-07-29 Semitool, Inc. Semiconductor wafer processing apparatus
US6833035B1 (en) * 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6572320B2 (en) * 1997-05-05 2003-06-03 Semitool, Inc. Robot for handling workpieces in an automated processing system
US6736148B2 (en) * 1997-05-05 2004-05-18 Semitool, Inc. Automated semiconductor processing system
US20020051699A1 (en) * 1997-05-05 2002-05-02 Gordon Nelson Door system for a process chamber
JPH11129184A (ja) * 1997-09-01 1999-05-18 Dainippon Screen Mfg Co Ltd 基板処理装置および基板搬入搬出装置
US6454514B2 (en) 1998-07-08 2002-09-24 Semitool, Inc. Microelectronic workpiece support and apparatus using the support
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6446644B1 (en) * 1999-07-06 2002-09-10 Semitool, Inc. Chemical solutions system for processing semiconductor materials
JP3730810B2 (ja) * 1999-07-09 2006-01-05 東京エレクトロン株式会社 容器の移動装置および方法
KR100403663B1 (ko) * 1999-07-14 2003-10-30 동경 엘렉트론 주식회사 피처리체 수용 박스의 개폐 덮개의 개폐 장치 및피처리체의 처리 시스템
US6811369B2 (en) * 1999-09-02 2004-11-02 Canon Kabushiki Kaisha Semiconductor fabrication apparatus, pod carry apparatus, pod carry method, and semiconductor device production method
JP2002016038A (ja) * 2000-04-11 2002-01-18 Samsung Electronics Co Ltd 半導体ウェハ洗浄装置及びこれを利用した半導体ウェハ洗浄方法
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
EP1332349A4 (en) * 2000-07-07 2008-12-17 Semitool Inc AUTOMATED PROCESSING SYSTEM
US20020153578A1 (en) * 2001-03-01 2002-10-24 Ravinder Aggarwal Wafer buffering system
US6748961B2 (en) * 2001-03-30 2004-06-15 Lam Research Corporation Angular spin, rinse, and dry module and methods for making and implementing the same
US6866460B2 (en) * 2001-07-16 2005-03-15 Semitool, Inc. Apparatus and method for loading of carriers containing semiconductor wafers and other media
US20040025901A1 (en) * 2001-07-16 2004-02-12 Semitool, Inc. Stationary wafer spin/spray processor
TWI233912B (en) * 2001-08-27 2005-06-11 Entegris Inc Modular carrier system for housing semiconductor wafer disks and similar inventory, and method of manufacturing the same
WO2003088351A1 (fr) * 2002-04-12 2003-10-23 Tokyo Electron Limited Structure d'orifice dans un dispositif de traitement de semi-conducteur
US20030093174A1 (en) * 2002-06-12 2003-05-15 Serge Nikulin Fabrication process control system emulator
US6875331B2 (en) * 2002-07-11 2005-04-05 Applied Materials, Inc. Anode isolation by diffusion differentials
US6895981B2 (en) * 2002-07-19 2005-05-24 Semitool, Inc. Cross flow processor
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7223323B2 (en) * 2002-07-24 2007-05-29 Applied Materials, Inc. Multi-chemistry plating system
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
US20040118694A1 (en) * 2002-12-19 2004-06-24 Applied Materials, Inc. Multi-chemistry electrochemical processing system
US20040217005A1 (en) * 2002-07-24 2004-11-04 Aron Rosenfeld Method for electroplating bath chemistry control
JP3865703B2 (ja) * 2002-10-25 2007-01-10 ファナック株式会社 物品搬送システム及び搬送方法
US7289734B2 (en) * 2002-12-24 2007-10-30 Tropic Networks Inc. Method and system for multi-level power management in an optical network
JP4124449B2 (ja) * 2003-03-28 2008-07-23 大日本スクリーン製造株式会社 基板処理装置
US7473339B2 (en) * 2003-04-18 2009-01-06 Applied Materials, Inc. Slim cell platform plumbing
WO2004109748A2 (en) * 2003-06-05 2004-12-16 Palbam Class Ltd. Supporting shelf for front opening unified pod
US20050077182A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Volume measurement apparatus and method
US7347329B2 (en) * 2003-10-24 2008-03-25 Entegris, Inc. Substrate carrier
CN100569996C (zh) * 2004-05-14 2009-12-16 爱德华兹真空股份有限公司 通过真空下的负荷固定腔转移物件的方法和装置
US7455750B2 (en) * 2004-06-25 2008-11-25 E.I. Du Pont De Nemours And Company Meta- and para-aramid pulp and processes of making same
JP4509669B2 (ja) * 2004-06-29 2010-07-21 東京エレクトロン株式会社 載置機構及び被処理体の搬出方法
US20060201541A1 (en) * 2005-03-11 2006-09-14 Semiconductor Energy Laboratory Co., Ltd. Cleaning-drying apparatus and cleaning-drying method
US8821099B2 (en) 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
US7520286B2 (en) 2005-12-05 2009-04-21 Semitool, Inc. Apparatus and method for cleaning and drying a container for semiconductor workpieces
US9117859B2 (en) 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US8061583B2 (en) * 2006-11-22 2011-11-22 Rokko Ventures Pte Ltd Ball mounting apparatus and method
US7585142B2 (en) 2007-03-16 2009-09-08 Asm America, Inc. Substrate handling chamber with movable substrate carrier loading platform
US7602562B2 (en) 2007-05-21 2009-10-13 Electro Scientific Industries, Inc. Fluid counterbalance for a laser lens used to scribe an electronic component substrate
KR101181560B1 (ko) * 2008-09-12 2012-09-10 다이닛뽕스크린 세이조오 가부시키가이샤 기판처리장치 및 그것에 사용되는 기판반송장치
JP5134495B2 (ja) * 2008-10-16 2013-01-30 東京エレクトロン株式会社 処理装置及び処理方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
WO2010135202A2 (en) * 2009-05-18 2010-11-25 Crossing Automation, Inc. Substrate container storage system
US8882433B2 (en) * 2009-05-18 2014-11-11 Brooks Automation, Inc. Integrated systems for interfacing with substrate container storage systems
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM394568U (en) * 2010-07-23 2010-12-11 Chen Long Technology Corp Ltd Multiplexing wafer baking processing system
DE102010035231B4 (de) * 2010-08-24 2013-05-16 Hänel & Co. Lagerregalsystem zur Lagerung von Lagergut
US20120199065A1 (en) * 2011-02-04 2012-08-09 Stion Corporation Multi-Module System for Processing Thin Film Photovoltaic Devices
JP5614352B2 (ja) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 ローディングユニット及び処理システム
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
JP6403431B2 (ja) * 2013-06-28 2018-10-10 株式会社Kokusai Electric 基板処理装置、流量監視方法及び半導体装置の製造方法並びに流量監視プログラム
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6366515B2 (ja) * 2015-01-23 2018-08-01 東京エレクトロン株式会社 連結構造及びこれを用いた磁気アニール装置、並びに連結方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11183401B2 (en) * 2015-05-15 2021-11-23 Suss Microtec Lithography Gmbh System and related techniques for handling aligned substrate pairs
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107487626B (zh) * 2017-08-11 2019-06-21 京东方科技集团股份有限公司 掩膜板的存储机构、搬运方法及搬运系统
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
NO344988B1 (en) * 2018-11-05 2020-08-10 Autostore Tech As A station for providing access to contents in a storage container
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN113874302A (zh) * 2019-04-09 2021-12-31 卓缤科技贸易公司 螺旋输送机滚筒棒
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11049740B1 (en) * 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN110993550B (zh) * 2019-12-25 2022-12-09 北京北方华创微电子装备有限公司 半导体热处理设备
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113894106B (zh) * 2021-10-09 2023-01-13 杭州中欣晶圆半导体股份有限公司 一种洗净机自动上下料系统及操作方法
CN115180349A (zh) * 2022-09-13 2022-10-14 江苏长易电气有限公司 一种大电流平面变压器转运组装设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3171220D1 (en) 1980-09-02 1985-08-08 Heraeus Schott Quarzschmelze Method of and apparatus for transferring semiconductor wafers between carrier members
US4568234A (en) 1983-05-23 1986-02-04 Asq Boats, Inc. Wafer transfer apparatus
US4886412A (en) 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
JPS63219134A (ja) 1987-03-09 1988-09-12 Mitsubishi Electric Corp 拡散炉ウエハ・ハンドラ装置
US5431421A (en) 1988-05-25 1995-07-11 Semitool, Inc. Semiconductor processor wafer holder
US5064337A (en) 1988-07-19 1991-11-12 Tokyo Electron Limited Handling apparatus for transferring carriers and a method of transferring carriers
US5186594A (en) 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JPH05146984A (ja) 1991-07-08 1993-06-15 Murata Mach Ltd ウエハカセツト用ハンドリングロボツト
US5215420A (en) 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
US5451131A (en) * 1992-06-19 1995-09-19 International Business Machines Corporation Dockable interface airlock between process enclosure and interprocess transfer container
US5378145A (en) 1992-07-15 1995-01-03 Tokyo Electron Kabushiki Kaisha Treatment system and treatment apparatus
ES2078718T3 (es) * 1992-08-04 1995-12-16 Ibm Estructuras de cadenas de fabricacion a base de transportadores totalmente automatizados e informatizados adaptados a recipientes transportables estancos a presion.
KR100221983B1 (ko) * 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
AU2368495A (en) 1994-04-28 1995-11-29 Semitool, Incorporated Semiconductor processing system with wafer container docking and loading station
US5664337A (en) 1996-03-26 1997-09-09 Semitool, Inc. Automated semiconductor processing systems
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
WO1995030240A2 (en) 1994-04-28 1995-11-09 Semitool, Incorporated Semiconductor processing systems
US5784797A (en) 1994-04-28 1998-07-28 Semitool, Inc. Carrierless centrifugal semiconductor processing system
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
US5613821A (en) 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5674123A (en) * 1995-07-18 1997-10-07 Semifab Docking and environmental purging system for integrated circuit wafer transport assemblies
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5944475A (en) * 1996-10-11 1999-08-31 Asyst Technologies, Inc. Rotated, orthogonal load compatible front-opening interface
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6162299A (en) * 1998-07-10 2000-12-19 Asm America, Inc. Multi-position load lock chamber
JP4674705B2 (ja) * 1998-10-27 2011-04-20 東京エレクトロン株式会社 搬送システムの搬送位置合わせ方法及び搬送システム
JP2001345241A (ja) * 2000-05-31 2001-12-14 Tokyo Electron Ltd 基板処理システム及び基板処理方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101157673B1 (ko) * 2004-07-14 2012-06-20 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 지지부의 재배치 방법 및 장치

Also Published As

Publication number Publication date
US6652219B2 (en) 2003-11-25
WO1999032381A1 (en) 1999-07-01
EP1062172A4 (en) 2009-05-13
TW446993B (en) 2001-07-21
US6447232B1 (en) 2002-09-10
CN1088679C (zh) 2002-08-07
CN1284041A (zh) 2001-02-14
KR100530547B1 (ko) 2005-11-23
US20030002961A1 (en) 2003-01-02
JP2001526470A (ja) 2001-12-18
EP1062172A1 (en) 2000-12-27
US6273110B1 (en) 2001-08-14

Similar Documents

Publication Publication Date Title
KR100530547B1 (ko) 반도체 웨이퍼 입출력 취급 시스템
US6799932B2 (en) Semiconductor wafer processing apparatus
US6375403B1 (en) Loading and unloading station for semiconductor processing installations
US6279724B1 (en) Automated semiconductor processing system
KR940002914B1 (ko) 반도체 제조장치
US6315512B1 (en) Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6231290B1 (en) Processing method and processing unit for substrate
US6723174B2 (en) Automated semiconductor processing system
US6520727B1 (en) Modular sorter
US6942738B1 (en) Automated semiconductor processing system
US6971832B2 (en) Magnetically coupled linear delivery system transferring wafers between a cassette and a processing reactor
JP5020994B2 (ja) 半導体加工装置のためのローディング及びアンローディング用ステーション
EP0472536A4 (en) Sealable transportable container having improved latch mechanism
JP2002517055A (ja) 基板取扱いおよび処理システムと方法
US20030202871A1 (en) Semiconductor processing system with wafer container docking and loading station
KR19980080191A (ko) 카셋트 반출입 기구 및 반도체 제조 장치
US6599075B2 (en) Semiconductor wafer processing apparatus
EP0238541B1 (en) Manipulator for standard mechanical interface apparatus
KR980009066A (ko) 반도체 기판 캐리어 이송 및 사용 방법 및 장치
JP2625112B2 (ja) 処理済ウエーハを所望の真空状態下で保管する方法
US20040126206A1 (en) Mini-environment system and operating method thereof
KR20010074681A (ko) 자동 반도체 가공 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee