KR101157673B1 - 기판 캐리어 지지부의 재배치 방법 및 장치 - Google Patents

기판 캐리어 지지부의 재배치 방법 및 장치 Download PDF

Info

Publication number
KR101157673B1
KR101157673B1 KR1020050063781A KR20050063781A KR101157673B1 KR 101157673 B1 KR101157673 B1 KR 101157673B1 KR 1020050063781 A KR1020050063781 A KR 1020050063781A KR 20050063781 A KR20050063781 A KR 20050063781A KR 101157673 B1 KR101157673 B1 KR 101157673B1
Authority
KR
South Korea
Prior art keywords
substrate carrier
end effector
foup
support position
intermediate support
Prior art date
Application number
KR1020050063781A
Other languages
English (en)
Other versions
KR20060050174A (ko
Inventor
마르틴 알. 엘리오트
마이클 로버트 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060050174A publication Critical patent/KR20060050174A/ko
Application granted granted Critical
Publication of KR101157673B1 publication Critical patent/KR101157673B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/141Associated with semiconductor wafer handling includes means for gripping wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 제 1 특징에 따른 제 1 방법은 엔드 이펙터에 의해 제공되는 지지대를 재배치시키는 단계를 포함한다. 상기 제 1 방법은 (1) 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계와, (2) 상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하는 상기 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계와, (3) 상기 기판 캐리어의 오버헤드 이송 플랜지 근처에 상기 엔드 이펙터를 재배치시키는 단계와, (4) 상기 기판 캐리어의 상기 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 상기 엔드 이펙터를 사용하는 단계와, (5) 상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하는 단계를 더 포함한다. 다수의 다른 특징들이 제공된다.

Description

기판 캐리어 지지부의 재배치 방법 및 장치 {METHODS AND APPARATUS FOR REPOSITIONING SUPPORT FOR A SUBSTRATE CARRIER}
도 1은 본 발명의 일 실시예에 따른 엔드 이펙터에 의해 지지되는 종래의 프론트 오프닝 유니파이드 포드(FOUP)의 등축도.
도 2는 도 1의 FOUP의 평면도.
도 3은 도 1의 FOUP의 저면도.
도 4는 본 발명의 일 실시예에 따른 도 1의 중간 지지 위치 및 엔드 이펙터의 등축도.
도 5a는 본 발명의 일 실시예에 따른 도 1의 엔드 이펙터의 바닥면을 도시한 등축도.
도 5b는 본 발명의 일 실시예에 따른 도 1의 엔드 이펙터의 측면도.
도 6은 본 발명의 일 실시예에 따른 엔드 이펙터에 의해 제공되는 지지부를 재배치시키기 위한 하나의 예시적인 방법을 나타내는 도면.
도 7은 본 발명의 일 실시예에 따른 기판 캐리어(예를 들어, FOUP)의 바닥에 의해 기판 캐리어를 지지하도록 사용되는 엔드 이펙터를 나타내는 도면.
도 8은 본 발명의 일 실시예에 따른 중간 지지 위치 바로 위에 있는 도 7의 엔드 이펙터를 나타내는 도면.
도 9는 본 발명의 일 실시예에 따라 FOUP의 바닥면을 지지하기 위해 사용되는 도 7의 중간 지지 위치를 나타내는 도면.
도 10은 본 발명의 일 실시예에 따라 중간 지지 위치가 FOUP를 지지하는 동안 중간 지지 위치 바로 아래 위치되는 도 7의 엔드 이펙터를 나타내는 도면.
도 11은 본 발명의 일 실시예에 따라 엔드 이펙터의 어떠한 부분도 FOUP의 아래에 위치되지 않도록, 중간 지지 위치에 의해 지지되는 FOUP 아래에 위치되는 도 7의 엔드 이펙터를 나타내는 도면.
도 12는 본 발명의 일 실시예에 따라 FOUP 보다 약간 높게 위치되는 도 7의 엔드 이펙터를 나타내는 도면.
도 13은 본 발명의 일 실시예에 따라 FOUP에 근접하게 위치되는 도 7의 엔드 이펙터를 나타내는 도면.
도 14는 본 발명의 일 실시예에 따라 FOUP의 OHT 플랜지에 의해 FOUP를 지지하는 도 7의 엔드 이펙터를 나타내는 도면.
도 15는 본 발명의 일 실시예에 따라 중간 지지 위치 바로 위에서 FOUP를 지지하는 도 7의 엔드 이펙터를 나타내는 도면.
도 16은 본 발명의 일 실시예에 따라 엔드 이펙터 및 FOUP의 어떠한 부분도 중간 지지 위치 위에 위치되지 않도록, 중간 지지 위치보다 높게 위치되는 도 7의 FOUP 및 엔드 이펙터를 나타내는 도면.
도 17은 본 발명의 일 실시예에 따라 중간 지지 위치로부터 이송되는 도 7의 FOUP 및 엔드 이펙터를 나타내는 도면.
도 18은 본 발명의 일 실시예에 따라 엔드 이펙터에 의해 제공되는 지지부를 재배치시키기 위한 제 2의 예시적 방법을 나타내는 도면.
도 19는 본 발명의 일 실시예에 따라 기판 캐리어를 이송하기 위한 개략적인 정면도.
※ 도면의 주요 부분에 대한 도면 부호의 설명 ※
101: 기판 캐리어 103: 엔드 이펙터
107: OHT 플랜지 109: 상부면
117: 중간 지지 위치 301: 바닥면
401: 엔드 이펙터 핀 403: 지지 위치 핀
407: 제어기 501: 엔드 이펙터 플랜지
503: 엔드 이펙터 센서
본 발명은 2004년 7월 14일자로 출원된 미국 가특허출원 제60/587,752호(대리인 관리번호 9474/L)로부터 우선권을 주장하며, 이는 본 발명에 그 전체가 참조되었다.
본 발명은 현재 계류중이며, 일반 양도돤 하기의 미국 특허출원들과 연관되며, 이들은 각각 그 전체가 본 발명에 참조되었다:
"기판 캐리어 이송 시스템"이란 명칭으로 2003년 8월 28일자로 출원된 미국 특허출원 제10/650,310호(대리인 관리번호 6900); 및
"움직이는 컨베이어로부터 기판 캐리어를 직접 언로딩하는 기판 캐리어 핸들러"란 명칭으로 2003년 8월 28일자로 출원된 미국 특허출원 제10/650,480호(대리인 관리번호 7676).
본 발명은 대체로 반도체 장치 제조에 관한 것으로, 특히 기판 캐리어 지지부의 재배치 방법 및 장치에 관한 것이다.
일반적으로, 반도체 소자의 제조방법은 실리콘 기판, 유리판 등의 기판과 관련된 일련의 절차를 수행하는 단계를 포함한다. 이러한 단계는 폴리싱, 증착, 에칭, 포토리소그래피, 열처리 등을 포함할 수 있다. 일반적으로, 다수의 상이한 처리 단계가 단일 처리 시스템 또는 복수의 처리 챔버를 포함한 "툴"에서 수행될 수 있다. 그러나 대체로 다른 프로세스는 제조 설비 내의 다른 처리 장소에서 수행될 필요가 있는 경우가 있으며, 그에 따라, 기판이 제조 설비 내에서 하나의 처리 장소로부터 다른 장소로 이송되어야 할 필요가 있다. 제조하고자 하는 반도체 소자의 유형에 따라, 상대적으로 많은 수의 처리 단계가 제조 설비 내의 다수의 상이한 처리 장소에서 수행될 필요가 있을 수 있다.
밀봉된 포드(pods), 카세트, 컨테이너 등과 같은 기판 캐리어 내에서 기판을 하나의 처리 위치로부터 다른 위치로 이송하는 것이 통상적이다. 또한, 제조 설비 내에서 하나의 장소에서 다른 장소로 기판 캐리어를 이동시키거나, 기판 캐리어 이송 장치로부터 또는 기판 캐리어 이송 장치로 기판 캐리어를 이송하기 위하여, 자동 안내 운반체, 오버헤드 이송 시스템, 기판 캐리어 핸들링 로봇 등과 같이 자동화된 기판 캐리어 이송 장치를 사용하는 것이 통상적이다. 그러나 이송 과정에서 기판 캐리어를 지지하기 위한 개선된 방법 및 장치가 여전히 필요하다.
본 발명의 제 1 양태에서, 엔드 이펙터에 의해 제공된 지지부의 제 1 재배치 방법이 제공된다. 상기 제 1 재배치 방법은, (1) 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계; (2) 상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계; (3) 상기 기판 캐리어의 오버헤드 이송 플랜지 근처에 상기 엔드 이펙터를 재배치하는 단계; (4) 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계; 및 (5) 상기 중간 지지 위치로부터 기판 캐리어를 이송하는 단계;를 포함한다.
본 발명의 제 2 양태에서, 엔드 이펙터에 의해 제공된 지지부의 제 2 재배치 방법이 제공된다. 상기 제 2 재배치 방법은, (1) 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계; (2) 상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계; (3) 상기 기판 캐리어의 바닥 근처에 상기 엔드 이펙터를 재배치하는 단계; (4) 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계; 및 (5) 상기 중간 지지 위치로부터 기판 캐리어를 이송하는 단계;를 포함한다.
본 발명의 제 3 양태에서, 제 1 기판 캐리어 이송 시스템이 제공된다. 상기 제 1 기판 캐리어 이송 시스템은, (1) 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하고, 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하는 엔드 이펙터; (2) 중간 지지 위치; 및 (3) 상기 엔드 이펙터에 결합되는 제어기를 포함하며; 상기 제어기는 (a) 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하고; (b) 상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하며; (c) 상기 기판 캐리어의 오버헤드 이송 플랜지 근처에 상기 엔드 이펙터를 재배치하고; (d) 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하며; (e) 상기 중간 지지 위치로부터 기판 캐리어를 이송하도록 구성된다.
본 발명의 제 4 양태에서, 제 2 기판 캐리어 이송 시스템이 제공된다. 상기 제 2 기판 캐리어 이송 시스템은, (1) 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하고, 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하도록 구성된 엔드 이펙터; (2) 중간 지지 위치; 및 (3) 상기 엔드 이펙터에 결합되는 제어기를 포함하며, 상기 제어기는 (a) 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하고; (b) 상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하며; (c) 상기 기판 캐리어의 바닥 근처에 상기 엔드 이펙터를 재배치하고; (d) 상기 기판 캐리어의 바닥에 의해 기판 캐리어를 지지하도록 엔드 이펙터를 사용하며; 및 (e) 상기 중간 지지 위치로부터 기판 캐리어를 이송하도록 구성된다. 본 발명의 이러한 그리고 여타 양태에 따른 방법, 시스템, 장치 및 컴퓨터 프로그램 제품과 같은, 다수의 다른 양태들이 제공된다. 본 발명에 기재되어 있는 각각의 컴퓨터 프로그램 제품은 컴퓨터(예를 들어, 캐리어 파동 신호(wave signal), 플로피 디스크, 컴팩트 디스크, DVD, 하드 드라이브, 램(random access memory) 등)에 의해 판독 가능한 매체에 의해 저장될 수 있다.
본 발명의 다른 특징 및 양태들은 다음의 상세한 설명, 첨부된 특허청구범위 및 첨부 도면으로부터 보다 충분히 명확해질 것이다.
종래의 프론트 오프닝 유니파이드 포드 (Front Opening Unified Pod; FOUP)와 같은 기판 캐리어는 하나 또는 그보다 많은 기판을 저장할 수 있다. 반도체 소자 제조 공정 중에, 기판 캐리어는 로봇 암의 엔드 이펙터와 같은 이송 장치를 이용하는 반도체 소자 제조 설비의 제 1 위치로부터 제 2 위치로 이송된다. 이송 장치는 기판 캐리어의 제 1 단부(예를 들어, 상부 단부)를 지지함으로써 제 1 위치로부터 기판 캐리어를 (예를 들어, 리프팅에 의해) 이송할 수 있다. 그러나 본 발명에 따르면, 이송 장치는 기판 캐리어의 제 2 단부(예를 들어, 바닥 단부)를 지지함으로써 기판 캐리어를 제 2 위치로 이송할 수 있거나, 그 반대일 수 있다. 예를 들어, 기판 캐리어에 제공되는 지지부는 이송중에 기판 캐리어의 제 1 단부에서 제 2 단부로 재배치될 수 있다. 따라서, 기판 캐리어의 지지부를 재배치시키기 위한 본 발명의 방법 및 장치가 제공된다.
도 1은 본 발명의 일 실시예에 따라서 엔드 이펙터(103)에 의해 지지되는 종래의 프론트 오프닝 유니파이드 포드 (FOUP)(101)의 등축도이다. 일 실시예에서, FOUP(101)는 입방체일 수 있다. 도 1에 입방체로서 도시되었지만, FOUP(101)는 다른 형태를 취할 수 있다. FOUP(101)는 상부면(109) 및 (도 1에 도시되지 않은) 바닥면을 포함하는 복수의 면 또는 표면을 포함할 수 있다. FOUP(101)는 FOUP(101)를 수동적으로 운반하기 위해 이용될 수 있는 하나 또는 그보다 많은 측면에 핸들(105)을 포함할 수 있다. FOUP(101)는 FOUP(101)의 상부면 또는 표면(109)에 결합되는 오버헤드 이송 (OHT) 플랜지(101)를 포함한다. OHT 플랜지(107)는 베이스(114) 위에 및/또는 베이스(114)로부터 연장하는, 복수의 플랜지(111)를 포함한다. OHT 플랜지(107) 또는 FOUP(101)의 (도 1 에 도시되지 않은) 바닥면은 엔드 이펙터(103)와 같은 이송 장치에 결합하도록 구성된다.
엔드 이펙터(103)는 상부면(113) 및 바닥면(115)을 포함한다. 엔드 이펙터(103)의 바닥면(115) 및 상부면(113)이 각각, 도 4 및 도 5를 참조하여 하기에 상세하게 설명된다. 엔드 이펙터(103)는 예를 들어, 이송중에 FOUP(101)를 지지하기 위해 사용될 수 있다. 보다 구체적으로, 엔드 이펙터(103)의 바닥면(115)은 FOUP(101)의 상부면(109)(예를 들어, OHT 플랜지(107))을 이용하여(예를 들어, 상부면에 의해서) FOUP(101)를 지지할 수 있다. 대안적으로, 도 1에 도시된 바와 같이, 엔드 이펙터(103)의 상부면(113)은 FOUP(101)의 바닥면을 이용하여(예를 들어, 바닥면에 의해서) FOUP(101)를 지지할 수 있다.
도 1은 본 발명의 일 실시예에 따른 중간 지지 위치(117)의 등축도이다. 일 실시예에서, 중간 지지 위치(117)는 선반일 수 있다. 중간 지지 위치(117)는 다른 형태의 지지부를 포함할 수 있다. 엔드 이펙터(103)가 FOUP(101)의 제 1 면(예를 들어, 바닥면)에 지지부를 제공하는 것으로부터 FOUP(101)의 제 2 면(예를 들어 상부면(109))에 지지부를 제공하도록(또는 그 반대로) 재배치되는 동안 중간 지지 위치(117)는 FOUP(101)를 지지한다. 도 1에 도시된 실시예에서, 중간 지지 위치(117)는 FOUP(101)의 바닥면(도 1에 도시않음)에 의해 FOUP(101)를 지지한다. 다른 실시예에서, 중간 지지 위치(117)는 FOUP(101)의 다른 및/또는 추가 면에 의해 FOUP(101)를 지지할 수 있다.
도 2는 도 1에 도시된 FOUP(101)의 평면도이다. OHT 플랜지(107)의 상부면(201)은 도 1의 중간 지지 위치(117)와 같은 지지 장치 또는 엔드 이펙터(103)와의 정렬을 제공하기 위해 하나 또는 그보다 많은 플랜지 슬롯(203)을 포함할 수 있다. 일 실시예에서, OHT 플랜지(107)의 상부면(201) 또는 바닥면(도시 않음)은 3개의 플랜지 슬롯(203)을 포함한다. 다른 개수의 슬롯(203)이 사용될 수 있다. 또한, 상이한 실시예들은 상이한 길이, 깊이 및/또는 형상 및/또는 슬롯 위치의 슬롯(203)을 포함할 수 있다.
도 3은 도 1에 도시된 FOUP(101)의 저면도이다. FOUP(101)의 바닥면(301)은 도 1의 중간 지지 위치(117)와 같은 지지 장치 또는 엔드 이펙터(103)와의 정렬을 제공하기 위해 하나 또는 그보다 많은 FOUP 슬롯(303)을 포함할 수 있다. 일 실시예에서, FOUP(101)의 바닥면(301)은 3개의 FOUP 슬롯(303)을 포함한다. 다른 개수의 FOUP 슬롯(303)이 사용될 수 있다. 또한, 상이한 실시예들은 상이한 길이, 깊이, 형상 및/또는 슬롯 위치의 FOUP 슬롯(303)을 포함할 수 있다.
도 4는 본 발명의 일 실시예에 따른 도 1의 중간 지지 위치(117)와 엔드 이펙터(103)의 등축도이다. 엔드 이펙터(103)의 상부면(113)은 하나 또는 그보다 많은 엔드 이펙터 핀(401)을 포함할 수 있다. 하나 또는 그보다 많은 엔드 이펙터 핀(401)은 엔드 이펙터가 FOUP(101)의 바닥면(301)을 지지할 때 FOUP(101)의 바닥면(301)에 포함된 대응 FOUP 슬롯(303, 도 3)에 결합(예를 들어 삽입)된다. FOUP 슬롯(303)과 하나 또는 그보다 많은 엔드 이펙터 핀(401)은 하나 또는 그보다 많은 엔드 이펙터 핀(401)과 대응 FOUP 슬롯(303)과의 결합이 FOUP(101)를 엔드 이펙터(103)와 정렬시키도록 설계된다. 유사하게, 중간 지지 위치(117)는 하나 또는 그보다 많은 지지 위치 핀(403)을 (예를 들어 지지 위치의 상부면 상에) 포함할 수 있다. 하나 또는 그보다 많은 지지 위치 핀(403)은 중간 지지 위치(117)가 FOUP(101)의 바닥면을 지지할 때 대응 FOUP 슬롯(303)에 결합(예를 들어 삽입)된다. FOUP 슬롯(303)과 하나 또는 그보다 많은 지지 위치 핀(403)은 하나 또는 그보다 많은 지지 위치 핀(403)과 대응 FOUP 슬롯(303)과의 결합이 FOUP(101)를 중간 지지 위치(117)와 정렬시키도록 설계된다. 도 4의 실시예에서, 3개의 엔드 이펙터 핀(401) 및/또는 3개의 지지 위치 핀(403)이 사용되지만, 다른 수의 핀이 사용될 수 있다. 일 실시예에서, 하나 또는 그보다 많은 엔드 이펙터 핀(401) 및/또는 하나 또는 그보다 많은 지지 위치 핀(403)은 동적 핀(kinematic pins)이다. 다른 형태의 핀이 사용될 수 있다. 또한, 하나 또는 그보다 많은 엔드 이펙터 핀(401) 및/또는 지지 위치 핀(403)은 (예를 들어 정확한 캐리어 장착을 탐지하기 위해) 센서(405)를 포함할 수 있다. 센서를 이용하는 동적 핀은 "반도체 기판 캐리어를 탐지하기 위해 센서와 일체화된 로케이터 핀"이라는 명칭으로 2003년 6월 3일에 출원되고 본 발명에 전체로서 참조된 미국 특허 제 6,573,522B2호에서 설명된다.
엔드 이펙터(103)는 엔드 이펙터(103)를 하나 또는 그보다 많은 방향으로 이동(예를 들어, 이동 제어)시키도록 구성된 제어기(407)에 결합될 수 있다. 예를 들어, 제어기(407)는 x 축, y 축 및/또는 z 축(도 4)을 따라 엔드 이펙터를 이동시키도록 구성될 수 있다. 엔드 이펙터(103), 중간 지지 위치(117) 및 제어기(407)는 기판 캐리어 이송 시스템(409)을 형성할 수 있다.
도 4의 실시예에서, 엔드 이펙터(103)의 형상 및 하나 또는 그보다 많은 엔드 이펙터 핀(401)의 위치설정은 중간 지지 위치(117)의 형상 및 그 위에 있는 하나 또는 그보다 많은 지지 위치 핀(403)의 위치설정을 보완하도록 설계된다. 보다 구체적으로, 엔드 이펙터(103)와 중간 지지 위치(117)가 동일 평면(예를 들어, xy-평면)에 있을 때, 엔드 이펙터(103)의 각각의 엔드 이펙터 핀(401)과 중간 지지 위치(117)의 각각의 대응 지지 위치 핀(403)은 각각의 FOUP 슬롯(303)에 결합(예를 들어, 삽입)될 수 있다. 이때, 엔드 이펙터(103)와 중간 지지 위치(117)는 모두 예를 들어 FOUP(101)의 바닥면을 통해) FOUP(101)를 지지할 수 있다.
도 5a는 본 발명의 일 실시예에 따른 엔드 이펙터(103)의 바닥면(115)의 등축도이다. 엔드 이펙터(103)의 바닥면(115)은 하나 또는 그보다 많은 엔드 이펙터 플랜지(501)를 포함할 수 있다. 전술한 바와 같이, 엔드 이펙터(103)의 바닥면(115)은 FOUP(101)의 상부면(109)을 이용하여 FOUP(101)를 지지할 수 있다. 보다 구체적으로, 하나 또는 그보다 많은 엔드 이펙터 플랜지(501)는 예를 들어 OHT 플랜지(107) 아래로 슬라이딩함으로써 OHT 플랜지(107)에 결합되도록 구성된다. 이러한 방식으로, 엔드 이펙터(103)는 OHT 플랜지(107)를 이용하여 FOUP(101)를 지지한다. 일 실시예에서, 엔드 이펙터(103)는 4개의 엔드 이펙터 플랜지(501)를 포함한다. 다른 수의 엔드 이펙터 플랜지(501)가 사용될 수 있다. 또한, 상이한 실시예들은 상이한 형상, 위치 및/또는 크기의 엔드 이펙터 플랜지(501)를 포함할 수 있다. 일 실시예에서, 하나 또는 그보다 많은 엔드 이펙터 플랜지는 엔드 이펙터 플랜지(501)와 OHT 플랜지(107)의 적절한 정렬을 보장하기 위해 엔드 이펙터 센서(503)를 포함하고/포함하거나 이에 결합될 수 있다. 예를 들어, 엔드 이펙터 센서(503)는 하나 또는 그보다 많은 엔드 이펙터 플랜지(501)가 OHT 플랜지(107)에 대해 적절히 위치될 때를 표시할 수 있다.
도 5b는 본 발명의 일 실시예에 따른 엔드 이펙터(103)의 측면도이다. 도 5b를 참조하면, 하나 또는 그보다 많은 엔드 이펙터 플랜지(501)의 상부면(505)은 하나 또는 그보다 많은 엔드 이펙터 핀(401)을 포함할 수 있다. 엔드 이펙터 플랜지(501)의 상부면(505) 상의 하나 또는 그보다 많은 엔드 이펙터 핀(401)은 엔드 이펙터(103)가 OHT 플랜지(107)에 의해 FOUP(101)를 지지할 때, OHT 플랜지(107)의 바닥면(도시 않음)에 포함된 대응 OHT 플랜지 슬롯(도시 않음)에 결합(예를 들어, 삽입)된다. 엔드 이펙터 플랜지(501)의 상부면(505) 상의 하나 또는 그보다 많은 엔드 이펙터 핀(401)과 OHT 플랜지 슬롯은 하나 또는 그보다 많은 엔드 이펙터 핀(401)과 대응 OHT 플랜지 슬롯과의 결합이 FOUP(101)와 엔드 이펙터(103)를 정렬시키도록 설계된다.
이제 기판 캐리어 이송 시스템(409)(도 4)의 예시적인 작동이 도 1 내지 도 6 및 도 7 내지 도 17을 참고로 하여 기재되어 있으며, 여기에는 본 발명의 실시예에 따르는 엔드 이펙터(103)에 의하여 제공되는 지지부를 재배치하는 예시적인 방법(601)이 나타나 있다. 보다 명확하게, 엔드 이펙터(103)에 의하여 기판 캐리어(예를 들면, FOUP(101))로 제공되는 지지부를 FOUP(101)의 제 1 면으로부터 제 2 면으로 재배치하는 예시적인 방법이 기재되어 있다. 상기 방법(601)의 하나 또는 그보다 많은 단계는, 예를 들면, 제어기(407)에 의하여 컴퓨터 프로그램 코드를 통해 실행될 수 있으며, 임의의 적절한 컴퓨터 판독 매체(예를 들면, 캐리어 파동 신호, 플로피 디스크, 콤팩트 디스크, DVD, 하드 디스크, 램 등)에서와 같이, 제어기(407) 내의, 제어기(407)와 결합된, 또는 제어기(407)와 관련된 메모리 내에 저장된다.
도 6과 관련하여, 상기 방법(601)은 단계(603)에서 시작한다. 단계(605)에서 엔드 이펙터(103)는 기판 캐리어(예를 들면, FOUP(101))의 바닥면(301)에 의하여 기판 캐리어를 지지되도록 사용된다. 제어기(407)가 엔드 이펙터를 이동시키도록 사용될 수 있음으로써, 엔드 이펙터(103)의 상부면(113)의 엔드 이펙터 핀(401)이 FOUP(101)의 바닥면(301)에 포함된 FOUP 슬롯(303)에 결합되며, 이로써 엔드 이펙터(103)의 상부면(113)을 FOUP(101)의 바닥면(301)에 결합시킬 수 있다. 이러한 방법으로, 엔드 이펙터(103)는 FOUP(101)의 바닥면(301)을 지지한다. 제어기(407)는 x 축, y 축, z 축 중의 하나 이상의 축을 따라 엔드 이펙터(103)를 이동시켜서, 전술한 바와 같이 엔드 이펙터(103)를 FOUP(101)와 결합시키도록 사용될 수 있다. 도 7에는 본 발명의 일 실시예에 따라 기판 캐리어(예를 들면, FOUP(101))의 바닥에 의하여 기판 캐리어를 지지하도록 사용되는 엔드 이펙터(103)가 도시되어 있다. 예를 들면, 엔드 이펙터(103)는 그의 바닥면을 통하여 FOUP(101)를 지지하는 또 다른 지지부 선반으로부터, 또는 그의 OHT 플랜지를 통하여 FOUP를 지지하는 오버헤드 컨베이어 시스템으로부터 FOUP(101)를 제거할 수 있다. 도 7에 도시된 바와 같이, FOUP(101)는 엔드 이펙터(103)에 의하여 충분히 지지된다.
단계(607)에서, 기판 캐리어(예를 들면, FOUP(101))는 엔드 이펙터(103)로부터 중간 지지 위치(117)로 이송된다. 중간 지지 위치(117)는 기판 캐리어(예를 들면, FOUP(101))의 바닥(301)을 지지한다. 예를 들면, 제어기(407)는, 엔드 이펙터가 (도 7에 도시된 바와 같이) 중간 지지 위치(117)보다 근접하여(예를 들면, 약간 더 높이) 있도록, 엔드 이펙터가 FOUP(101)의 바닥면(301)을 z 축(예를 들면, 수직 상부 또는 하부)을 따라 지지하는 동안, 엔드 이펙터(103)를 이동시킬 수 있다.
그 후 제어기(407)는, 엔드 이펙터(103)가 중간 지지 위치(117) 바로 위에 있도록 엔드 이펙터(103)가 x 축(예를 들면, 수평으로 좌측 또는 우측)을 따라 FOUP(101)의 바닥면(301)을 지지하는 동안, 엔드 이펙터(103)를 이동시킨다. 도 8은 도 7의 엔드 이펙터(103)가 본 발명의 일 실시예에 따라 중간 지지 위치(117)의 바로 위에 있는 것을 도시한다. 엔드 이펙터(103)는 FOUP(101)의 바닥면(301)에 의하여 FOUP(101)를 충분히 지지한다.
그 이후 제어기(407)는, 엔드 이펙터(103)가 z 축(예를 들면, 수직 하부)을 따라 FOUP(101)의 바닥면(301)을 지지하는 동안, 엔드 이펙터(103)를 이동시킨다. 엔드 이펙터(103)가 z 축을 따라 아래 방향으로 이동하는 동안, 엔드 이펙터(103)는 FOUP(101)의 바닥면(301)을 충분히 지지한다. 그러나 엔드 이펙터(103)가 도 9에 도시된 위치(예를 들면, 핀(401, 403)의 상대적인 높이에 따라, 엔드 이펙터(103)와 중간 지지 위치(117)가 동일한 xy 평면에 있거나, 엔드 이펙터(103)의 xy 평면이 중간 지지 위치(117)의 xy 평면보다 약간 위에 있거나 아래에 있는 위치)로 접근하기 때문에, 엔드 이펙터(103)와 중간 지지 위치(117)는 모두 FOUP(101)의 바닥면(301)을 지지할 수 있다. 예를 들면, 엔드 이펙터(103)의 각 엔드 이펙터 핀(401)과 중간 지지 위치(117)의 각 대응하는 지지 위치 핀(403)은 FOUP(101)의 바닥면(301)을 지지하는 각 FOUP 슬롯(303)에 결합(예를 들면, 내부로 삽입)할 수 있다. 엔드 이펙터(103) 및 중간 지지 위치(117) 모두가 FOUP(101)의 바닥면(301)을 지지하는 시간의 양은 짧을 수 있다(예를 들면, 1초 또는 그 미만). 도 9는 중간 지지 위치(117)가 본 발명의 일 실시예에 따라 FOUP(101)의 바닥면(301)을 지지하도록 사용된 것을 도시한다.
제어기(407)가 엔드 이펙터(103)를 수직 아래로 계속 이동시킴에 따라, FOUP(101)은 중간 지지 위치(117)에 의하여 바닥면(301)으로부터 계속 지지되며 엔드 이펙터 핀(401)은 FOUP 슬롯(303)으로부터 분리된다. 그러므로 엔드 이펙터(103)는 FOUP(101)을 더 이상 지지하지 않고, 중간 지지 위치(117)는 FOUP(101)의 바닥(예를 들면, 바닥면)에 의하여 FOUP(101)을 충분히 지지할 수 있다. 따라서, FOUP(101)은 (도 10에 도시된 바와 같이) 엔드 이펙터(103)로부터 중간 지지 위치(117)로 성공적으로 이송될 수 있다.
단계(609)에서, 엔드 이펙터(103)는 기판 캐리어(예를 들면, FOUP(101))의 오버헤드 이송(OHT) 플랜지(107) 근처에 재배치된다. 제어기(407)는 FOUP(101)을 지지하지 않는 엔드 이펙터(103)를 x 축, y 축 및 z 축 중의 하나 이상을 따라 이동시키도록 사용되어 OHT 플랜지(107) 근처에 엔드 이펙터(103)를 재배치한다. 예를 들면, 제어기(407)는 FOUP(101)가 엔드 이펙터(103)로부터 중간 지지 위치(117)로 이송된 후에, 엔드 이펙터(103)를 수직 아래로 이동시킬 수 있다. 이렇게 수직 아래로의 이동은 단계(607)의 수직 아래로의 이동과 연속적일 수 있거나, 분리된 이동이 이용될 수 있다. 수직 아래로의 이동 결과로서, 엔드 이펙터(103)는 중간 지지 위치(117)가 FOUP(101)을 지지하는 동안, 중간 지지 위치(117) 바로 아래에 있다. 도 10은 본 발명의 일 실시예에 따라 중간 지지 위치(117)가 FOUP(101)을 지지하는 동안 중간 지지 위치(117) 바로 아래에 위치되는 엔드 이펙터(103)를 도시한다. 엔드 이펙터(103)의 임의의 수평 방향 이동 중에(수직 방향 및 수평 방향 이동의 조합이 될 수 있는 경우에, 도 10에 도시된 것보다 더 작거나 더 큰 수직 방향 거리가 사용될 수 있음), 엔드 이펙터 핀(401)이 중간 지지 위치(117)와 접촉하지 않도록, 엔드 이펙터(103)는 FOUP(101)의 바닥면(301) 충분히 아래에 있다.
그 이후 제어기(407)는 엔드 이펙터(103)를 수평 방향으로, 예를 들면 x 축 방향을 따라 이동시킬 수 있다. 제어기(407)는, 엔드 이펙터(103)의 어떠한 부분도 중간 지지 위치(117)에 의하여 지지되는 FOUP(101) 아래에 있지 않을 수 있도록, 엔드 이펙터(103)를 예를 들면 개방 영역 또는 터널(예를 들면, 도 19의 개방 영역(1911) 참조) 내부로 이동시킨다. 예를 들면, 터널은 반도체 소자 제조 공정 중에 이용되는 다른 설비 또는 장치와 접촉하지 않고, 엔드 이펙터가 z 축을 따라(예를 들면, 수직 방향으로) 이동될 수 있는 영역을 형성한다. 예를 들어, 터널은 선반들의 칼럼 및/또는 처리 툴의 로드 포트(load ports) 사이의 경로일 수 있다. 도 11은, 본 발명의 일 실시예에 따라서 엔드 이펙터(103)의 어떠한 부분도 FOUP(101) 하부에 있지 않도록, 중간 지지 위치(117)에 의해 지지되는 FOUP(101) 아래에 위치되는 엔드 이펙터(103)를 도시한다.
그 후, 제어기(407)는, 엔드 이펙터(103)가 FOUP(101)보다 약간 위에 있도록, z 축을 따라(예를 들어, 터널에서 수직으로) 엔드 이펙터(103)를 이동시킨다. 보다 구체적으로, 엔드 이펙터(103)는, 상기 엔드 이펙터(103)의 바닥면(115)이 FOUP의 OHT 플랜지(107)의 상부면보다 (예를 들어, 수직으로) 위에 있도록 그리고 엔드 이펙터(103)의 엔드 이펙터 플랜지(501)들 및 (도 5b의 측면도에서 도시된 수직 방향의 동적 핀(401)들과 같은) 임의의 상승된 피쳐들이 OHT 플랜지(107)보다 (예를 들어, 수직으로) 아래에 있도록 이동된다. 엔드 이펙터(103)가 개방된 영역으로 이동하고 있기 때문에, 엔드 이펙터(103)는 반도체 소자 제조 프로세스 동안 사용된 다른 장비 또는 장치와 접촉하지 않을 것이다. 도 12는, 본 발명의 일 실시예에 따라서, 전술한 바와 같이 FOUP(101)보다 약간 높이 위치된 엔드 이펙터(103)를 도시한다.
제어기(407)는, 엔드 이펙터(103)의 바닥면(115)이 OHT 플랜지(107)의 상부면(201)의 바로 위에 있고, 엔드 이펙터 플랜지(501)가 OHT 플랜지(107)의 바로 아래에 있도록, 엔드 이펙터(103)를 (예를 들어, x 및/또는 y 축을 따라서) 수평으로 이동시킨다. 엔드 이펙터(103)가 z 축을 따라서 상방으로 이동된다면, 엔드 이펙터 플랜지(501)는 (예를 들어, 엔드 이펙터(103)와 FOUP(101) 사이에 최종적인 정렬을 제공하고 FOUP(101)가 X-방향으로의 이동 동안 미끄러지는 것을 방지하는 동적 핀(401)들에 의해) OHT 플랜지(107)에 결합될 것이다. 이러한 방법으로, 엔드 이펙터(103)는 FOUP(101)의 OHT 플랜지(107)에 근접하게 재배치된다. 도 13은, 본 발명의 일 실시예에 따라서, 전술한 바와 같이 FOUP(101)에 근접하게 배치된 엔드 이펙터(103)를 도시한다.
단계(611)에서, 엔드 이펙터(103)는 기판 캐리어(substrate carrier)(예를 들어, FOUP(101))의 OHT 플랜지(107)에 의해 기판 캐리어(예를 들어, FOUP(101))를 지지하도록 사용된다. 제어기(407)는 엔드 이펙터(103)를 z 축을 따라 상방으로(예를 들어, 수직으로) 이동시킨다. 엔드 이펙터(103)가 z 축을 따라 상방으로 이동되는 동안, 엔드 이펙터 플랜지(501)는 OHT 플랜지(107)에 결합되고 이를 지지한다. 엔드 이펙터(103)의 상방 이동의 결과로서, FOUP(101)가 중간 지지 위치(117)로부터 z 축을 따라 상방으로 상승된다. 결과적으로, 지지 위치(117)의 지지 위치 핀(403)들은 대응하는 FOUP 슬롯(303)들로부터 분리된다. 따라서 엔드 이펙터(103)는 FOUP(101)의 OHT 플랜지(107)에 의해 FOUP(101)를 충분히 지지한다. 도 14는, 본 발명의 일 실시예에 따라 FOUP(101)의 OHT 플랜지(107)에 의해 FOUP(101)를 지지하는 엔드 이펙터(103)를 도시한다.
단계(613)에서, 엔드 이펙터(103)가 그의 OHT 플랜지에 의해 기판 캐리어를 지지한 후, 기판 캐리어(예를 들어, FOUP(101))는 중간 지지 위치(117)로부터 이송된다. 예를 들어, FOUP(101)는 (도시되지 않은) 처리 또는 로드록 챔버(load lock chamber) 내에 배치될 수 있다. 엔드 이펙터(103)가 이동되고, 그에 따라 FOUP(101)가 z 축을 따라 상방으로 상승됨으로써, 엔드 이펙터(103) 및 FOUP(101)의 임의의 수평 이동 동안에 지지 위치(117) 핀들이 FOUP(101)의 바닥면(301)과 접촉하지 않게 된다. 따라서, 도 15에 도시된 바와 같이, 엔드 이펙터(103)가 중간 지지 위치(117)의 바로 위에서 FOUP(101)를 지지한다.
제어기(407)는, 엔드 이펙터(103)에 의해 지지되는 FOUP(101) 및 엔드 이펙터(103)의 어떠한 부분도 중간 지지 위치(117) 위쪽에 있지 않도록(예를 들어, 위쪽으로 연장되지 않도록), 예를 들어 개방 구역 또는 터널 내로 엔드 이펙터(103)를 수평으로(예를 들어, x 및/또는 y 축을 따라서) 이동시킨다. 도 16은, 본 발명의 실시예에 따라서, 중간 지지 위치(117)보다 높게 위치되며 엔드 이펙터(103) 및 FOUP(101)의 어떠한 부분도 중간 지지 위치(117) 위쪽에 있지 않도록 위치되는 FOUP(101) 및 엔드 이펙터(103)를 도시한다.
제어기(407)는 엔드 이펙터(103) 및 엔드 이펙터(103)에 의해 지지되는 FOUP(101)를 z 축을 따라서(예를 들면, 수직으로) 이동시켜 FOUP(101)를 (도시되지 않은) 로드록 챔버, 처리 툴의 도킹 스테이션(docking station) 등과 같이, 반도체 장치 제조 설비 내에 포함되는 다른 구성 요소들에 대해 위치시킨다. 제어기(407)는 엔드 이펙터(103) 및 FOUP(101)를 (예를 들어, x 및/또는 y 축을 따라) 수평으로 이동시켜 상기 구성 요소들에 대해 FOUP(101)를 위치시킬 수 있다. 이러한 방법으로, FOUP(101)는 중간 지지 위치(117)로부터 이송된다. 도 17은, 본 발명의 일 실시예에 따라서, 중간 지지 위치(117)로부터 이송되는 (그리고, 예를 들어, 로드록 챔버, 처리 툴의 도킹 스테이션, 다른 지지 위치, 저장 선반, 컨베이어 시스템, 등과 같은 임의의 다른 목표된 위치로 이송되는) 엔드 이펙터 및 FOUP를 도시한다.
단계(615)에서, 방법(601)이 종료된다. 도 6의 방법(601)을 사용하여, 엔드 이펙터(103)에 의해 제공된 지지부가 재배치된다. 보다 구체적으로, FOUP(101)가 반도체 소자 제조 설비 내에서 제 1 위치로부터 제 2 위치로 이송되는 동안, 엔드 이펙터(103)에 의해 기판 캐리어(예를 들어, FOUP(101))에 제공된 지지부는 FOUP(101)의 제 1 단부(예를 들어, 바닥면(301))로부터 FOUP(101)의 제 2 단부(예를 들어, 상부면(109))로 재배치될 수 있다. 본 방법은 FOUP(101)가 FOUP(101)의 제 1 단부를 사용하여 제 1 위치로부터 보다 편리하게 이송될 때 그리고 FOUP(101)의 제 2 단부를 사용하여 제 2 위치로 보다 편리하게 이송될 때 유리하다.
특정한 일 실시예에서, 이 방법(601)은 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지하는 오버헤드 컨베이어 시스템으로부터, 기판 캐리어의 바닥면에 의해 기판 캐리어를 지지하는 처리 툴의 로드 포트로, 기판 캐리어를 이송하는 작동의 일부분으로서 사용될 수 있다. 예를 들어, 기판 캐리어의 바닥에 의해 기판 캐리어를 지지함으로써, (예를 들어, 컨베이어 시스템이 작동 중인 동안) 2003년 8월 28일 출원되고 본 발명에서 참조한 것으로 앞서 기술하였던 미국 특허 출원 제 10/650,310 호 및 제 10/650,480 호에서 개시된 바와 같은 오버헤드 컨베이어 시스템으로부터 엔드 이펙터(103)가 기판 캐리어를 제거하도록 사용될 수 있다. 그 후, 엔드 이펙터(103)가 재배치될 수 있어서, (방법(601)에 의해 개시된 바와 같이) 엔드 이펙터(103)는 기판 캐리어의 OHT 플랜지에 의해 기판 캐리어를 지지한다. 그 후, 기판 캐리어는 처리 툴의 로드 포트 상으로 위치되며(하강되며) 기판 캐리어 내에 포함된 기판의 처리를 허용하도록 도킹/개방될 수 있다. 반대 작업은 기판 캐리어를 그의 OHT 플랜지에 의해 지지함으로써, 그리고 기판 캐리어를 그의 바닥면에 의해 지지하여 다시 오버헤드 컨베이어 시스템상에 기판 캐리어를 로딩함으로써, 로드 포트로부터 기판 캐리어를 제거하도록 실행될 수 있다.
이제, 본 발명의 일 실시예에 따른 엔드 이펙터(103)에 의해 제공된 지지부를 재배치하기 위한 제 2의 예시적인 방법(1801)을 도시한 도 18 및, 도 7 내지 도 17을 참조하여, 기판 캐리어 이송 시스템(409)의 예시적인 작동을 설명한다. 보다 구체적으로, 엔드 이펙터(103)에 의해 기판 캐리어(예를 들어, FOUP(101))에 제공된 지지부를 FOUP(101)의 상부면(109)(예를 들어, 제 2 면)으로부터 바닥면(301)(예를 들어, 제 1 면)으로 재배치시키는 예시적인 방법이 설명된다. 방법(1801)의 단계들 중 하나 이상은, 예를 들면, 제어기(407)에 의하여 컴퓨터 프로그램 코드를 통해 실행될 수 있으며, 임의의 적절한 컴퓨터 판독가능한 매체(예를 들어, 캐리어 파동 신호, 플로피 디스크, 컴팩트 디스크, DVD, 하드디스크 드라이브, 램 등)에서와 같이, 제어기(407) 내의, 제어기(407)와 결합된, 제어기(407)와 관련된 메모리 내에 저장된다.
도 18을 참조하면, 단계(1803)에서, 방법(1801)이 시작된다. 단계(1805)에서, 기판 캐리어(예를 들어, FOUP(101))의 오버헤드 이송(OHT) 플랜지(107)에 의해 기판 캐리어(예를 들어, FOUP(101))를 지지하기 위해 엔드 이펙터(103)가 사용된다. 전술한 바와 같이, 엔드 이펙터 플랜지(501)는 OHT 플랜지(107)에 결합되고 그 OHT 플랜지(107)를 지지할 수 있다. 결과적으로, 도 17에 도시된 바와 같이, 엔드 이펙터(103)는 FOUP(101)의 OHT 플랜지(107)에 의해 FOUP(101)를 지지한다. 예를 들어, FOUP(101)를 반도체 소자 제조 설비의 제 1 위치(예를 들어, 로드록 챔버 또는 도킹 스테이션)로부터 이송하는 동안 엔드 이펙터(103)는 OHT 플랜지(107)를 이용하여 FOUP(101)를 지지한다.
단계(1807)에서, 기판 캐리어(예를 들어, FOUP(101))가 엔드 이펙터(103)로부터 중간 지지 위치(117)로 이송된다. 중간 지지 위치는 FOUP(101)의 바닥면(301)을 지지한다. 제어기(407)를 이용하여 x, y 및 z 축들 중 하나 이상을 따라 엔드 이펙터(103)를 이동시킴으로써, FOUP(101)은 엔드 이펙터(103)로부터 중간 지지 위치(117)로 이송될 수 있다. 예를 들어, 제어기(407)는 엔드 이펙터(103)를 이동시키고, 그에 따라 FOUP(101)를 z 축을 따라 이동시킬 수 있으며, 이로써 FOUP(101)은 중간 지지 위치(117)에 근접한다(예를 들면, 중간 지지 위치(117)보다 다소 높다). 보다 구체적으로, 엔드 이펙터(103) 및 FOUP(101)가 수평방향으로(예를 들어, x 및/또는 y 축을 따라) 이동될 때, FOUP(101)의 바닥면(301)이 지지 위치 핀(403)과 접촉하지 않도록, 제어기(407)는 엔드 이펙터(103) 및 FOUP(101)를 위치시킨다. 도 16에 도시된 바와 같이, 엔드 이펙터(103) 및 FOUP(101)는 중간 지지 위치(117)보다 높게 위치된다.
제어기(407)가 엔드 이펙터(103)를 이동시키고, 그에 따라 FOUP(101)를 수평으로(예를 들어, x 및/또는 y 축을 따라) 이동시킴으로써, FOUP(101)의 바닥면(301) 내의 각각의 FOUP 슬롯(303)은 중간 지지 위치(117)의 대응하는 지지 위치 핀(403)의 바로 위쪽에 위치된다. 도 15에 도시된 바와 같이, 엔드 이펙터(103) 및 FOUP(101)은 중간 지지 위치(117)의 바로 위쪽에 위치된다.
제어기(407)는 엔드 이펙터(103) 및 그에 따른 FOUP(101)를 수직방향으로(예를 들어, z 축을 따라) 하향 이동시킨다. FOUP(101)의 바닥면(301)이 중간 지지 위치(117)보다 약간 높을 때, 지지 위치 핀(403)은 FOUP(101)의 바닥면(301) 내의 대응하는 FOUP 슬롯(303)으로 진입하기 시작한다. 도 14에 도시된 바와 같이, FOUP(101)은 중간 지지 위치(117)보다 약간 높이 위치된다.
제어기(407)는, 지지 위치 핀(403)이 FOUP 슬롯(303)과 맞물림 또는 접촉(예를 들어, 결합)할 때까지, 엔드 이펙터(103) 및 FOUP(101)를 수직 하방으로 이동시킨다. 전술한 바와 같이, 지지 위치 핀(403)은 하나 또는 그보다 많은 엔드 이펙터 핀(401) 및/또는 하나 또는 그보다 많은 지지 위치 핀(403)이 FOUP 슬롯(303)에 대해 적절하게 위치되었을 때를 나타내고/나타내거나 그러한 여부를 결정하기 위한 센서(405)를 포함할 수 있다. 지지 위치 핀(403)이 FOUP 슬롯(303) 내에 적절하게 위치(예를 들어, 결합)되었을 때, 엔드 이펙터 플랜지(501)는 OHT 플랜지(107)에 결합되지 않는다. 결과적으로, 중간 지지 위치(117)는 FOUP(101)을 완전히 지지하며, 엔드 이펙터(103)는 FOUP(101)을 지지하지 않는다. 그에 따라, FOUP(101)은 엔드 이펙터(103)로부터 중간 지지 위치(117)로 이송된다. 도 13에 도시된 바와 같이, 중간 지지 위치(117)는 FOUP(101)을 지지한다.
단계(1809)에서, 엔드 이펙터(103)는 기판 캐리어(예를 들어, FOUP(101))의 바닥에 근접하여 재배치된다. 엔드 이펙터(103)를 FOUP(101)의 바닥면(301)에 인접하여 재배치하도록, 제어기(407)가 x, y 및 z 축들 중 하나 이상을 따라 엔드 이펙터(103)를 이동시키도록 사용될 수 있다. 예를 들어, 엔드 이펙터(103)의 어떠한 부분도 중간 지지 위치(117)에 의해 지지되는 FOUP(101)의 위쪽에 있지 않도록(예를 들어 위로 연장하지 않도록), 제어기(407)는 x 및/또는 y 축을 따라 수평방향으로(예를 들어, 터널 내로) 엔드 이펙터(103)를 이동시킨다. 도 12에 도시된 바와 같이, 엔드 이펙터(103)의 어떠한 부분도 FOUP(101)의 위쪽으로 연장하지 않도록 엔드 이펙터(103)가 위치된다.
그 후, 엔드 이펙터(103)가 중간 지지 위치(117)보다 낮게 위치되도록, 제어기(407)가 엔드 이펙터(103)를 z 축을 따라(예를 들어, 터널 내에서 수직방향으로) 하향 이동시킨다. 엔드 이펙터(103)가 수평방향으로(예를 들어, x 및/또는 y 축을 따라) 이동될 때, 엔드 이펙터 핀(401)이 중간 지지 위치(117)와 접촉하지 않도록, 엔드 이펙터(103)가 위치된다. 도 11에 도시된 바와 같이, 엔드 이펙터(103)는, 전술된 바와 같이, 중간 지지 위치(117)보다 낮게 위치된다.
그 후, 엔드 이펙터(103)가 중간 지지 위치(117) 및 FOUP(101) 바로 아래에 있도록, 제어기(407)는 엔드 이펙터(103)를 수평방향으로(예를 들어, x 및/또는 y 축을 따라) 이동시킨다. 보다 구체적으로, 엔드 이펙터(103)가 수직방향으로 이동될 때 그리고 엔드 이펙터 핀(401)이 FOUP(101)의 바닥면(301) 내의 대응하는 FOUP 슬롯(303)의 바로 아래에 위치될 때, 엔드 이펙터(103) 및 중간 지지 위치(117)가 동일한 평면(예를 들어, xy 평면)을 점유하도록, 엔드 이펙터(103)가 위치된다. 도 10에 도시된 바와 같이, 엔드 이펙터(103)는 전술한 바와 같이 중간 지지 위치(117) 및 FOUP(101)의 바로 아래에 위치된다. 이러한 방식으로, 엔드 이펙터(103)는 FOUP(101)의 바닥면(301)에 인접하여 재배치된다.
단계(1811)에서, 엔드 이펙터(103)는 기판 캐리어(예를 들어, FOUP(101))의 바닥을 지지하도록 사용된다. 엔드 이펙터 핀(401)이 대응하는 FOUP 슬롯(303)에 맞물리거나 결합하도록, 제어기(407)는 z 축을 따라(예를 들어, 수직방향으로) 엔드 이펙터(103)를 상향 이동시킨다. 이어서, 도 9에 도시된 바와 같이, 엔드 이펙터(103)는 FOUP(101)를 지지한다. 전술한 바와 같이, 엔드 이펙터(103) 및 중간 지지 위치(117)는 동시에 FOUP(101)를 짧게 지지할 수 있다. 엔드 이펙터(103)의 상향 이동중에, 지지 위치 핀(403)은 FOUP 슬롯(303)으로부터 분리된다. 결과적으로, 엔드 이펙터(103)는 FOUP(101)의 바닥면(301)을 지지하며; 중간 지지 위치(117)는 FOUP(101)의 바닥면(301)을 지지하지 않는다.
단계(1813)에서, 기판 캐리어(예를 들어, FOUP(101))는 중간 지지 위치(117)로부터 이송된다. FOUP(101)가 중간 지지 위치(117)로부터 이송되도록, 제어기(407)는 x, y 및 z 축들 중 하나 이상을 따라 엔드 이펙터(103)를 이동시키게 사용될 수 있다. 예를 들어, 제어기(407)는 FOUP(101)의 바닥면(301)을 지지하는 엔드 이펙터(103)를 z 축을 따라(예를 들어, 수직방향으로) 중간 지지 위치(117)의 바로 위쪽의 위치까지 상향 이동시킨다. 보다 구체적으로, 엔드 이펙터(103)가 수평방향으로(예를 들어, x 및/또는 y 축을 따라) 이동될 때, 엔드 이펙터(103)가 중간 지지 위치(117)와 접촉하지 않도록, 엔드 이펙터(103)가 위치된다. 도 8에 도시된 바와 같이, 엔드 이펙터(103) 및 FOUP(101)는 전술한 바와 같이 중간 지지 위치(117)의 위쪽에 위치된다.
엔드 이펙터(103) 및 FOUP(101)의 어느 부분도 중간 지지 위치(117) 위쪽에 위치하지 않도록(예를 들어, 위쪽에서 연장하지 않도록), 제어기(407)는 엔드 이펙터(103)를 수평방향으로(예를 들어, x 및/또는 y 축을 따라) 이동시킬 수 있다. 예를 들어, 엔드 이펙터(103) 및, 그에 따른 FOUP(101)는 터널 내로 또는 개방 영역으로 이동된다. 도 7에 도시된 바와 같이, 엔드 이펙터(103) 및 FOUP(101)의 어느 부분도 중간 지지 위치(117) 위쪽에 위치하지 않도록, 엔드 이펙터(103) 및 FOUP(101)가 위치된다. FOUP(101)가 반도체 소자 제조 설비의 제 2 위치(예를 들어, 오버헤드 컨베이어(도시 안 됨))에 인접하도록, 엔드 이펙터(103) 및 그에 따른 FOUP(101)가 z 축을 따라(예를 들어, 수직방향으로) 이동될 수 있다. 이러한 방식으로, FOUP(101)은 중간 지지 위치(117)로부터 이송된다.
단계(1815)에서, 방법(1801)이 종결된다. 도 18의 방법(1801)의 이용을 통해, 엔드 이펙터(103)에 의해 제공된 지지부가 재배치된다. 보다 구체적으로, 엔드 이펙터(103)에 의해 기판 캐리어(예를 들면, FOUP(101))에 제공된 지지부는, FOUP(101)이 반도체 소자 제조 설비에서 제 1 위치(예를 들면, 처리 또는 로드 록 챔버)로부터 제 2 위치(예를 들면, 오버헤드 컨베이어)로 이송되는 동안, FOUP(101)의 상부면(109)으로부터 FOUP(101)의 바닥면(301)으로 재배치될 수 있다. 본 발명의 방법은 FOUP(101)이 FOUP(101)의 상부면을 이용하여 제 1 위치로부터 보다 편리하게 이송되고 FOUP(101)의 바닥면을 이용하여 제 2 위치로 보다 편리하게 이송될 때 유리하다.
본 발명은 앞에서 참조되고 2003년 8월 28일에 출원되었으며 발명의 명칭이 "움직이는 컨베이어로부터 기판 캐리어를 직접 언로딩하는 기판 캐리어 핸들러"인 미국 특허 출원 제 10/650,480호(서류 번호 제 7676)에 설명된 바와 같은 시스템에 적용될 때 특히 유리하다.
상술된 상세한 설명은 본 발명의 예시적인 실시예들만을 개시한다. 본 발명의 범주 내에 포함되는 상술된 장치 및 방법의 변형은 본 기술분야의 일반적인 기술자에게 매우 명백할 것이다. 예를 들면, 본 방법(601, 1801)의 하나 또는 그보다 많은 단계를 설명하는 동안 엔드 이펙터(103)에 대한 이동의 예시적인 순서가 제공되지만, 상이한 이동 순서가 본 방법(601, 1801)의 단계들 중 임의의 단계를 수행하도록 적용될 수 있다. 하나 또는 그보다 많은 실시예에서, 제어기(407)는 본 방법(601, 1801)의 하나 또는 그보다 많은 단계를 수행하도록 구성된다. 대안적으로, 다른 제어 장치가 본 방법(601, 1801) 중 하나 또는 그보다 많은 단계를 수행하도록 적용될 수 있다. 하나 또는 그보다 많은 실시예에서, 제 1 단부는 FOUP(101)의 바닥면(301)이었고 제 2 단부는 FOUP(101)의 상부면(109)이었으며, 또는 그 반대이었지만, 제 1 단부는 FOUP(101)의 임의의 다른 면일 수 있으며 제 2 단부는 FOUP(101)의 임의의 다른 면일 수 있다.
또한, 엔드 이펙터(103) 및/또는 중간 지지 위치(117)가 핀(401, 403)들을 대응하는(예를 들면, 상보적인) 슬롯(303)에 결합시킴으로써 FOUP(101)의 바닥면(301)을 지지하지만, 엔드 이펙터(103) 및/또는 중간 지지 위치(117) 및 FOUP(101)는 상이한 보완 장치를 이용하여 결합될 수 있다. 유사하게, 엔드 이펙터 플랜지(501) 및 OHT 플랜지(107)는 다른 보완 장치에 의해 대체될 수 있다. 일부 실시예에서, 중간 지지 위치(117)는 그의 오버헤드 이송 플랜지에 의해 기판 캐리어를 지지할 수 있다.
도 19는 본 발명에 따라 기판 캐리어를 이송하기 위한 시스템(1901)의 개략적인 정면도이다. 도 19를 참조하면, 시스템(1901)은 도 19에 도시된 제 1 처리 툴(1907)을 포함하여, 다수의 처리 툴들 사이에서 기판 캐리어(1905)를 이송하도록 구성되는 오버헤드 컨베이어 시스템(1903)을 포함한다.
본 발명의 하나 이상의 실시예에서, 오버헤드 컨베이어 시스템(1903)은 앞에서 참조되고 모두 2003년 8월 28일 출원된 미국 특허 출원 제 10/650,310호 및 제 10/650,480호에 도시된 바와 같이 구성될 수 있으며, 연속적으로 작동하도록 구성될 수 있다. 연속적으로 작동되지 않는 이송 시스템을 포함하는 다른 오버헤드 컨베이어 시스템이 이용될 수 있다. 도 19의 실시예에서, 오버헤드 컨베이어 시스템(1903)은 각각의 기판 캐리어(1905)의 오버헤드 이송 플랜지(1906)에 의해 기판 캐리어(1905)를 지지하도록 구성된다.
처리 툴(1907)은 엔드 이펙터(103)를 포함하고, 엔드 이펙터는 기판 캐리어(1905)의 바닥에 의해 또는 (점선으로 도시된 바와 같이) 기판 캐리어(1905)의 오버헤드 이송 플랜지(1906)에 의해 기판 캐리어(1905)를 지지하도록 구성된다. 처리 툴(1907)은 도시된 바와 같이 두 개의 칼럼으로 분리된 복수의 로드 포트(1909a 내지 1909f)를 포함한다. 다른 구성 및/또는 다른 개수의 로드 포트가 이용될 수 있다. 각각의 로드 포트(1909a 내지 1909f)는 기판 캐리어(1905)를 지지, 도킹 및/또는 개방하도록(예를 들면, 기판 캐리어(1905) 내부의 기판이 처리 툴(1907) 내부에서 추출되어 처리될 수 있도록) 구성될 뿐만 아니라, 기판 캐리어(1905)를 언도킹 및/또는 폐쇄할 수 있도록 구성된다. 도시된 실시예에서, 각각의 로드 포트(1909a 내지 1909f)는 기판 캐리어의 바닥에 의해 기판 캐리어(1905)를 지지한다.
처리 툴(1907)은 또한 복수의 지지 위치(117a 내지 117f)를 포함한다. 다른 개수 및/또는 다른 배치의 지지 위치(117a 내지 117f)가 이용될 수 있다. 개방 영역 또는 터널(1911)은 다른 지지 위치 및/또는 로드 포트와 접촉하지 않고 엔드 이펙터(103)가 z 축을 따라(예를 들면, 수직 방향으로) 이동될 수 있는 영역을 형성하는 로드 포트(1909a 내지 1909f)와 지지 위치(117a 내지 117f) 칼럼 사이에 존재한다.
제어기(407)는 처리 툴(1907)에 결합되고 전술된 바와 같은 엔드 이펙터(103)의 작동을 포함하여 처리 툴(1907)의 작동을 제어하도록(예를 들면 방법(601 또는 1801)을 수행하도록) 구성될 수 있다.
시스템(1901)의 예시적인 작동 동안, 기판 캐리어(1905)는 기판 캐리어(1905)의 바닥에 의해 기판 캐리어(1905)를 지지하도록 엔드 이펙터(103)를 이용하여 오버헤드 컨베이어 시스템(1903)으로부터 언로딩될 수 있다(예를 들면, 2003년 8월 28일에 모두 출원된 미국 특허 출원 제 10/650,310호 및 제 10/650,480호 참조). 이어서, 기판 캐리어(1905)는 지지 위치(117a 내지 117f) 중 하나에 배치될 수 있으며, 엔드 이펙터(103)는 (앞에서 설명된 바와 같이) 그의 오버헤드 이송 플랜지(1906)에 의해 기판 캐리어(1905)를 지지하도록 재배치될 수 있다. 그리고 기판 캐리어(1905)는 지지 위치(117a 내지 117f)로부터 로드 포트(1909a 내지 1909f) 중 하나로 이송되어 기판 캐리어(1905)의 바닥에 의해 각각의 로드 포트에서 지지될 수 있다. 그 후, 기판 캐리어(1905)는 로드 포트에서 도킹 및 개방되고, 기판 캐리어(1905)의 기판은 처리 툴(1907) 내부에서 처리될 수 있다. 그 후, 기판 캐리어(1905)는 로드 포트에서 폐쇄 및 언도킹될 수 있다. 그 후, 엔드 이펙터(103)는 각각의 로드 포트로부터, 그의 오버헤드 이송 플랜지에 의해 기판 캐리어(1905)를 지지하는, 지지 위치(117a 내지 117f) 중 하나로 기판 캐리어(1905)를 이송할 수 있다. 그 후, 엔드 이펙터(103)는 기판 캐리어(1905)의 바닥에 의해 기판 캐리어(1905)를 지지하도록 (전술된 바와 같이) 재배치될 수 있다. 그 후, 기판 캐리어(1905)는 엔드 이펙터(103)에 의해 오버헤드 컨베이어 시스템(1903)으로 로딩되어 또 다른 처리 툴(도시 안 됨) 또는 제조 설비 내의 다른 위치로 이송될 수 있다. 제어기(407)는 위의 단계들 중 임의의 단계를 수행하기 위한 컴퓨터 프로그램 코드를 포함할 수 있다. 컨베이어 시스템이 중단 또는 작동하는 동안, 오버헤드 컨베이어 시스템(1903)으로/으로부터 기판 캐리어를 로딩/언로딩하는 단계가 수행될 수 있다.
본 발명은 주로 FOUP를 참조하여 설명되었지만, 다른 타입의 기판 캐리어(예를 들면, 바닥 개방형 기판 캐리어, 상부 개방형 기판 캐리어 등)가 적용될 수 있음이 이해될 것이다. 또한, 본 발명은 소형 로트 크기 또는 대형 로트 크기 기판 캐리어와 함께 이용될 수 있다. 본 명세서에서 이용되는 바와 같이, "소형 로트(small lot)" 크기 기판 캐리어는 통상적으로 13 또는 25개의 기판을 보관하는 종래의 "대형 로트(large lot)" 크기 기판 캐리어보다 상당히 적은 기판을 보관하도록 구성되는 기판 캐리어를 지칭한다. 일 예로서, 일 실시예에서, 소형 로트 크기 기판 캐리어는 5개 또는 그 미만의 기판을 보관하도록 구성된다. 다른 소형 로트 크기 기판 캐리어가 사용될 수 있다(예를 들면 1, 2, 3, 4, 5, 6, 7 또는 그보다 많은 기판을 보관하지만, 대형 로트 크기 기판 캐리어의 기판 보관 개수보다 상당히 적은 기판을 보관하는 소형 로트 크기 캐리어). 예를 들면, 일 실시예에서 각각의 소형 로트 크기 기판 캐리어는 기판 캐리어의 사람에 의한 이송을 위해 너무 적은 기판을 보관하여서 반도체 소자 제조 설비에서 실용적이지 않을 수 있다.
본 발명의 실시예에 따른 기판 캐리어 지지부의 재배치 방법 및 장치에 의해, 본 발명은 전술한 바와 같이, 기판 캐리어를 지지하기 위한 개선된 방법 및 장치를 제공할 수 있는 효과를 갖는다.
본 발명은 예시적인 실시예와 관련하여 개시되었지만, 다른 실시예가 하기의 특허청구범위에 의해 한정되는 바와 같이 본 발명의 사상 및 범주 내에 속할 수 있다.

Claims (30)

  1. 엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법으로서,
    기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계,
    상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계,
    상기 기판 캐리어의 오버헤드 이송 플랜지 근처에 상기 엔드 이펙터를 재배치시키는 단계,
    상기 기판 캐리어를 상기 기판 캐리어의 상기 오버헤드 이송 플랜지에 의해 지지하도록 상기 엔드 이펙터를 사용하는 단계, 및
    상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 엔드 이펙터로부터 상기 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계는, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 결합하고, 상기 엔드 이펙터 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들과 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하는 단계는, 상기 엔드 이펙터 바닥면에 결합된 핀들이 상기 기판 캐리어의 상기 오버헤드 이송 플랜지의 대응 슬롯들에 결합하고, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어 바닥의 대응 슬롯들에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  4. 엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법으로서,
    기판 캐리어의 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 엔드 이펙터를 사용하는 단계,
    상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하는 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계,
    상기 기판 캐리어의 바닥 근처에 상기 엔드 이펙터를 재배치시키는 단계,
    상기 기판 캐리어를 상기 기판 캐리어의 상기 바닥에 의해 지지하도록 상기 엔드 이펙터를 사용하는 단계, 및
    상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 엔드 이펙터로부터 상기 중간 지지 위치로 상기 기판 캐리어를 이송하는 단계는, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 결합하고, 상기 엔드 이펙터의 바닥면에 결합된 핀들이 상기 기판 캐리어의 상기 오버헤드 이송 플랜지의 대응 슬롯들에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  6. 제 4 항에 있어서,
    상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하는 단계는, 상기 엔드 이펙터 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 결합하고, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키는 단계를 포함하는
    엔드 이펙터에 의해 제공되는 지지부를 재배치하기 위한 방법.
  7. 기판 캐리어 이송 시스템으로서,
    기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하고 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 구성된 엔드 이펙터,
    중간 지지 위치, 및
    상기 엔드 이펙터에 결합되는 제어기를 포함하며, 상기 제어기는,
    상기 기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하도록 상기 엔드 이펙터를 사용하고,
    상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하는 상기 중간 지지 위치로 상기 기판 캐리어를 이송하며,
    상기 기판 캐리어의 상기 오버헤드 이송 플랜지 근처에 상기 엔드 이펙터를 재배치하고,
    상기 기판 캐리어의 상기 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 상기 엔드 이펙터를 사용하며,
    상기 기판 캐리어를 상기 중간 지지 위치로부터 이송하도록 구성되는
    기판 캐리어 이송 시스템.
  8. 제 7 항에 있어서,
    상기 제어기는, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 결합하고, 상기 엔드 이펙터의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯들에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키게끔 더 구성되는
    기판 캐리어 이송 시스템.
  9. 제 7 항에 있어서,
    상기 제어기는, 상기 엔드 이펙터의 바닥면에 결합된 핀들이 상기 기판 캐리어의 상기 오버헤드 이송 플랜지의 대응 슬롯들에 결합하고, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어 바닥의 대응 슬롯들에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키게끔 더 구성되는
    기판 캐리어 이송 시스템.
  10. 기판 캐리어 이송 시스템으로서,
    기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하고 상기 기판 캐리어의 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 구성된 엔드 이펙터,
    중간 지지 위치, 및
    상기 엔드 이펙터에 결합되는 제어기를 포함하며, 상기 제어기는,
    상기 기판 캐리어의 상기 오버헤드 이송 플랜지에 의해 상기 기판 캐리어를 지지하도록 상기 엔드 이펙터를 사용하고,
    상기 엔드 이펙터로부터, 상기 기판 캐리어의 바닥에 의해 상기 기판 캐리어를 지지하는 상기 중간 지지 위치로 상기 기판 캐리어를 이송하며,
    상기 기판 캐리어의 바닥 근처에 상기 엔드 이펙터를 재배치하고,
    상기 기판 캐리어를 상기 기판 캐리어의 바닥에 의해 지지하도록 상기 엔드 이펙터를 사용하며,
    상기 중간 지지 위치로부터 상기 기판 캐리어를 이송하도록 구성되는
    기판 캐리어 이송 시스템.
  11. 제 10 항에 있어서,
    상기 제어기는, 상기 중간 지지 위치의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯에 결합하고 상기 엔드 이펙터의 바닥면에 결합된 핀들이 상기 기판 캐리어의 상기 오버헤드 이송 플랜지의 대응 슬롯에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키게끔 더 구성되는
    기판 캐리어 이송 시스템.
  12. 제 10 항에 있어서,
    상기 제어기는, 상기 엔드 이펙터의 상부면 상의 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯에 결합하고 상기 중간 지지 위치의 상부면 상에 있는 핀들이 상기 기판 캐리어의 바닥의 대응 슬롯에 더 이상 결합하지 않도록, 상기 엔드 이펙터를 이동시키게끔 더 구성되는
    기판 캐리어 이송 시스템.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020050063781A 2004-07-14 2005-07-14 기판 캐리어 지지부의 재배치 방법 및 장치 KR101157673B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US58775204P 2004-07-14 2004-07-14
US60/587,752 2004-07-14

Publications (2)

Publication Number Publication Date
KR20060050174A KR20060050174A (ko) 2006-05-19
KR101157673B1 true KR101157673B1 (ko) 2012-06-20

Family

ID=36113033

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050063781A KR101157673B1 (ko) 2004-07-14 2005-07-14 기판 캐리어 지지부의 재배치 방법 및 장치

Country Status (5)

Country Link
US (2) US7409263B2 (ko)
JP (1) JP4650831B2 (ko)
KR (1) KR101157673B1 (ko)
CN (1) CN100568479C (ko)
TW (1) TWI306827B (ko)

Families Citing this family (342)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20090177324A1 (en) * 2005-11-10 2009-07-09 Hugo Salamanca Robot system and method for maxibags sampling in ore concentration processes
US20100057254A1 (en) * 2006-11-13 2010-03-04 Salamanca Hugo P Methods for using robotics in mining and post-mining processing
US20090099688A1 (en) * 2005-11-10 2009-04-16 Hugo Salamanca Integral robot system and method for the dislodging process and/or anode handling from casting wheels
US10537671B2 (en) 2006-04-14 2020-01-21 Deka Products Limited Partnership Automated control mechanisms in a hemodialysis apparatus
KR100772845B1 (ko) * 2006-06-21 2007-11-02 삼성전자주식회사 반도체 디바이스 제조설비에서의 웨이퍼 수납장치
JP2008047696A (ja) * 2006-08-16 2008-02-28 Disco Abrasive Syst Ltd ウエーハ搬送方法および研削装置
US8920097B2 (en) * 2006-11-02 2014-12-30 Globalfoundries Singapore Pte. Ltd. Wafer handling system for a loadlock
US10463774B2 (en) 2007-02-27 2019-11-05 Deka Products Limited Partnership Control systems and methods for blood or fluid handling medical devices
US8409441B2 (en) 2007-02-27 2013-04-02 Deka Products Limited Partnership Blood treatment systems and methods
WO2008106191A2 (en) 2007-02-27 2008-09-04 Deka Products Limited Partnership Hemodialysis systems and methods
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
US8757955B2 (en) * 2007-09-06 2014-06-24 Murata Machinery, Ltd. Storage, transporting system and storage set
JP2009135232A (ja) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd ロードポート
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
JP5062485B2 (ja) * 2008-04-09 2012-10-31 株式会社ダイフク 物品搬送設備
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113563A1 (de) * 2011-09-19 2013-03-21 Oerlikon Trading Ag, Trübbach Karussellschlitten für Vakuumbehandlungsanlage
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9997384B2 (en) * 2011-12-01 2018-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for transporting wafers between wafer holders and chambers
US20140041755A1 (en) * 2012-08-09 2014-02-13 Santa Phoenix Technology Inc. Wafer pod gas charging apparatus
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6052209B2 (ja) * 2014-03-11 2016-12-27 株式会社ダイフク 容器搬送設備
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015141793A1 (ja) * 2014-03-20 2015-09-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10625977B2 (en) * 2015-10-20 2020-04-21 Schlumberger Technology Corporation Method and system for detecting faults and abnormal wear conditions in oil and gas wireline logging winch units
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
CN107324041B (zh) 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10371646B2 (en) * 2016-09-19 2019-08-06 The Boeing Company Method and system for automated data collection and part validation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10504762B2 (en) 2018-02-06 2019-12-10 Applied Materials, Inc. Bridging front opening unified pod (FOUP)
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11084169B2 (en) * 2018-05-23 2021-08-10 General Electric Company System and method for controlling a robotic arm
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) * 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11239099B2 (en) * 2018-09-27 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Tool monitoring device and method of monitoring tool
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TWI735115B (zh) * 2019-12-24 2021-08-01 力成科技股份有限公司 晶圓儲存裝置及晶圓承載盤
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
JP7327640B2 (ja) * 2020-03-13 2023-08-16 村田機械株式会社 グリッパ装置、搬送車、及び搬送方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD954769S1 (en) * 2020-06-02 2022-06-14 Applied Materials, Inc. Enclosure system shelf
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4052962B1 (de) * 2021-03-03 2023-07-26 Jungheinrich Aktiengesellschaft Lageranordnung
US20220315336A1 (en) * 2021-04-05 2022-10-06 Microsoft Technology Licensing, Llc Loader tool
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000068350A (ja) 1998-08-24 2000-03-03 Mitsubishi Electric Corp ウエハ枚葉収納カセットの搬送装置及び搬送方法
KR20010033316A (ko) * 1997-12-19 2001-04-25 세미툴 인코포레이티드 반도체 웨이퍼 입출력 취급 시스템
US7077614B1 (en) 1998-10-14 2006-07-18 Asm International N.V. Sorting/storage device for wafers and method for handling thereof

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4861222A (en) 1984-03-09 1989-08-29 Tegal Corporation Cassette elevator for use in a modular article processing machine
US4776744A (en) 1985-09-09 1988-10-11 Applied Materials, Inc. Systems and methods for wafer handling in semiconductor process equipment
US4886412A (en) 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
US4859137A (en) 1987-10-21 1989-08-22 Asyst Technologies Apparatus for transporting a holder between a port opening of a standardized mechanical interface system and a loading and unloading station
US4995430A (en) 1989-05-19 1991-02-26 Asyst Technologies, Inc. Sealable transportable container having improved latch mechanism
US5169272A (en) 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
JPH04179143A (ja) * 1990-11-09 1992-06-25 Hitachi Ltd ウェハ収納治具およびその搬送方法
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5256204A (en) 1991-12-13 1993-10-26 United Microelectronics Corporation Single semiconductor water transfer method and manufacturing system
JP2970183B2 (ja) 1992-03-03 1999-11-02 松下電器産業株式会社 ウエハの搬送保管方法とウエハキャリア
US5246218A (en) * 1992-09-25 1993-09-21 Intel Corporation Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
EP0663686B1 (en) 1994-01-14 1997-06-18 International Business Machines Corporation Automatic assembler/disassembler apparatus adapted to pressurized sealable transportable container
US5544421A (en) 1994-04-28 1996-08-13 Semitool, Inc. Semiconductor wafer processing system
US5713711A (en) 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
US5664925A (en) 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5607276A (en) 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5740845A (en) 1995-07-07 1998-04-21 Asyst Technologies Sealable, transportable container having a breather assembly
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US5950643A (en) 1995-09-06 1999-09-14 Miyazaki; Takeshiro Wafer processing system
US5752796A (en) 1996-01-24 1998-05-19 Muka; Richard S. Vacuum integrated SMIF system
TW363903B (en) 1996-03-11 1999-07-11 Memc Electronic Materials Spa Apparatus for use in automatically cleaning semiconductor wafers and methods for drying a semiconductor wafer in the automatic drying machine
US5870488A (en) 1996-05-07 1999-02-09 Fortrend Engineering Corporation Method and apparatus for prealigning wafers in a wafer sorting system
US5674039A (en) 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
US5980183A (en) 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
US6579052B1 (en) 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
US6053688A (en) 1997-08-25 2000-04-25 Cheng; David Method and apparatus for loading and unloading wafers from a wafer carrier
US6183186B1 (en) 1997-08-29 2001-02-06 Daitron, Inc. Wafer handling system and method
JPH11204615A (ja) 1998-01-19 1999-07-30 Speedfam Co Ltd ローディングロボットのウェーハローディング、アンローディング機構
US6281516B1 (en) 1998-07-13 2001-08-28 Newport Corporation FIMS transport box load interface
US6056026A (en) 1998-12-01 2000-05-02 Asyst Technologies, Inc. Passively activated valve for carrier purging
US6283692B1 (en) * 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6165268A (en) 1998-12-16 2000-12-26 Pri Automation, Inc. Wafer carrier adapter and method for use thereof
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6135698A (en) 1999-04-30 2000-10-24 Asyst Technologies, Inc. Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications
US6249342B1 (en) * 1999-07-06 2001-06-19 David Cheng Method and apparatus for handling and testing wafers
WO2001010756A1 (en) 1999-08-11 2001-02-15 Multilevel Metals, Inc. Load lock system for foups
US6135168A (en) 1999-12-22 2000-10-24 Industrial Technology Research Institute Standard mechanical interface wafer pod gas filling system
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US6677690B2 (en) * 2001-02-02 2004-01-13 Asyst Technologies, Inc. System for safeguarding integrated intrabay pod delivery and storage system
JP4543567B2 (ja) * 2001-03-12 2010-09-15 ムラテックオートメーション株式会社 ストッカ用ロボット
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
TW494079B (en) 2001-08-07 2002-07-11 Taiwan Semiconductor Mfg Wafer pod transport system and apparatus
US20030110649A1 (en) * 2001-12-19 2003-06-19 Applied Materials, Inc. Automatic calibration method for substrate carrier handling robot and jig for performing the method
US20030202865A1 (en) * 2002-04-25 2003-10-30 Applied Materials, Inc. Substrate transfer apparatus
JPWO2003105216A1 (ja) * 2002-06-07 2005-10-13 平田機工株式会社 容器搬送システム
US7039499B1 (en) * 2002-08-02 2006-05-02 Seminet Inc. Robotic storage buffer system for substrate carrier pods
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
US6848882B2 (en) * 2003-03-31 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US7236853B2 (en) * 2003-10-01 2007-06-26 Varian Semiconductor Equipment Associates, Inc. Automated robot alignment system and method using kinematic pins and end effector sensor
JP2005136294A (ja) * 2003-10-31 2005-05-26 Murata Mach Ltd 移載装置
US7230702B2 (en) * 2003-11-13 2007-06-12 Applied Materials, Inc. Monitoring of smart pin transition timing
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7168553B2 (en) * 2003-11-13 2007-01-30 Applied Materials, Inc. Dynamically balanced substrate carrier handler
US7051870B2 (en) 2003-11-26 2006-05-30 Applied Materials, Inc. Suspension track belt
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US20070258796A1 (en) 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010033316A (ko) * 1997-12-19 2001-04-25 세미툴 인코포레이티드 반도체 웨이퍼 입출력 취급 시스템
JP2000068350A (ja) 1998-08-24 2000-03-03 Mitsubishi Electric Corp ウエハ枚葉収納カセットの搬送装置及び搬送方法
US7077614B1 (en) 1998-10-14 2006-07-18 Asm International N.V. Sorting/storage device for wafers and method for handling thereof

Also Published As

Publication number Publication date
US20060013674A1 (en) 2006-01-19
US7914248B2 (en) 2011-03-29
US20080286076A1 (en) 2008-11-20
CN1779937A (zh) 2006-05-31
JP2006066893A (ja) 2006-03-09
US7409263B2 (en) 2008-08-05
JP4650831B2 (ja) 2011-03-16
CN100568479C (zh) 2009-12-09
KR20060050174A (ko) 2006-05-19
TW200607732A (en) 2006-03-01
TWI306827B (en) 2009-03-01

Similar Documents

Publication Publication Date Title
KR101157673B1 (ko) 기판 캐리어 지지부의 재배치 방법 및 장치
US20200388523A1 (en) Wafer aligner
US10403523B2 (en) Substrate processing apparatus
TWI710440B (zh) 工具自動教導方法及設備
TWI508217B (zh) 基板處理裝置
US8500915B2 (en) Substrate transporting apparatus, substrate platform shelf and substrate processing apparatus
US9834378B2 (en) Loader and buffer for reduced lot size
US6848882B2 (en) Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system
US20080235926A1 (en) Substrate processing apparatus for processing plurality of substrates in succession
JP2009049232A (ja) 基板処理装置
US20080236755A1 (en) Single-wafer type substrate processing apparatus having a carry-in port provided with first and second placement tables arranged in a line
TW200908195A (en) Inertial wafer centering end effector and transport apparatus
KR101461339B1 (ko) 기판 처리 장치 및 기판 처리 방법
CN106796906B (zh) 晶圆搬运方法及装置
US7934898B2 (en) High throughput semiconductor wafer processing
KR102014116B1 (ko) 트레이 반송 장치
US20090092470A1 (en) End effector with sensing capabilities
JP2913354B2 (ja) 処理システム
US20020150456A1 (en) Method and apparatus for transferring a wafer
KR101058597B1 (ko) 프로세싱 툴에 기판을 공급하는 방법 및 장치
JP2013165177A (ja) ストッカー装置
US20090022574A1 (en) Workpiece loading system
US11261024B2 (en) High density stocker
US20030051974A1 (en) Automated semiconductor processing system
KR100775696B1 (ko) 기판처리장치 및 기판반송방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee