CN1779937A - 用于重新定位用于基板载体的支架的方法和设备 - Google Patents
用于重新定位用于基板载体的支架的方法和设备 Download PDFInfo
- Publication number
- CN1779937A CN1779937A CNA2005101133001A CN200510113300A CN1779937A CN 1779937 A CN1779937 A CN 1779937A CN A2005101133001 A CNA2005101133001 A CN A2005101133001A CN 200510113300 A CN200510113300 A CN 200510113300A CN 1779937 A CN1779937 A CN 1779937A
- Authority
- CN
- China
- Prior art keywords
- substrate carrier
- end effector
- intermediate supports
- stilts
- built
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 314
- 238000000034 method Methods 0.000 title claims abstract description 60
- 239000012636 effector Substances 0.000 claims abstract description 338
- 238000012546 transfer Methods 0.000 claims abstract description 81
- 241000272165 Charadriidae Species 0.000 claims description 61
- 230000005540 biological transmission Effects 0.000 claims description 21
- 238000004519 manufacturing process Methods 0.000 description 17
- 239000004065 semiconductor Substances 0.000 description 14
- 239000000969 carrier Substances 0.000 description 6
- 238000004590 computer program Methods 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- 238000009434 installation Methods 0.000 description 5
- 238000013461 design Methods 0.000 description 4
- 230000008878 coupling Effects 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000005859 coupling reaction Methods 0.000 description 3
- 238000003032 molecular docking Methods 0.000 description 3
- 230000008450 motivation Effects 0.000 description 3
- 230000002349 favourable effect Effects 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 238000001259 photo etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/68—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67769—Storage means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/673—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
- H01L21/6735—Closed carriers
- H01L21/67379—Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67763—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
- H01L21/67775—Docking arrangements
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68707—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S414/00—Material or article handling
- Y10S414/135—Associated with semiconductor wafer handling
- Y10S414/141—Associated with semiconductor wafer handling includes means for gripping wafer
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Robotics (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
在第一方面中,提供一种重新定位由末端执行器提供的支架的第一方法。该第一方法包括步骤:(1)使用末端执行器以通过基板载体的底部支撑基板载体;(2)将基板载体自末端执行器转移到中间支撑位置,其中中间支撑位置通过基板载体的底部支撑基板载体;(3)接近基板载体的架空转移凸缘重新定位末端执行器;(4)使用末端执行器以通过基板载体的架空转移凸缘支撑基板载体;和(5)自中间支撑位置转移基板载体。提供了很多其他的方面。
Description
本申请要求2004年7月14日提出的美国临时专利申请序列No.60/587,752(代理号No.9474/L)的优先权,在此通过参考结合其全部内容。
相关申请的交叉参考
本申请涉及以下共同指定的、共同悬而未决的美国专利申请,这里通过参考结合其每一个的全部内容:
2003年8月28日提出的且题为“System For Transporting SubstrateCarriers”(代理号No.6900)的美国专利申请序列No.10/650,310;和
2003年8月28日提出的且题为“Substrate Carrier Handler ThatUnloads Substrate Carriers Directly From a Moving Conveyor”(代理号No.7676)的美国专利申请序列No.10/650,480。
技术领域
本发明通常涉及半导体器件制造,尤其涉及用于重新定位用于基板载体的支架的方法和装置。
发明背景
半导体器件的制造一般包括进行一系列关于例如硅基板、玻璃板等基板的工序。这些步骤可以包括抛光、淀积、蚀刻、光刻、热处理等等。通常可以在包括许多处理室的单个处理系统或“工具”中进行多个不同的处理步骤。然而,一般的情况是,需要在制造装置内部的其他处理位置处进行其他的处理,且因此基板必然在制造装置内部从一个处理位置传送到另一个。依据要制造的半导体器件的类型,可能需要在制造装置中的许多不同的处理位置处进行相对多的处理步骤。
在基板载体内部将基板从一个处理位置传送到另一个是常规的,其中基板载体例如是密封的容器、盒、槽等等。使用自动的基板载体传送装置将基板载体在制造装置内部的位置之间移动或将基板载体从基板载体传送装置传送或将基板载体传送至基板载体传送装置也是常规的,其中自动的基板载体传送装置例如是自动制导的运载装置、架空传送系统、基板载体操作机器人等等。然而,仍需要在传送操作期间用于支撑基板载体的改进的方法和设备。
发明内容
在本发明的第一方面中,提供了第一种方法以重新定位由末端执行器提供的支架。该第一种方法包括步骤:(1)使用末端执行器以由基板载体的底部来支撑基板载体;(2)从末端执行器向中间支撑位置转移基板载体,其中中间支撑位置由基板载体的底部来支撑基板载体;(3)接近基板载体的架空转移凸缘重新定位末端执行器;(4)使用末端执行器以由基板载体的架空转移凸缘来支撑基板载体;和(5)从中间支撑位置转移基板载体。
在本发明的第二个方面中,提供用于重新定位由末端执行器提供的支架的第二种方法。该第二种方法包括步骤:(1)使用末端执行器以由基板载体的架空转移凸缘来支撑基板载体;(2)从末端执行器将基板载体转移到中间支撑位置,其中中间支撑位置由基板载体的底部支撑基板载体;(3)接近基板载体底部重新定位末端执行器;(4)使用末端执行器以由基板载体的底部来支撑基板载体;和(5)从中间支撑位置转移基板载体。
在本发明的第三个方面中,提供了第一基板载体转移系统。该第一基板载体转移系统包括:(1)适合于由基板载体底部来支撑基板载体,和由基板载体的架空转移凸缘来支撑基板载体的末端执行器;(2)中间支撑位置;和(3)耦接到末端执行器的控制器,且该控制器适合于:(a)使用末端执行器以由基板载体底部支撑基板载体;(b)从末端执行器将基板载体转移到中间支撑位置,其中中间支撑位置由基板载体底部支撑基板载体;(c)接近基板载体的架空转移凸缘重新定位末端执行器;(d)使用末端执行器以由基板载体的架空转移凸缘来支撑基板载体;和(e)从中间支撑位置转移基板载体。
在本发明的第四个方面中,提供了第二基板载体转移系统。第二基板载体转移系统包括:(1)适合于由基板载体底部支撑基板载体和由基板载体的架空转移凸缘支撑基板载体的末端执行器;(2)中间支撑位置;和(3)耦合到末端执行器的控制器,且该控制器适合于:(a)使用末端执行器以由基板载体的架空转移凸缘来支撑基板载体;(b)从末端执行器将基板载体转移到中间支撑位置,其中中间支撑位置由基板载体底部支撑基板载体;(c)接近基板载体底部重新定位末端执行器;(d)使用末端执行器以由基板载体底部支撑基板载体;和(e)从中间支撑位置转移基板载体。提供了很多其他的方面,如根据本发明的这些或其它方面的方法、系统、设备和计算机程序产品。这里描述的每个计算机程序产品可以通过计算机可读介质(如,载波信号、软盘、光盘、DVD、硬驱动机、随机存取存储器等)来执行。
从以下详细的描述、附属的权利要求和附图,本发明的其他特征和方面将变得更加显而易见。
附图说明
图1是由根据本发明实施例的末端执行器来支撑的常规的前面开口的统一的容器(FOUP)的等距视图。
图2是图1的FOUP的顶视图。
图3是图1的FOUP的底视图。
图4是根据本发明实施例的图1的末端执行器和中间支撑位置的等距视图。
图5A是根据本发明实施例的图1的末端执行器底部表面的等距视图。
图5B是根据本发明实施例的图1的末端执行器的侧视图。
图6说明了用于重新定位由根据本发明实施例的末端执行器提供的支架的示意性的方法。
图7说明使用其以由根据本发明实施例的基板载体(例如,FOUP)底部来支撑基板载体的末端执行器。
图8说明直接位于根据本发明实施例的中间支撑位置上方的图7的末端执行器。
图9说明使用其以支撑根据本发明实施例的FOUP的底部侧的图7的中间支撑位置。
图10说明直接配置在中间支撑位置下方的图7的末端执行器,而中间支撑位置支撑根据本发明实施例的FOUP。
图11说明配置在由中间支撑位置支撑的FOUP的下方的图7的末端执行器,以使末端执行器任何部分都不位于根据本发明实施例的FOUP的下方。
图12说明配置成稍高于根据本发明实施例的FOUP的图7的末端执行器。
图13说明设置成最接近根据本发明实施例的FOUP的图7的末端执行器。
图14说明由根据本发明实施例的FOUP的OHT凸缘支撑FOUP的图7的末端执行器。
图15说明直接在根据本发明实施例的中间支撑位置上方支撑FOUP的图7的末端执行器。
图16说明末端执行器和配置成高于中间支撑位置的图7的FOUP,且使得末端执行器和FOUP的任何部分都不位于根据本发明实施例的中间支撑位置上方。
图17说明末端执行器和从根据本发明实施例的中间支撑位置转移的图7的FOUP。
图18说明用于重新定位由根据本发明实施例的末端执行器提供的支架的第二示意性方法。
图19是用于传送根据本发明实施例的基板载体的系统的示意性的正视图。
具体实施方式
如常规的前面开口的统一容器(FOUP)的基板载体可以存储一个或多个基板。在半导体器件制造工艺期间,使用传送装置如机械手的末端执行器将基板载体从半导体器件制造装置的第一位置转移到第二位置。该传送装置可通过支撑基板载体的第一端(例如,顶端)来将基板载体从第一位置转移(例如,通过提升)。然而,根据本发明,然后该传送装置可通过支撑基板载体的第二端(例如,底端)来将该基板载体转移到第二位置,反之亦然。例如,在转移期间,可以从基板载体的第一端到第二端重新定位提供给基板载体的支架。因此,该方法和设备提供了基板载体支架的重新定位。
图1是由根据本发明实施例的末端执行器103支撑的常规的前面开放的统一容器(FOUP)101的等距视图。在一个实施例中,FOUP 101可以是立方体。尽管在图1中示为立方体,但是FOUP 101可以采用其他的形状。FOUP 101可包括包括有顶面109和底面(在图1中未示出)的多个侧面或表面。FOUP 101包括在一个或多个侧面上的手柄105,其可以用于手控地传输FOUP 101。FOUP101包括耦接到FOUP 101的顶面或表面109的架空转移(OHT)凸缘107。OHT凸缘107可包括在基座114上和/或自基座114延伸的多个凸缘111。OHT凸缘107或FOUP 101的底面(图1中未示出)适合于耦接到传送装置,例如末端执行器103。
末端执行器103包括顶面113和底面115。以下参考图4和5分别描述末端执行器103的顶面113和底面115的细节。例如,在转移期间,可将末端执行器103用于支撑FOUP 101。更具体地,末端执行器103的底面115可使用(例如,通过)FOUP 101(例如,OHT凸缘107)的顶面109来支撑FOUP 101。可选地,如在图1中所示,末端执行器103的顶面113可使用(例如,通过)FOUP 101的底面来支撑FOUP 101。
图1包括根据本发明实施例的中间支撑位置117的等距视图。在一个实施例中,中间支撑位置117可以是架子。中间支撑位置117可以包括其他类型的支架。当从提供支撑到FOUP 101的第一面(例如,底面)到FOUP 101的第二面(例如,顶面109)重新定位末端执行器103时,中间支撑位置117支撑FOUP101(反之亦然)。在图1示出的实施例中,中间支撑位置117通过FOUP 101的底面(在图1中未示出)来支撑FOUP 101。在其它实施例中,中间支撑位置117可通过FOUP 101的另一面和/或另外的面来支撑FOUP 101。
图2是图1的FOUP 101的顶视图。OHT凸缘107的顶面201可包括一个或多个用于提供与如图1的中间支撑位置117的末端执行器103或支架装置对准的凸缘槽203。在一个实施例中,OHT凸缘107的顶部表面201或底部表面(未示出)包括三个凸缘槽203。可以使用其它数目的槽203。而且,不同的实施例可包括不同长度、深度和/或形状和/或槽位置的槽203。
图3是图1的FOUP 101的底视图。FOUP 101的底部表面301包括一个或多个用于提供与例如图1的中间支撑位置117的末端执行器103或支撑装置对准的FOUP槽303。在一个实施例中,FOUP 101的底部表面301包括三个FOUP槽303。可以使用其它数目的FOUP槽303。而且,不同的实施例可包括不同长度、深度、形状和/或槽位置的FOUP槽303。
图4是根据本发明实施例的图1的末端执行器103和中间支撑位置117的等距视图。末端执行器103的顶部表面113可包括一个或多个末端执行器管脚401。当末端执行器支撑FOUP 101的底部表面301时,该一个或多个末端执行器管脚401耦接到(例如,插入)包括在FOUP 101的底部表面301中的相应的FOUP槽303(图3)。设计该FOUP槽303和一个或多个末端执行器管脚401,以使一个或多个末端执行器管脚401与相应的FOUP槽303的相耦接使得FOUP101与末端执行器103相对准。类似地,中间支撑位置117可包括一个或多个支撑位置管脚403(例如,在支撑位置的顶面上)。当中间支撑位置117支撑FOUP101的底部表面时,该一个或多个支撑位置管脚403耦接到(例如,插入)相应的FOUP槽303。设计FOUP槽303和一个或多个支撑位置管脚403,以使一个或多个支撑位置管脚403与相应的FOUP槽303相耦接,使得FOUP 101与中间支撑位置117相对准。在图4的实施例中,使用了三个末端执行器管脚401和/或三个支撑位置管脚403,尽管可以使用其它数目的管脚。在一个实施例中,一个或多个末端执行器管脚401和/或一个或多个支撑位置管脚403是动态管脚。可以使用其他类型的管脚。而且,该一个或多个末端执行器管脚401和/或支撑位置管脚403可以包括传感器405(例如,为了检测精确的载体位置)。在2003年6月3日提出的题为“LOCATOR PIN INTEGRATED WITHSENSOR FOR DETECTING SEMICONDUCTOR SUBSTRATECARRIER”的美国专利No.6,573,522B2中描述了使用传感器的动态管脚,这里通过参考将其整体并入文本。
末端执行器103可耦接到控制器407,其适合于在一个或多个方向上移动(例如,控制其移动)末端执行器103。例如,控制器407可以适合于沿着x-轴、y-轴和/或z-轴(图4)移动末端执行器。该末端执行器103、中间支撑位置117和控制器407可形成基板载体转移系统409。
在图4的实施例中,设计末端执行器103的形状和一个或多个末端执行器管脚401的位置,以符合(compliment)中间支撑位置117的形状和在其上一个或多个支撑位置管脚403的位置。更具体地,当末端执行器103和中间支撑位置117处在同一平面内时(例如,xy-平面),末端执行器103的每个末端执行器管脚401和中间支撑位置117的每个相应的支撑位置管脚403可以耦接到(例如,插入)各自的FOUP槽303。在这段时间期间,末端执行器103和中间支撑位置117都支撑FOUP 101(例如,通过FOUP 101的底部表面)。
图5A是根据本发明实施例的末端执行器103的底部表面115的等距视图。末端执行器103的底面115可以包括一个或多个末端执行器凸缘501。如上所述,末端执行器103的底面115可使用FOUP 101的顶面109来支撑FOUP 101。更具体地,一个或多个末端执行器凸缘501适合于例如通过在OHT凸缘107下方滑动来耦接到OHT凸缘107。以这种方式,末端执行器103使用OHT凸缘107来支撑FOUP 101。在一个实施例中,末端执行器103包括四个末端执行器凸缘501。可以使用其它数目的末端执行器凸缘501。而且,不同的实施例可包括不同形状、位置和/或大小的末端执行器凸缘501。在一个实施例中,一个或多个末端执行器凸缘可包括和/或耦接到用于确保末端执行器凸缘501与OHT凸缘107同心性的末端执行器传感器503。例如,当相对于OHT凸缘107适合地配置一个或多个末端执行器凸缘501时,末端执行器传感器503可以表示出来。
图5B是根据本发明实施例的末端执行器103的侧视图。参考图5B,一个或多个末端执行器凸缘501的顶部表面505可包括一个或多个末端执行器管脚401。当末端执行器103通过OHT凸缘107支撑FOUP 101时,在末端执行器凸缘501的顶部表面505上的一个或多个末端执行器管脚401耦接到(例如,插入)相应的OHT凸缘槽(未示出),该OHT凸缘槽包括在OHT凸缘107的底部表面(未示出)中。设计OHT凸缘槽和一个或多个在末端执行器凸缘501的顶部表面505上的末端执行器管脚401,以使一个或多个末端执行器管脚401与相应的OHT凸缘槽相耦接,使得FOUP 101与末端执行器103相对准。
现在参考图1-6并参考图7-17描述基板载体转移系统409(图4)的示意性的操作,图中说明了用于重新定位由根据本发明的实施例提供的末端执行器101提供的支架的示意性的方法601。更具体地,描述了一种用于从FOUP 101的第一侧向第二侧重新定位由基板载体(例如,FOUP 101)的末端执行器103提供的支架的示意性的方法。例如,可以通过由控制器407来执行并存储于在控制器407中、与控制器407耦接或者与控制器407相连接的存储器中的计算机程序编码来进行方法601的一个或多个步骤,其中计算机程序编码例如在任何合适的计算机可读的介质(例如,载波信号、软盘、光盘、DVD、硬驱动机、随机存取存储器等)中。
参考图6,在步骤603中,开始方法601。在步骤605中,使用末端执行器103以通过基板载体(例如,FOUP 101)的底面301来支撑基板载体。可以使用控制器407来移动末端执行器,以使末端执行器103的顶部表面113的末端执行器管脚401耦接到包括在FOUP 101的底部表面301中的FOUP槽303,由此末端执行器103的顶部表面113耦接到FOUP 101的底面301。以这种方式,末端执行器103支撑FOUP 101的底面301。可以使用控制器407以沿着x、y和z的一个或多个轴移动末端执行器103,如上所述,从而将末端执行器103耦接到FOUP 101。图7说明使用其以通过根据本发明实施例的基板载体(例如,FOUP 101)的底部来支撑基板载体的末端执行器103。例如,末端执行器103可以已经从另一个通过其底部支撑FOUP 101的支撑架子,或从通过其OHT凸缘支撑FOUP的架空输送系统移动了FOUP 101。如在图7中所示,通过末端执行器103完全支撑FOUP 101。
在步骤607中,从末端执行器101向中间支撑位置117转移基板载体(例如,FOUP 101)。中间支撑位置117支撑基板载体(例如,FOUP 101)的底部301。例如,当末端执行器正支撑FOUP 101的底面301时,控制器407可以沿着z-轴(例如,垂直向上或向下)移动末端执行器103,以使末端执行器与中间支撑位置117(如在图7中所示)相比是最近的(例如,稍高些)。
然后,当末端执行器103正支撑FOUP 101的底面301时,控制器407沿着x-轴(例如,水平向左或向右)移动末端执行器103,以使末端执行器103直接位于中间支撑位置117的上方。图8说明直接位于根据本发明实施例的中间支撑位置117上方的图7的末端执行器103。末端执行器103通过FOUP 101的底面301完全地支撑FOUP 101。
然后,当末端执行器103正支撑FOUP 101的底面301时,控制器407沿着z-轴(例如垂直向下)移动末端执行器103。当末端执行器103沿着z-轴向下移动时,末端执行器103完全地支撑FOUP 101的底面301。然而,当末端执行器103接近图9中示出的位置(例如,根据管脚401、403的相对高度,该位置处末端执行器103和中间支撑位置117都处在相同的xy-平面内,或该位置处末端执行器103的xy-平面稍高或稍低于中间支撑位置117的xy-平面)时,末端执行器103和中间支撑位置117都可以支撑FOUP 101的底面301。例如,末端执行器103的每个末端执行器管脚401和中间支撑位置117的每个相应的支撑位置管脚403可以耦接到(例如,插入)支撑FOUP 101的底面301的各自的FOUP槽303。末端执行器103和中间支撑位置117都支撑FOUP 101的底面301的时间量是短暂的(例如,一秒或更少)。图9说明使用其以支撑根据本发明实施例的FOUP 101的底面301的中间支撑位置117。
当控制器407继续垂直向下移动末端执行器103时,继续通过中间支撑位置117自底面301支撑FOUP 101,且末端执行器管脚401自FOUP槽303脱离。因此,末端执行器103不再支撑FOUP 101,且中间支撑位置117可以完全地通过FOUP 101的底部(例如,底面)支撑FOUP 101。因此,FOUP 101从末端执行器103成功地转移到中间支撑位置117(如图10中所示)。
在步骤609中,接近基板载体(例如,FOUP 101)的架空转移(OHT)凸缘107重新定位末端执行器103。使用控制器407以沿着x、y和z轴的一个或多个移动不支撑FOUP 101的末端执行器103,以接近OHT凸缘107重新定位末端执行器103。例如,在从末端执行器103向中间支撑位置117转移FOUP 101之后,控制器407可以垂直向下移动末端执行器103。这种垂直向下的移动与步骤607的垂直向下的移动可以是连续的,或可以使用分离的移动。垂直向下移动的结果是,当中间支撑位置117支撑FOUP 101时,末端执行器103直接位于中间支撑位置117的下方。图10说明当中间支撑位置117支撑根据本发明实施例的FOUP 101时,直接配置在中间支撑位置117下方的末端执行器103。末端执行器103在FOUP 101的底部表面301的下方足够远,以使得在末端执行器103的任何水平移动期间(可以使用比图10中示出的小或大的垂直距离,如可以是垂直和水平移动的组合),末端执行器管脚401不接触中间支撑位置117。
然后,例如,控制器407可以沿着x-轴水平地移动末端执行器103。控制器407移动末端执行器103,例如移动到开口区域或孔道中(例如,见图19中的开口区域1911),以使末端执行器103的任何部分都不位于FOUP 101的下方,FOUP 101由中间支撑位置117来支撑。例如,在不接触在半导体器件制造工艺期间使用的其他装置或设备的情况下,孔道限定其中末端执行器可以沿着z-轴(例如,垂直地)移动的区域。例如,该孔道可以是多列架子和/或处理工具的负载端口之间的路经。图11说明配置在由中间支撑位置111支撑的FOUP 101下方的末端执行器103,以使末端执行器103的任何部分都不位于根据本发明实施例的FOUP 101的下方。
然后,控制器407沿着z-轴(例如,在孔道中垂直地)移动末端执行器103,以使末端执行器103稍高于FOUP 101。更具体地,移动末端执行器103,以使末端执行器103的底面115高于(例如,垂直地)FOUP的OHT凸缘107的顶部表面,并使得末端执行器103的末端执行器凸缘501和任何突起的部件(例如在图5B的侧前视图中所示的垂直定向的动态管脚401)低于(例如,垂直地)OHT凸缘107。由于末端执行器103在开口区域中移动,所以末端执行器103将不接触在半导体制造工艺期间使用的其他的装置或设备。如上所述,根据本发明的实施例,图12说明配置成稍高于FOUP 101的末端执行器103。
控制器407水平地移动末端执行器103(例如,沿着x和/或y轴),以使末端执行器103的底面115直接位于OHT凸缘107的顶部表面201上方,且末端执行器凸缘501直接位于OHT凸缘107的下方。如果沿着z-轴向上移动末端执行器103,则末端执行器凸缘501将耦接到OHT凸缘107(例如,通过提供末端执行器103和FOUP 101之间的最终对准、并防止FOUP 101在X-方向上移动期间滑动的动态管脚401)。以这种方式,接近FOUP 101的OHT凸缘107重新定位末端执行器103。图13说明如上所述,根据本发明的实施例,配置接近FOUP 101的末端执行器103。
在步骤611中,使用末端执行器103以通过基板载体(例如,FOUP 101)的OHT凸缘107来支撑基板载体(例如,FOUP 101)。控制器407沿着z-轴(例如,垂直地)向上移动末端执行器103。当沿着z-轴向上移动末端执行器103时,末端执行器凸缘501耦接到并支撑OHT凸缘107。末端执行器103向上移动的结果是,FOUP 101沿着z-轴从中间支撑位置117向上提升。因此,支撑位置117的支撑位置管脚403自相应的FOUP槽303脱离。因此,末端执行器103通过FOUP 101的OHT凸缘107完全地支撑FOUP 101。图14说明通过根据本发明实施例的FOUP 101的OHT凸缘107支撑FOUP 101的末端执行器103。
在步骤613中,在末端执行器103通过其OHT凸缘支撑基板载体之后,基板载体(例如,FOUP 101)从中间支撑位置117转移。例如,可以将FOUP 101放置在处理或装载锁定室(未示出)中。移动末端执行器103,且因此沿着z-轴向上提升FOUP 101,以使得在末端执行器103和FOUP 101的水平移动期间,支撑位置117管脚不接触FOUP 101的底面301。因此,末端执行器101支撑直接位于中间支撑位置117上方的FOUP 101,如在图15中所示。
控制器407例如将末端执行器103水平地(例如,沿着x和/或y轴)移动到开口区域或孔道中,以使末端执行器103和通过末端执行器103支撑的FOUP 101的任何部分都在中间支撑位置117的上方(例如,在上方延伸)。图16说明末端执行器103和配置成高于中间支撑位置117的FOUP 101,且使得末端执行器103和FOUP 101的任何部分都不位于根据本发明实施例的中间支撑位置117的上方。
控制器407沿着z-轴(例如,垂直地)移动末端执行器103和由末端执行器103支撑的FOUP 101,以相对于另一元件配置FOUP 101,其中另一元件例如是包括在半导体器件制造装置中的装载锁定室(未示出)、处理工具的系泊部位等。控制器407可以水平地(例如,沿着x和/或y轴)移动末端执行器103和FOUP 101以相对于该元件配置FOUP 101。以这种方式,从中间支撑位置117转移FOUP 101。图17说明末端执行器和从根据本发明实施例的中间支撑位置117(例如,且被传送到例如装载锁定室、处理工具的系泊部位、另一支撑位置、存储架子、传输系统等的任何其他希望的位置)转移的FOUP。
在步骤615中,方法601结束。通过使用图6的方法601,可以重新定位由末端执行器103提供的支架。更具体地,当从第一位置向半导体器件制造装置中的第二位置转移FOUP 101时,可以从FOUP 101的第一端(例如,底面301)至FOUP 101的第二端(例如,顶面109)重新定位基板载体(例如,FOUP101)的末端执行器103提供的支架。当使用FOUP 101的第一端从第一位置更常规地转移FOUP 101和使用FOUP 101的第二端从第二位置更常规地转移FOUP 101时,本方法是便利的。
在一个特定实施例中,可将方法601用作从通过架空转移凸缘支撑基板载体的架空传输系统将基板载体转移到通过基板载体的底面支撑基板载体的处理工具的负载端口的操作的一部份。例如,可使用末端执行器103以通过由基板载体的底部支撑基板载体来将基板载体从架空传输系统移走,其中架空传输系统如在前面并入的同是在2003年8月28日提交的美国专利申请序列No.10/650,310和10/650,480(例如传输系统在运转时)中所描述的。然后,可以重新定位末端执行器103,以使末端执行器103通过基板载体的OHT凸缘来支撑基板载体(如方法601中所描述的)。然后基板载体可配置(降低)到处理工具的负载端口上且可是闭合的/开放的,以允许处理包含在基板载体内部的基板。可以进行反向操作以通过由其OHT凸缘支撑基板载体来从负载端口移走基板载体,并通过由其底面支撑基板载体来将基板载体装载回架空传送系统上。
现在参考图7-17,并参考说明用于重新定位由根据本发明实施例的末端执行器103提供的支架的第二示意性方法1801的图18,描述基板载体转移系统409的进一步的示意性操作。更具体地,描述了一种用于从FOUP 101的顶面109(例如,第二面)向底面301(例如,第一面)重新定位由基板载体(例如FOUP 101)的末端执行器103提供的支架的示意性的方法。方法1801的一个或多个步骤例如可以通过计算机程序编码来进行,该计算机编码通过控制器407来执行并存储与在控制器407中、与控制器407耦接或者与控制器407连接的存储器中,例如在任何合适的计算机可读的介质(例如,载波信号、软盘、光盘、DVD、硬驱动机、随机存取存储器等)中。
参考图18,在步骤1803中,该方法1801开始。在步骤1805中,使用末端执行器103以通过基板载体(例如,FOUP 101)的架空转移(OHT)凸缘107来支撑基板载体(例如,FOUP 101)。如上所述,末端执行器凸缘501可以耦接到并支撑OHT凸缘107。结果,如在图17中所示,末端执行器103通过FOUP101的OHT凸缘107来支撑FOUP 101。例如,当从半导体器件制造装置的第一位置(例如,装载锁定室或系泊部位)转移FOUP 101时,末端执行器103使用OHT凸缘107来支撑FOUP 101。
在步骤1807中,将基板载体(例如,FOUP 101)从末端执行器103转移到中间支撑位置117。中间支撑位置支撑FOUP 101的底面301。可以使用控制器407以沿着x、y和z轴的一个或多个移动末端执行器103,以使得将FOUP 101从末端执行器103转移到中间支撑位置117。例如,控制器407可以移动末端执行器103,且因此沿着z-轴移动FOUP 101以使FOUP 101接近(例如,稍高于)中间支撑位置117。更具体地,控制器407配置末端执行器103和FOUP 101,以使当末端执行器103和FOUP 101水平(例如,沿着x和/或y轴)移动时,FOUP 101的底面301不与支撑位置管脚403接触。如在图16中所示,末端执行器103和FOUP 101配置成高于中间支撑位置117。
控制器407水平地(例如,沿着x和/或y轴)移动末端执行器103且由此移动FOUP 101,以使在FOUP 101的底面301中的每个FOUP槽303都配置成直接位于中间支撑位置117的相应的支撑位置管脚403上方。如在图15中所示,末端执行器103和FOUP 101配置成直接位于中间支撑位置117的上方。
控制器407垂直(例如,沿着z-轴)向下地移动末端执行器103并由此移动FOUP 101。当将FOUP 101的底部表面301配置成稍高于中间支撑位置117时,支撑位置管脚403开始进入在FOUP 101的底面301中的相应的FOUP槽303中。如在图14中所示的,FOUP 101配置成稍高于中间支撑位置117。
控制器407垂直向下地移动末端执行器103和FOUP 101,直到支撑位置管脚403与FOUP槽303相啮合或接触(例如,耦接)。如上所述,支撑位置管脚403可包括用于表示何时和/或确定是否相对于FOUP槽303合适地配置了一个或多个末端执行器管脚401和/或一个或多个支撑位置管脚403的传感器405。当将支撑位置管脚403合适地配置在(例如,耦接到)FOUP槽303中时,末端执行器凸缘501不与OHT凸缘107耦接。因此,中间支撑位置117完全地支撑FOUP 101,且末端执行器103不支撑FOUP 101。因此,FOUP 101从末端执行器103转移到中间支撑位置117。如在图13中所示,中间支撑位置117支撑FOUP 101。
在步骤1809中,接近基板载体(例如,FOUP 101)重新定位末端执行器103。可以使用控制器407以沿着x、y和z轴的一个或多个移动末端执行器103,以接近FOUP 101的底面301重新定位末端执行器103。例如,控制器407沿着x和/或y轴(例如,向孔道中)水平移动末端执行器103,以使末端执行器103的任何部分都不在FOUP 101的上方(在上方延伸),FOUP 101由中间支撑位置117支撑。如在图12中所示,配置末端执行器103,以使末端执行器103的任何部分都不在FOUP 101的上方延伸。
然后控制器407沿着z-轴向下(例如,在孔道中垂直地)移动末端执行器103,以使末端执行器103低于中间支撑位置117。配置末端执行器103,以使得当末端执行器103水平(例如,沿着x和或y轴)移动时末端执行器管脚401不与中间支撑位置117接触。如在图11中所示,将末端执行器103配置成低于中间支撑位置117,如上所述。
然后控制器407水平(例如,沿着x和/或y轴)移动末端执行器103,以使末端执行器103直接位于中间支撑位置117和FOUP 101的下方。更具体地,配置末端执行器103,以使当末端执行器103垂直移动时末端执行器103和中间支撑位置117可以占用相同的平面(例如xy平面),且将末端执行器管脚401配置成直接位于在FOUP 101的底面301中的相应的FOUP槽303的下方。如在图10中所示,将末端执行器103配置成直接位于中间支撑位置117和FOUP 101的下方,如上所述。以这种方式,接近FOUP 101的底面301重新定位末端执行器103。
在步骤1811中,使用末端执行器103以支撑基板载体(例如FOUP 101)的底部。控制器407沿着z-轴(例如,垂直地)向上移动末端执行器103,以使末端执行器管脚401与相应的FOUP槽303相啮合或耦接。然后如图9中所示,末端执行器103支撑FOUP 101。如上面提到的,末端执行器103和中间支撑位置117可暂时地同时支撑FOUP 101。在末端执行器103向上移动期间,支撑位置管脚403自FOUP槽303脱离。因此,末端执行器103支撑FOUP 101的底面303;中间支撑位置117不支撑FOUP 101的底面303。
在步骤1813中,自中间支撑位置117转移基板载体(例如,FOUP 101)。可以使用控制器407以沿着x、y和z轴的一个或多个移动末端执行器103,以使得自中间支撑位置117转移FOUP 101。例如,控制器407沿着z-轴(例如,垂直地)向上移动支撑FOUP 101的底面301的末端执行器103至直接位于中间支撑位置上方的位置。更具体地,配置末端执行器103,以使得当末端执行器103水平(例如,沿着x和/或y轴)移动时末端执行器103不与中间支撑位置117接触。如图8中所示,将末端执行器103和FOUP 101配置成位于中间支撑位置117上方,如上所述。
控制器407可以水平(例如,沿着x和/或y轴)移动末端执行器103,以使末端执行器103和FOUP 101的任何部分都不位于中间支撑位置117的上方(例如,在上方延伸)。例如,末端执行器103和因此的FOUP 103移动到孔道或开口区域中。如图7中所示,配置末端执行器103和FOUP 101,以使末端执行器103和FOUP 101的任何部分都不位于中间支撑位置117上方。可以沿着z-轴(例如,垂直地)移动末端执行器103并由此移动FOUP 101,以使FOUP101接近半导体器件制造装置(例如,架空传输器(未示出))的第二位置。以这种方式,自中间支撑位置117转移FOUP 101。
在步骤1815中,方法1801结束。通过使用图18的方法1801,重新定位由末端执行器103提供的支架。更具体地,当FOUP 101自半导体器件制造装置中的第一位置(例如,处理或装载锁定室)至第二位置(例如,架空传输器)转移时,可以自FOUP 101的顶面109至FOUP 101的底面301重新定位由基板载体(例如FOUP 101)的末端执行器103提供的支架。当使用FOUP 101的顶面更常规地自第一位置转移FOUP 101和使用FOUP 101的底面更常规地将FOUP 101转移到第二位置时,本方法是有利的。
当应用在例如前面并入的2003年8月28日提出的题为“SUBSTRATECARRIER HANDLER THAT UNLOADS SUBSTRATE CARRIERSDIRECTLY FROM A MOVING CONVEYOR”(代理No.7676)的美国专利申请序列No.10/650,480的系统中时,本发明尤其有利。
前面的描述只公开了本发明示意性的实施例。对于本领域技术人员来讲,落入本发明范围内的上面公开的设备和方法的改进当然是显而易见的。例如,尽管在描述本方法601、1801的一个或多个步骤时提供了对于末端执行器103的示意性的移动序列,但是可以使用不同的移动序列以进行本方法601、1801的任何步骤。在一个或多个实施例中,控制器407适合于进行本方法601、1801的一个或多个步骤。可选地,可以使用其他的控制装置以进行本方法601、1801的一个或多个步骤。尽管在一个或多个实施例中,第一端是FOUP 101的底面301和第二端是FOUP 101的顶面109,但是反之亦然,第一端可以是FOUP 101的任一面和第二端可以是FOUP 101的任另一面。
而且,尽管末端执行器103和/或中间支撑位置117通过耦接管脚401、403以与槽303相应(例如,互补)来支撑FOUP 101的底面301,但是可以使用不同的互补装置来耦接末端执行器103和/或中间支撑位置117和FOUP101。类似地,末端执行器凸缘501和OHT凸缘107可以由其他的互补装置代替。在某些实施例中,中间支撑位置117可以由其架空转移凸缘来支撑基板载体。
图19是用于传送根据本发明的基板载体的系统1901的示意性的前视图。参考图19,系统1901包括适合于传送在多个处理工具之间的基板载体1905的架空传输系统1903,多个处理工具包括图19中所示的第一处理工具1907。
在本发明的一个或多个实施例中,可以如在前面并入的同是在2003年8月28日提出的美国专利申请序列No.10/650,310和10/650,480中所示的那样,构成架空传输系统1903,且其可以适合于持续处于运转状态。可以使用包括不是持续处于运转状态的传输系统的其它的架空传输系统。在图19的实施例中,架空传输系统1903适合于通过每个基板载体1905的架空转移凸缘1906来支撑基板载体1905。
处理工具1907包括末端执行器103,其适合于通过基板载体1905的底部或通过基板载体1905的架空转移凸缘1906来支撑基板载体1905(如剖视图中所示)。处理工具1907包括多个分成如所示两列的负载端口1909a-f。可以使用负载端口的其他结构和/或数量。每个负载端口1909a-f可以适合于支撑、锁定和/或打开基板载体1905(例如,以允许在基板载体1905内部的基板被取出并在处理工具1907内部处理),以及移出和/或关闭基板载体1905。在示出的实施例中,每个负载端口1909a-f适合于通过基板载体的底部来支撑基板载体1905。
处理工具1907还包括多个支撑位置117a-f。支撑位置117a-f可以使用其他的数目和/或排列。开口区域或孔道1911存在于多列支撑位置117a-f和限定了其中末端执行器103可以沿着z-轴(例如,垂直地)移动而不与其它的支撑位置和/或负载端口接触的区域的负载端口1909a-f之间。
控制器407与处理工具1907相耦接且可以适合于控制处理工具1907的操作,包括如前所述的末端执行器103的操作(例如,以便于进行方法601或1801)。
在系统1901的示意性的操作中,可以使用末端执行器103将基板载体1905自架空传输系统1903卸载,以通过基板载体1905的底部支撑基板载体1905(例如,见同是在2003年8月28日申请的美国专利申请序列No.10/650,310和10/650,480)。然后可以将基板载体1905放置在支撑位置117a-f中之一上,且可以重新定位末端执行器103以通过其架空转移凸缘1906来支撑基板载体1905(如前所述)。然后,基板载体可以自支撑位置117a-f转移到负载端口1909a-f之一,并通过基板载体1905的底部被各自的负载端口支撑。然后可以在负载端口处锁定并开放基板载体1905,并且可以在处理工具1907内部处理基板载体1905的基板。其后,可以在负载端口处关闭并移出基板载体1905。然后末端执行器103可以将基板载体1905自各自的负载端口转移至支撑位置117a-f之一,以通过其架空转移凸缘来支撑基板载体1905。其后,可以重新定位末端执行器103(如前所述的),以通过基板载体1905的底部来支撑基板载体1905。然后可以通过末端执行器103将基板载体1905装载在架空传输系统1903上并将其传送到另一处理工具(未示出)或制造装置内部的其他位置。控制器407可以包括用于进行任一上述步骤的计算机程序编码。当传输系统停止或处于运动状态时,可以进行将基板载体装载到架空传输系统1903上或自架空传输系统1903卸载的步骤。
当已经参考FOUP主要描述了本发明时,将理解可以使用其他类型的基板载体(例如,底部开口基板载体、顶部开口基板载体等)。同样,可以以小批量或大批量的基板载体使用本发明。如这里使用的,“小批”量基板载体涉及到适合于保持明显地小于一般保持13或25个基板的常规“大批”量基板载体的基板的基板载体。作为例子,在一个实施例中,小批量基板载体适合于保持5个或更少的基板。可以使用其他小批量基板载体(例如,保持1、2、3、4、5、6、7或更多个基板但是明显地少于大批量基板载体的基板的小批量载体)。例如,在一个实施例中,每个小批量基板载体也可以保持用于人工传送在半导体器件制造装置内部可以维持的基板载体的几个基板。
因此,虽然关于本发明示意性的实施例已经公开了本发明,但是应当理解,如以下的权利要求限定的,其他的实施例也落在本发明的精神和范围内。
Claims (30)
1.一种用于重新定位由末端执行器提供的支架的方法,包括:
使用末端执行器以通过基板载体的底部来支撑基板载体;
将基板载体自末端执行器转移到中间支撑位置,其中中间支撑位置通过基板载体的底部来支撑基板载体;
接近基板载体的架空转移凸缘重新定位末端执行器;
使用末端执行器,以通过基板载体的架空转移凸缘来支撑基板载体;和
自中间支撑位置转移基板载体。
2.权利要求1的方法,其中使用末端执行器以通过基板载体的底部支撑基板载体,包括使用末端执行器的顶面以通过基板载体的底部支撑基板载体。
3.权利要求1的方法,其中使用末端执行器以通过基板载体的架空转移凸缘来支撑基板载体,包括使用末端执行器的底面以通过基板载体的架空转移凸缘来支撑基板载体。
4.权利要求1的方法,其中接近基板载体的架空转移凸缘重新定位末端执行器包括将末端执行器垂直地移动到自基板载体横向移位的区域中。
5.权利要求1的方法,其中自末端执行器向中间支撑位置转移基板载体包括移动末端执行器,以使在中间支撑位置的顶面上的管脚与基板载体的底部的相应的槽耦接,且末端执行器顶面上的管脚不再与基板载体底部中的相应的槽耦接。
6.权利要求1的方法,其中自中间支撑位置转移基板载体包括移动末端执行器,以使耦接到末端执行器的底面的管脚与基板载体的架空转移凸缘的相应的槽耦接,且中间支撑位置的顶面上的管脚不再与基板载体的底部中的相应的槽耦接。
7.一种用于重新定位由末端执行器提供的支架的方法,包括:
使用末端执行器以通过基板载体的架空转移凸缘来支撑基板载体;
自末端执行器将基板载体转移至中间支撑位置,其中中间支撑位置通过基板载体的底部支撑基板载体;
接近基板载体的底部重新定位末端执行器;
使用末端执行器以通过基板载体的底部来支撑基板载体;和
自中间支撑位置转移基板载体。
8.权利要求7的方法,其中使用末端执行器以通过基板载体的架空转移凸缘来支撑基板载体,包括使用末端执行器的底面以通过基板载体的架空转移凸缘来支撑基板载体。
9.权利要求7的方法,其中使用末端执行器以通过基板载体的底部来支撑基板载体,包括使用末端执行器的顶面以通过基板载体的底部来支撑基板载体。
10.权利要求7的方法,其中接近基板载体的底部重新定位末端执行器包括将末端执行器垂直地移动到自基板载体横向移位的区域中。
11.权利要求7的方法,其中自末端执行器向中间支撑位置转移基板载体包括移动末端执行器,以使中间支撑位置的顶面上的管脚与基板载体的底部中的相应的槽耦接,且与末端执行器的底面耦接的管脚不再与基板载体架空转移凸缘的相应的槽相耦接。
12.权利要求7的方法,其中自中间支撑位置转移基板载体包括移动末端执行器,以使末端执行器的顶面上的管脚与基板载体的底部中的相应的槽相耦接,且中间支撑位置的顶面上的管脚不再与基板载体的底部中的相应的槽耦接。
13.一种基板载体转移系统,包括:
末端执行器,适合于通过基板载体的底部支撑基板载体,和通过基板载体的架空转移凸缘来支撑基板载体;
中间支撑位置;和
控制器,耦接到末端执行器,且适合于:
使用末端执行器以通过基板载体的底部支撑基板载体;
自末端执行器向中间支撑位置转移基板载体,其中中间支撑位置通过基板载体的底部支撑基板载体;
接近基板载体的架空转移凸缘重新定位末端执行器;
使用末端执行器以通过基板载体的架空转移凸缘来支撑基板载体;和
自中间支撑位置转移基板载体。
14.权利要求13的基板载体转移系统,其中控制器进一步适合于使用末端执行器的顶面以通过基板载体的底部来支撑基板载体。
15.权利要求13的基板载体转移系统,其中控制器进一步适合于使用末端执行器的底面以通过基板载体的架空转移凸缘来支撑基板载体。
16.权利要求13的基板载体转移系统,其中控制器还适合于通过将末端执行器垂直地移动到自基板载体横向移位的区域中,接近基板载体的架空转移凸缘重新定位末端执行器。
17.权利要求13的基板载体转移系统,其中控制器进一步适合于移动末端执行器,以使中间支撑位置顶面上的管脚与基板载体的底部中的相应的槽耦接,且末端执行器的顶面上的管脚不再与基板载体的相应的槽耦接。
18.权利要求13的基板载体转移系统,其中控制器进一步适合于移动末端执行器,以使与末端执行器的底面耦接的管脚与基板载体的架空转移凸缘的相应的槽耦接,且中间支撑位置的顶面上的管脚不再与基板载体的底部中的相应的槽耦接。
19.一种基板载体转移系统,包括:
末端执行器,适合于通过基板载体的底部来支撑基板载体,和通过基板载体的架空转移凸缘来支撑基板载体;
中间支撑位置;和
控制器,耦接到末端执行器,并适合于:
使用末端执行器以通过基板载体的架空转移凸缘来支撑基板载体;
自末端执行器向中间支撑位置转移基板载体,其中中间支撑位置通过基板载体的底部支撑基板载体;
接近基板载体的底部重新定位末端执行器;
使用末端执行器以通过基板载体的底部支撑基板载体;和
自中间支撑位置转移基板载体。
20.权利要求19的基板载体转移系统,其中控制器进一步适合于使用末端执行器的底面以通过基板载体的架空转移凸缘来支撑基板载体。
21.权利要求19的基板载体转移系统,其中控制器进一步适合于使用末端执行器的顶面以通过基板载体的底部支撑基板载体。
22.权利要求19的基板载体转移系统,其中该控制器进一步适合于通过将末端执行器垂直地移动到自基板载体横向移位的区域中,接近基板载体的底部重新定位末端执行器。
23.权利要求19的基板载体转移系统,其中控制器进一步适合于移动末端执行器,以使中间支撑位置的顶面上的管脚与基板载体底部中的相应的槽耦接,且与末端执行器的底面耦接的管脚不再与基板载体架空转移凸缘的相应的槽耦接。
24.权利要求19的基板载体转移系统,其中控制器进一步适合于移动末端执行器,以使末端执行器的顶面上的管脚与基板载体的底部中的相应的槽耦接,且在中间支撑位置的顶面上的管脚不再与基板载体的底部中的相应的槽耦接。
25.一种方法,包括:
使用架空传输系统以传送基板载体;
使用处理工具的末端执行器,以通过由基板载体的底部支撑基板载体来自架空传输系统移走基板载体;
使用末端执行器,以将基板载体转移到处理工具的中间支撑位置;
接近基板载体的架空转移凸缘重新定位末端执行器;
使用末端执行器以通过由基板载体的架空转移凸缘支撑基板载体来自中间支撑位置移出基板载体;和
使用末端执行器以将基板载体转移至处理工具的负载端口。
26.权利要求25的方法,进一步包括在负载端口处锁定和开口基板载体。
27.权利要求26的方法,进一步包括使用处理工具处理基板载体内部的任何基板。
28.权利要求26的方法,进一步包括在负载端口处关闭和移出基板载体。
29.权利要求25的方法,进一步包括:
使用末端执行器以通过基板载体的架空转移凸缘自负载端口移走基板载体;
使用末端执行器以将基板载体转移到处理工具的中间支撑位置;
接近基板载体底部重新定位末端执行器;
使用末端执行器以通过由基板载体的底部支撑基板载体来自中间支撑位置移走基板载体;和
使用末端执行器以通过由基板载体的底部支撑基板载体来将基板载体装载到架空传输系统上。
30.权利要求29的方法,其中使用末端执行器,以自架空传输系统移走基板载体和使用末端执行器以将基板载体装载到架空传输系统发生的同时,架空传输系统处于运动状态。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US58775204P | 2004-07-14 | 2004-07-14 | |
US60/587,752 | 2004-07-14 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN1779937A true CN1779937A (zh) | 2006-05-31 |
CN100568479C CN100568479C (zh) | 2009-12-09 |
Family
ID=36113033
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2005101133001A Expired - Fee Related CN100568479C (zh) | 2004-07-14 | 2005-07-14 | 用于重新定位用于基板载体的支架的方法和设备 |
Country Status (5)
Country | Link |
---|---|
US (2) | US7409263B2 (zh) |
JP (1) | JP4650831B2 (zh) |
KR (1) | KR101157673B1 (zh) |
CN (1) | CN100568479C (zh) |
TW (1) | TWI306827B (zh) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101127316B (zh) * | 2006-08-16 | 2010-06-02 | 株式会社迪思科 | 晶片搬送方法和磨削装置 |
CN110911324A (zh) * | 2018-09-14 | 2020-03-24 | Asm Ip控股有限公司 | 用于储存和处理盒的盒供应系统及配备其的处理设备 |
Families Citing this family (356)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6283692B1 (en) | 1998-12-01 | 2001-09-04 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US20020090282A1 (en) * | 2001-01-05 | 2002-07-11 | Applied Materials, Inc. | Actuatable loadport system |
US20030031538A1 (en) * | 2001-06-30 | 2003-02-13 | Applied Materials, Inc. | Datum plate for use in installations of substrate handling systems |
US7243003B2 (en) * | 2002-08-31 | 2007-07-10 | Applied Materials, Inc. | Substrate carrier handler that unloads substrate carriers directly from a moving conveyor |
US20040081546A1 (en) * | 2002-08-31 | 2004-04-29 | Applied Materials, Inc. | Method and apparatus for supplying substrates to a processing tool |
US7930061B2 (en) | 2002-08-31 | 2011-04-19 | Applied Materials, Inc. | Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback |
US7684895B2 (en) * | 2002-08-31 | 2010-03-23 | Applied Materials, Inc. | Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event |
TW200524073A (en) * | 2003-11-13 | 2005-07-16 | Applied Materials Inc | Kinematic pin with shear member and substrate carrier for use therewith |
CN1669892B (zh) * | 2003-11-13 | 2011-11-16 | 应用材料股份有限公司 | 高速载入器相对于基片传送系统的校准 |
US7409263B2 (en) * | 2004-07-14 | 2008-08-05 | Applied Materials, Inc. | Methods and apparatus for repositioning support for a substrate carrier |
US20100057254A1 (en) * | 2006-11-13 | 2010-03-04 | Salamanca Hugo P | Methods for using robotics in mining and post-mining processing |
US20090099688A1 (en) * | 2005-11-10 | 2009-04-16 | Hugo Salamanca | Integral robot system and method for the dislodging process and/or anode handling from casting wheels |
US20090177324A1 (en) * | 2005-11-10 | 2009-07-09 | Hugo Salamanca | Robot system and method for maxibags sampling in ore concentration processes |
US10537671B2 (en) | 2006-04-14 | 2020-01-21 | Deka Products Limited Partnership | Automated control mechanisms in a hemodialysis apparatus |
KR100772845B1 (ko) * | 2006-06-21 | 2007-11-02 | 삼성전자주식회사 | 반도체 디바이스 제조설비에서의 웨이퍼 수납장치 |
US8920097B2 (en) * | 2006-11-02 | 2014-12-30 | Globalfoundries Singapore Pte. Ltd. | Wafer handling system for a loadlock |
CA2681912C (en) | 2007-02-27 | 2015-09-29 | Deka Products Limited Partnership | Hemodialysis systems and methods |
US10463774B2 (en) | 2007-02-27 | 2019-11-05 | Deka Products Limited Partnership | Control systems and methods for blood or fluid handling medical devices |
US8409441B2 (en) | 2007-02-27 | 2013-04-02 | Deka Products Limited Partnership | Blood treatment systems and methods |
US8814488B2 (en) * | 2007-04-02 | 2014-08-26 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and semiconductor device manufacturing method |
KR20090026099A (ko) * | 2007-09-06 | 2009-03-11 | 아시스트 테크놀로지스 재팬 가부시키가이샤 | 보관고, 반송 시스템 및 보관고 세트 |
JP2009135232A (ja) * | 2007-11-29 | 2009-06-18 | Sinfonia Technology Co Ltd | ロードポート |
US7984543B2 (en) * | 2008-01-25 | 2011-07-26 | Applied Materials, Inc. | Methods for moving a substrate carrier |
JP5062485B2 (ja) * | 2008-04-09 | 2012-10-31 | 株式会社ダイフク | 物品搬送設備 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8886354B2 (en) * | 2009-01-11 | 2014-11-11 | Applied Materials, Inc. | Methods, systems and apparatus for rapid exchange of work material |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
DE102011113563A1 (de) * | 2011-09-19 | 2013-03-21 | Oerlikon Trading Ag, Trübbach | Karussellschlitten für Vakuumbehandlungsanlage |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9997384B2 (en) * | 2011-12-01 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for transporting wafers between wafer holders and chambers |
US20140041755A1 (en) * | 2012-08-09 | 2014-02-13 | Santa Phoenix Technology Inc. | Wafer pod gas charging apparatus |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9142437B2 (en) * | 2013-04-10 | 2015-09-22 | Globalfoundries Inc. | System for separately handling different size FOUPs |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
JP6052209B2 (ja) * | 2014-03-11 | 2016-12-27 | 株式会社ダイフク | 容器搬送設備 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
WO2015141793A1 (ja) * | 2014-03-20 | 2015-09-24 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US12026271B2 (en) | 2014-05-27 | 2024-07-02 | Deka Products Limited Partnership | Control systems and methods for blood or fluid handling medical devices |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10625977B2 (en) * | 2015-10-20 | 2020-04-21 | Schlumberger Technology Corporation | Method and system for detecting faults and abnormal wear conditions in oil and gas wireline logging winch units |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
CN107324041B (zh) * | 2016-04-29 | 2019-11-26 | 上海微电子装备(集团)股份有限公司 | 用于片盒夹持的机械手及自动片盒搬运装置 |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10371646B2 (en) * | 2016-09-19 | 2019-08-06 | The Boeing Company | Method and system for automated data collection and part validation |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10504762B2 (en) | 2018-02-06 | 2019-12-10 | Applied Materials, Inc. | Bridging front opening unified pod (FOUP) |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
US11084169B2 (en) * | 2018-05-23 | 2021-08-10 | General Electric Company | System and method for controlling a robotic arm |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11239099B2 (en) * | 2018-09-27 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tool monitoring device and method of monitoring tool |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TWI735115B (zh) * | 2019-12-24 | 2021-08-01 | 力成科技股份有限公司 | 晶圓儲存裝置及晶圓承載盤 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
WO2021181924A1 (ja) * | 2020-03-13 | 2021-09-16 | 村田機械株式会社 | グリッパ装置、搬送車、及び搬送方法 |
US12027397B2 (en) | 2020-03-23 | 2024-07-02 | Applied Materials, Inc | Enclosure system shelf including alignment features |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
USD954769S1 (en) * | 2020-06-02 | 2022-06-14 | Applied Materials, Inc. | Enclosure system shelf |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
EP4052962B1 (de) * | 2021-03-03 | 2023-07-26 | Jungheinrich Aktiengesellschaft | Lageranordnung |
US20220315336A1 (en) * | 2021-04-05 | 2022-10-06 | Microsoft Technology Licensing, Llc | Loader tool |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (70)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4449885A (en) | 1982-05-24 | 1984-05-22 | Varian Associates, Inc. | Wafer transfer system |
US4861222A (en) | 1984-03-09 | 1989-08-29 | Tegal Corporation | Cassette elevator for use in a modular article processing machine |
US4776744A (en) | 1985-09-09 | 1988-10-11 | Applied Materials, Inc. | Systems and methods for wafer handling in semiconductor process equipment |
US4886412A (en) | 1986-10-28 | 1989-12-12 | Tetron, Inc. | Method and system for loading wafers |
US4859137A (en) | 1987-10-21 | 1989-08-22 | Asyst Technologies | Apparatus for transporting a holder between a port opening of a standardized mechanical interface system and a loading and unloading station |
US4995430A (en) | 1989-05-19 | 1991-02-26 | Asyst Technologies, Inc. | Sealable transportable container having improved latch mechanism |
US5169272A (en) | 1990-11-01 | 1992-12-08 | Asyst Technologies, Inc. | Method and apparatus for transferring articles between two controlled environments |
JPH04179143A (ja) * | 1990-11-09 | 1992-06-25 | Hitachi Ltd | ウェハ収納治具およびその搬送方法 |
US5668056A (en) | 1990-12-17 | 1997-09-16 | United Microelectronics Corporation | Single semiconductor wafer transfer method and manufacturing system |
US5256204A (en) | 1991-12-13 | 1993-10-26 | United Microelectronics Corporation | Single semiconductor water transfer method and manufacturing system |
JP2970183B2 (ja) | 1992-03-03 | 1999-11-02 | 松下電器産業株式会社 | ウエハの搬送保管方法とウエハキャリア |
US5246218A (en) * | 1992-09-25 | 1993-09-21 | Intel Corporation | Apparatus for securing an automatically loaded wafer cassette on a wafer processing equipment |
US5538390A (en) | 1993-10-29 | 1996-07-23 | Applied Materials, Inc. | Enclosure for load lock interface |
DE69403890T2 (de) | 1994-01-14 | 1998-01-08 | International Business Machines Corp., Armonk, N.Y. | Zusammenbau-/Ausbau-Einrichtung für abdichtbaren unter Druck stehenden Transportbehälter |
US5544421A (en) | 1994-04-28 | 1996-08-13 | Semitool, Inc. | Semiconductor wafer processing system |
US6447232B1 (en) | 1994-04-28 | 2002-09-10 | Semitool, Inc. | Semiconductor wafer processing apparatus having improved wafer input/output handling system |
US5713711A (en) | 1995-01-17 | 1998-02-03 | Bye/Oasis | Multiple interface door for wafer storage and handling container |
DE59611078D1 (de) * | 1995-03-28 | 2004-10-14 | Brooks Automation Gmbh | Be- und Entladestation für Halbleiterbearbeitungsanlagen |
US5664925A (en) | 1995-07-06 | 1997-09-09 | Brooks Automation, Inc. | Batchloader for load lock |
US5607276A (en) | 1995-07-06 | 1997-03-04 | Brooks Automation, Inc. | Batchloader for substrate carrier on load lock |
US5615988A (en) | 1995-07-07 | 1997-04-01 | Pri Automation, Inc. | Wafer transfer system having rotational capability |
US5740845A (en) | 1995-07-07 | 1998-04-21 | Asyst Technologies | Sealable, transportable container having a breather assembly |
US5788458A (en) | 1995-07-10 | 1998-08-04 | Asyst Technologies, Inc. | Method and apparatus for vertical transfer of a semiconductor wafer cassette |
US5950643A (en) | 1995-09-06 | 1999-09-14 | Miyazaki; Takeshiro | Wafer processing system |
US5752796A (en) | 1996-01-24 | 1998-05-19 | Muka; Richard S. | Vacuum integrated SMIF system |
TW363903B (en) | 1996-03-11 | 1999-07-11 | Memc Electronic Materials Spa | Apparatus for use in automatically cleaning semiconductor wafers and methods for drying a semiconductor wafer in the automatic drying machine |
US5870488A (en) | 1996-05-07 | 1999-02-09 | Fortrend Engineering Corporation | Method and apparatus for prealigning wafers in a wafer sorting system |
US5674039A (en) | 1996-07-12 | 1997-10-07 | Fusion Systems Corporation | System for transferring articles between controlled environments |
US5980183A (en) | 1997-04-14 | 1999-11-09 | Asyst Technologies, Inc. | Integrated intrabay buffer, delivery, and stocker system |
US6579052B1 (en) * | 1997-07-11 | 2003-06-17 | Asyst Technologies, Inc. | SMIF pod storage, delivery and retrieval system |
US6053688A (en) | 1997-08-25 | 2000-04-25 | Cheng; David | Method and apparatus for loading and unloading wafers from a wafer carrier |
US6183186B1 (en) | 1997-08-29 | 2001-02-06 | Daitron, Inc. | Wafer handling system and method |
JPH11204615A (ja) | 1998-01-19 | 1999-07-30 | Speedfam Co Ltd | ローディングロボットのウェーハローディング、アンローディング機構 |
US6281516B1 (en) | 1998-07-13 | 2001-08-28 | Newport Corporation | FIMS transport box load interface |
JP2000068350A (ja) | 1998-08-24 | 2000-03-03 | Mitsubishi Electric Corp | ウエハ枚葉収納カセットの搬送装置及び搬送方法 |
NL1010317C2 (nl) | 1998-10-14 | 2000-05-01 | Asm Int | Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan. |
US6283692B1 (en) | 1998-12-01 | 2001-09-04 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US6056026A (en) | 1998-12-01 | 2000-05-02 | Asyst Technologies, Inc. | Passively activated valve for carrier purging |
US6165268A (en) | 1998-12-16 | 2000-12-26 | Pri Automation, Inc. | Wafer carrier adapter and method for use thereof |
US6042324A (en) | 1999-03-26 | 2000-03-28 | Asm America, Inc. | Multi-stage single-drive FOUP door system |
US6135698A (en) | 1999-04-30 | 2000-10-24 | Asyst Technologies, Inc. | Universal tool interface and/or workpiece transfer apparatus for SMIF and open pod applications |
US6249342B1 (en) * | 1999-07-06 | 2001-06-19 | David Cheng | Method and apparatus for handling and testing wafers |
AU6763000A (en) | 1999-08-11 | 2001-03-05 | Multilevel Metals, Inc. | Load lock system for foups |
US6135168A (en) | 1999-12-22 | 2000-10-24 | Industrial Technology Research Institute | Standard mechanical interface wafer pod gas filling system |
US6506009B1 (en) | 2000-03-16 | 2003-01-14 | Applied Materials, Inc. | Apparatus for storing and moving a cassette |
US20020090282A1 (en) | 2001-01-05 | 2002-07-11 | Applied Materials, Inc. | Actuatable loadport system |
US6677690B2 (en) * | 2001-02-02 | 2004-01-13 | Asyst Technologies, Inc. | System for safeguarding integrated intrabay pod delivery and storage system |
JP4543567B2 (ja) * | 2001-03-12 | 2010-09-15 | ムラテックオートメーション株式会社 | ストッカ用ロボット |
US20030031538A1 (en) | 2001-06-30 | 2003-02-13 | Applied Materials, Inc. | Datum plate for use in installations of substrate handling systems |
TW494079B (en) | 2001-08-07 | 2002-07-11 | Taiwan Semiconductor Mfg | Wafer pod transport system and apparatus |
US20030110649A1 (en) | 2001-12-19 | 2003-06-19 | Applied Materials, Inc. | Automatic calibration method for substrate carrier handling robot and jig for performing the method |
US20030202865A1 (en) | 2002-04-25 | 2003-10-30 | Applied Materials, Inc. | Substrate transfer apparatus |
JPWO2003105216A1 (ja) * | 2002-06-07 | 2005-10-13 | 平田機工株式会社 | 容器搬送システム |
US7039499B1 (en) * | 2002-08-02 | 2006-05-02 | Seminet Inc. | Robotic storage buffer system for substrate carrier pods |
US7243003B2 (en) | 2002-08-31 | 2007-07-10 | Applied Materials, Inc. | Substrate carrier handler that unloads substrate carriers directly from a moving conveyor |
US20040081546A1 (en) | 2002-08-31 | 2004-04-29 | Applied Materials, Inc. | Method and apparatus for supplying substrates to a processing tool |
US7930061B2 (en) | 2002-08-31 | 2011-04-19 | Applied Materials, Inc. | Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback |
US7684895B2 (en) | 2002-08-31 | 2010-03-23 | Applied Materials, Inc. | Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event |
US7234584B2 (en) | 2002-08-31 | 2007-06-26 | Applied Materials, Inc. | System for transporting substrate carriers |
US7611318B2 (en) * | 2003-01-27 | 2009-11-03 | Applied Materials, Inc. | Overhead transfer flange and support for suspending a substrate carrier |
US6848882B2 (en) * | 2003-03-31 | 2005-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Apparatus and method for positioning a cassette pod onto a loadport by an overhead hoist transport system |
US7236853B2 (en) * | 2003-10-01 | 2007-06-26 | Varian Semiconductor Equipment Associates, Inc. | Automated robot alignment system and method using kinematic pins and end effector sensor |
JP2005136294A (ja) * | 2003-10-31 | 2005-05-26 | Murata Mach Ltd | 移載装置 |
CN1669892B (zh) | 2003-11-13 | 2011-11-16 | 应用材料股份有限公司 | 高速载入器相对于基片传送系统的校准 |
US7230702B2 (en) | 2003-11-13 | 2007-06-12 | Applied Materials, Inc. | Monitoring of smart pin transition timing |
US7168553B2 (en) | 2003-11-13 | 2007-01-30 | Applied Materials, Inc. | Dynamically balanced substrate carrier handler |
TW200524073A (en) | 2003-11-13 | 2005-07-16 | Applied Materials Inc | Kinematic pin with shear member and substrate carrier for use therewith |
US7051870B2 (en) * | 2003-11-26 | 2006-05-30 | Applied Materials, Inc. | Suspension track belt |
US7409263B2 (en) | 2004-07-14 | 2008-08-05 | Applied Materials, Inc. | Methods and apparatus for repositioning support for a substrate carrier |
US20070258796A1 (en) | 2006-04-26 | 2007-11-08 | Englhardt Eric A | Methods and apparatus for transporting substrate carriers |
-
2005
- 2005-07-12 US US11/180,029 patent/US7409263B2/en not_active Expired - Fee Related
- 2005-07-14 CN CNB2005101133001A patent/CN100568479C/zh not_active Expired - Fee Related
- 2005-07-14 TW TW094123962A patent/TWI306827B/zh not_active IP Right Cessation
- 2005-07-14 JP JP2005205939A patent/JP4650831B2/ja not_active Expired - Fee Related
- 2005-07-14 KR KR1020050063781A patent/KR101157673B1/ko not_active IP Right Cessation
-
2008
- 2008-08-04 US US12/185,786 patent/US7914248B2/en not_active Expired - Fee Related
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101127316B (zh) * | 2006-08-16 | 2010-06-02 | 株式会社迪思科 | 晶片搬送方法和磨削装置 |
CN110911324A (zh) * | 2018-09-14 | 2020-03-24 | Asm Ip控股有限公司 | 用于储存和处理盒的盒供应系统及配备其的处理设备 |
CN110911324B (zh) * | 2018-09-14 | 2023-03-24 | Asm Ip控股有限公司 | 用于储存和处理盒的盒供应系统及配备其的处理设备 |
Also Published As
Publication number | Publication date |
---|---|
JP2006066893A (ja) | 2006-03-09 |
US20060013674A1 (en) | 2006-01-19 |
KR20060050174A (ko) | 2006-05-19 |
TWI306827B (en) | 2009-03-01 |
CN100568479C (zh) | 2009-12-09 |
US7914248B2 (en) | 2011-03-29 |
KR101157673B1 (ko) | 2012-06-20 |
US7409263B2 (en) | 2008-08-05 |
TW200607732A (en) | 2006-03-01 |
JP4650831B2 (ja) | 2011-03-16 |
US20080286076A1 (en) | 2008-11-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN1779937A (zh) | 用于重新定位用于基板载体的支架的方法和设备 | |
KR100310249B1 (ko) | 기판처리장치 | |
US7927062B2 (en) | Methods and apparatus for transferring substrates during electronic device manufacturing | |
US8292563B2 (en) | Nonproductive wafer buffer module for substrate processing apparatus | |
US20210057255A1 (en) | Automatic handling buffer for bare stocker | |
US8894344B2 (en) | Vertical wafer buffering system | |
JP5102717B2 (ja) | 基板搬送装置およびこれを備えた基板処理装置 | |
CN1849251A (zh) | 通过架空式升降机运输车从单一轨道位置对储料架一个或多个水平位置的存取 | |
CN2720781Y (zh) | 芯片载入埠的搬运装置 | |
US7704031B2 (en) | Substrate processing apparatus | |
JP2017098279A (ja) | ウエハボート支持台及びこれを用いた熱処理装置 | |
US6609869B2 (en) | Transfer chamber with integral loadlock and staging station | |
CN1950928A (zh) | 具有降低高度的衬底承载体 | |
US20080251019A1 (en) | System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates | |
US8845262B2 (en) | Substrate processing apparatus, substrate processing method and storage medium storing substrate processing program | |
KR100921519B1 (ko) | 기판 이송 장치 및 이를 구비하는 기판 처리 설비, 그리고상기 장치의 기판 이송 방법 | |
KR102558199B1 (ko) | 반도체 처리 시스템 | |
US7182201B2 (en) | Wafer carrying apparatus and wafer carrying method | |
JP2013009007A (ja) | 基板処理装置における基板搬送方法 | |
KR101383248B1 (ko) | 고속 기판 처리 시스템 | |
JP5283770B2 (ja) | 基板搬送装置およびこれを備えた基板処理装置 | |
JP4086826B2 (ja) | 基板の処理方法 | |
KR20190143590A (ko) | 반송 로봇 및 이를 가지는 기판 처리 장치 | |
US20090022574A1 (en) | Workpiece loading system | |
KR100784954B1 (ko) | 기판 이송 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
C56 | Change in the name or address of the patentee | ||
CP01 | Change in the name or title of a patent holder |
Address after: American California Patentee after: Applied Materials Inc. Address before: American California Patentee before: Applied Materials Inc. |
|
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20091209 Termination date: 20180714 |