KR940002914B1 - 반도체 제조장치 - Google Patents

반도체 제조장치 Download PDF

Info

Publication number
KR940002914B1
KR940002914B1 KR1019860010066A KR860010066A KR940002914B1 KR 940002914 B1 KR940002914 B1 KR 940002914B1 KR 1019860010066 A KR1019860010066 A KR 1019860010066A KR 860010066 A KR860010066 A KR 860010066A KR 940002914 B1 KR940002914 B1 KR 940002914B1
Authority
KR
South Korea
Prior art keywords
mounting portion
cassette
pod
manufacturing apparatus
wafer
Prior art date
Application number
KR1019860010066A
Other languages
English (en)
Other versions
KR870009445A (ko
Inventor
히로시 하라다
요시유끼 이와사와
츠도무 이시다
신타로 고바야시
Original Assignee
시미즈 겐세쯔 가부시끼가이샤
요시노 데루조오
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시미즈 겐세쯔 가부시끼가이샤, 요시노 데루조오 filed Critical 시미즈 겐세쯔 가부시끼가이샤
Publication of KR870009445A publication Critical patent/KR870009445A/ko
Application granted granted Critical
Publication of KR940002914B1 publication Critical patent/KR940002914B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Abstract

내용 없음.

Description

반도체 제조장치
제1도는 종래의 반도체 제조장치의 개략 사시도.
제2도는 제1도의 SMIF 포드의 단면도.
제3도는 본 발명의 반도체 제조장치의 정면도.
제4도는 본 발명의 반도체 제조장치의 평면도.
제5도는 본 발명의 반도체 제조장치의 승강기구, 제1장착부 및 제2장착부의 확대 단면도.
제6도는 제5도의 VI-VI선 단면도.
제7도는 제5도의 VII-VII선 단면도.
제8도는 그위에 이송포드를 올려놓은 상태의 제3도에 나타낸 본 발명의 반도체 제조장치의 제2장착부의 단면도.
제9도는 제1장착부에 카세트가 장진된 상태를 나타내는, 제5도에 나타낸 본 발명의 반도체 제조장치의 제1장착부와 제2장착부의 단면도.
제10도는 웨이퍼가 그안에 격납된 상태를 나타내는 제3도에 나타낸 본 발명의 반도체 제조장치의 카세트의 확대 사시도.
* 도면의 주요부분에 대한 부호의 설명
1 : 반도체 웨이퍼 제조장치 2 : 반도체 웨이퍼 제조장치 본체
3 : 청정공기 공급 유니트 4 : SMIF아암
5 : 투명한 덮개 6 : 반도체 웨이퍼 카세트
7 : 반도체 웨이퍼 8 : SMIF 포드
9 : 포드 본체 10 : 밑판
20 : 반도체 웨이퍼 제조장치 22 : 제1장착부
24 : 제3장착부 26 : 덮개
28 : 제2장착부 30 : 제4장착부
32 : 통풍슬릿 34 : 카세트 출입구
36 : 장착구 어셈블리 38 : 가이드
40 : 접촉슬라이더 42 : 제1승강기구(승강수단)
44 : 제2승강기구(승강수단) 46 : 차폐판
48 : 드러스트 베어링 50 : 가이드 튜브
51 : 멈춤돌기 52 : 구동축
52a : 상부 끝단부 52b : 하부 끝단부
53 : 맞물림 톱니 54 : 피니언
55 : 걸림홈 56 : 스텝핑 모우터
58 : 슬롯 62 : 내치 기어
64 : 소형 기어 66 : 배향 제어 모우터
68 : 돌기체 70 : 외부 플랜지
72 : 래치 74 :핀
76 : 홈 78 : 진공척
80 : 카세트 다리
본 발명은, VLSI, IC등의 반도체 제조에 사용되는 반도체 제조장치(제조 시스템)에 관한 것이다.
주지하는 바와 같이, IC등과 같은 반도체 장치의 제조공정, 특히 반도체 웨이퍼상에 회로소자를 형성하는 전공정(前工程)과 제조장치상호간에 웨이퍼를 이송하는 공정에 있어서, 먼지는 심각한 장애요인이며 작업 환경에 있어서의 청정도는 그대로 제품의 생산 수율에 직결된다.
종래에는 SMIF(Standard Mechanical Intrface) 시스템(Solid State Technology, 1984년 7월호 : "SMIF, 발명 제조에 있어서의 웨이퍼 카세트의 이송기술", 11페이지)이 그러한 반도체 제조장치의 요구조건을 만족시키는 반도체 제조장치로 알려져 왔다.
제1도와 제2도에 나타낸 바와 같이, 이 SMIF 시스템은 소정매수의 반도체 웨이퍼(7)를 격납하는 반도체 웨이퍼 카세트(6)와, 이 반도체 웨이퍼 카세트(6)를 기밀(氣密)시키고 또한 반도체 웨이퍼 카스테(6)내의 반도체 웨이퍼(7)가 외부 오염에 노출되지 않는 상태로 이송되도록 하기 위한 SMIF 포드(8)와, 반도체 웨이퍼(7)를 처리하기 위한 반도체 웨이퍼 제조장치(1)와, 반도체 웨이퍼 카세트(6)를 이 반도체 웨이퍼 제조장치(1)에 장진시키고 또한 그곳으로부터 끄집어 내기 위하여, 반도체 웨이퍼 제조장치(1)의 양측면에 마련된 한쌍의 SMIF 아암(4),(4)으로 구성된다.
이 SMIF 포드(8)는 밑면이 개방된 상자 형상의 포드본체(9)와 이 포드본체(9)의 밑면을 밀폐시키기 위한 밑판(10)으로 구성된다.
이 밑판(10)은 포드본체(9)에 착설된 래치(도시하지 않음)에 의해 포드본체(9)에 착탈이 자유롭도록 착설되며, 웨이퍼 카세트(6)가 포드(8)내에 밀폐될 때 카세트(6)를 적절한 위치에서 지지하도록 배치되어 있다.
또한, 이 SMIF 포드(8)의 밑판(10)은, 포드(8)가 작업자나 이송장치에 의해 운반될때에는 포드본체(9)에 고착된다.
제1도에 나타낸 바와 같이, 반도체 웨이퍼 제조장치(1)는 웨이퍼 카세트(6)가 놓여지는 제1장착부를 구비한 반도체 웨이퍼 제조장치 본체(2)와, 이 장치 본체(2)의 윗표면을 덮기 위한 투명한 덮개(5)와, 이 덮개(5) 위에 솟아 있는 청정공기 공급 유니트(3)로 구성된다. 이 청정고익 공급 유니트(3)는, 고성능 필터와 청정한 공기를 반도체 웨이퍼 제조장치(1)에 공급하는 송풍기를 구비하고 있다. 카세트(6)를 상기 제조장치(1)내로 이송시키기 위하여, SMIF 포드(8)내에 밀폐된 카세트(6)가 한쪽의 SMIF 아암(4)의 윗면에 놓여진다. 포드(8)가 아암(4) 위에 놓여졌을 때, 포드(8)의 밑판(10)이 포드본체(9)로부터 이탈되고, 이 밑판(10)과 그 위에 지지되어 있던 카세트(6)가 SMIF아암(4)의 내부로 장진된다.
그후에, 카세트(6)만이 제조장치(1)내로 이송되며, 제1장착부에 놓여진 뒤 카세트(6)내의 웨이퍼(7)들이 처리 되기 위하여 꺼내어진다.
제조장치(1)내에서 처리된 후에, 웨이퍼(7)들은 다른 카세트(도시하지 않음)내에 격납되고, 다른 SMIF아암(4)내에 장진된다.
이 아암(4)내에서 카세트는 외부 오염에 노출되지 않은채, 다른 SMIF 아암(4)의 윗면에 위치하고 있는 또 다른 SMIF포드(도시하지 않음)내로 이송된다.
그리고 난후에, 카세트를 방금 격납한 포드(8)는 예를들면 작업자에 의해 다른 제조공정의 다른 제조장치(도시하지 않음)로 이송되고, 그 장치의 SMIF 아암 위에 놓여지게 된다.
그후에, 웨이퍼(7)는 상기한 것과 동일한 방법으로 그 제조장치내에서 처리된다.
따라서, 웨이퍼들은 반도체 제조장치 내에서 처리되는 동안과 마찬가지로 제조장치(1)와, 포드(8) 상호간에서 이송될때나 작업자에 의해 운반될때도 외부 오염에 노출되지 않게 되며 항상 청정한 공기내에 유지되어진다.
그러므로 이러한 SMIF 시스템을 이용함으로써, 당해 시스템이 설치되어 있는 청정실의 청정도(미국연방 공업 규격 제209 b에 의함)가 클래스 1.000 내지 클래스 100,000 정도인 경우에도 제품의 높은 생산 수율이 유지될 수 있다.
그 결과로, 청정실은 클래스 10 내지 클래스 100의 초고청정도를 유지시켜주는 층류형 기류 방식에 대신하여 클래스 1,000 내지 클래스 100,000의 청정도가 유지되는 비층류형 기류 방식이 채택될 수 있다.
따라서, 청정실의 부대 시설이 간단해지고, 그 건설비, 설비비와 유지비 등이 대폭 절감될 수 있게 된다.
더욱이 SMIF시스템이 이용된다면, 클래스 10 내지 클래스 100의 초고청정도하의 청정실에서와 같은 심한 행동제약을 청정실 작업자들은 받지 않을수 있다.
따라서, 작업자들은 단순한 청정실용 의복을 착용한 조건하에서 보통의 실내에 있는 것처럼 작업할 수 있으며, SMIF 시스템을 이용하지 않는 청정실에서 보다 더 편하게 작업할 수 있다.
그러나, 상기한 종래의 반도체 제조장치에 있어서는, 반도체 웨이퍼 제조장치(1)의 양측면에 SMIF 아암(4)이 부착되어야만 하기 때문에, 이 2개의 SMIF 아암(4)을 포함한 만큼의 시스템(장치) 설치공간이 청정실 내에 필요하게 된다.
결과적으로, 종래의 시스템은 청정실 내의 바닥공간을 효과적으로 이용하기 어려울뿐만 아니라, 그 총비용이 매우 많이 들게 된다.
본 발명은 상기와 같은 문제점을 감안하여 이루어진 것으로서, 본 발명의 제1의 목적은, SMIF 아암을 구비하지 않음으로써, 청정실의 바닥공간을 효과적으로 이용하기가 용이한 반도체 제조장치를 제공함에 있다.
본 발명의 제2의 목적은, 제조장치의 총 비용이 절감되는 반도체 제조장치를 제공함에 있다.
본 발명의 제3의 목적은, 카세트가 장치 내부로 이송되거나 또는 장치로부터 외부로 이송될때에, 제조장치내에 카세트의 소정의 배향과 일치하도록 카세트의 수평 배향이 제어되는 반도체 제조장치를 제공함에 있다.
상기목적을 달성하기 위하여 본 발명은, 다음과 같은 설비를 포함하는 반도체 제조장치를 제공한다.
즉, 반도체 웨이퍼를 격납하기 위한 제1반도체 웨이퍼 카세트와 ; 밑부분이 개방된 상자형상의 포드본체와, 이 포드본체의 밑부분을 밀폐시키기 위하여 포드본체에 착탈이 자유롭도록 착설된 밑판을 구비하며 제1카세트를 기밀(氣密)시키는 제1이송포드와 ; 카세트를 장진하기 위한 제1장착부와 이 제1장착부를 덮는 덮개를 구비하며, 제1장착부 내에 제1카세트가 장진되었을 때 이 카세트는 내의 웨이퍼를 처리하는 반도체 웨이퍼 제조장치와 ; 제1카세트와 이 제1카세트 내의 웨이퍼가 외부 오염에 노출되지 않도록 하여 제조장치의 제1장 장착부와 제1포드 사이에서 제1카세트를 이송하는 제1이송기구(이송수단)로 구성되는 반도체 제조장치를 제공한다.
상기한 제 1 이송기구는, 다음과 같은 설비를 포함한다.
즉, 제1포드를 그위에 올려놓기 위하여 제1장착부의 바로 위쪽 위취에 제조장치의 덮개상에 마련되어 있으며, 제1포드가 이제 2장착부 상에 놓여질때에 제1포드의 밑판을 포드몸체에 부착시키거나 또는 이탈시키기 위한 장착부 어셈블를 포함하는 제2장착부와 ; 주로 제1장착부와 제2장착부 사이에 배치되며, 포드의 밑판이 포드 본체에서 이탈되었을때에 제1포드의 밑판을 제1장착부와 제2장착부 사이에서 운송하기위한 제1승강기구(승강수단)을 포함한다. 이러한 배치에 있어서, 그 내부에 카세트를 가지고 있는 이송포드가 제2장착부 위에 놓여지면, 이 포드의 밑판이 포드 본체에서 이탈되고, 그리하여 밑판에 놓여진 카세트가 승강기구에 의해 제2장착부로부터 제1장착부로 이송된다.
따라서, 카세트와 그 내부에 있는 웨이퍼 들은 외부 오염에 노출되지 않고 포드에서 제조장치로 이송된다.
더욱이, 상기의 구성을 가지는 시스템이 설치된 청정실에서는, 이송기구가 제조장치의 양측면에 배치되지않고 윗면에 배치되므로, 종래의 시스템에서 보다 더 효율적으로 청정실의 공간을 이용 할 수 있다.
본 시스템은 또다른 카세트를 제조장치의 내부로 이송하거나 또는 장치로부터 외부로 이송하기 위한 제2이송기구(제2이송수단)를 갖추는 것이 바람직하다.
또한 본 제조장치는 덮개로 덮여지는 카세트를 장진하기 위한 제3장착부를 구비할 수 있으며, 또한 제1장착부의 제1카세트 내에 있는 웨이퍼를 처리하고, 웨이퍼를 격납한 카세트가 제1카세트에 장진되고 이때에 빈 카세트가 제3카세트에 각각 장진될때에 웨이퍼를 제3장착부에 있는 카세트 내로 이송하는 기구(Mechanism)를 구비할 수 있다.
상기한 제2이송기구는 제3장착부의 바로 위쪽 위치에 있는 제조장치의 덮개상에 설치되고 그위에 제2포드를 놓기 위한 제4장착부와, 주로 제3장착부와 제4장착부 사이에 배치되며 카세트를 이 제3장착부와 제4장착부 사이에서 이송하는 제2승강기구(제2승강수단)를 구비할 수 있다. 이 경우에, 제조기구에 의해 처리된 웨이퍼를 격납한 카세트는 제3장착부로부터 제4장착부로 이송되어 또 다른 포드내에 밀폐되어진다.
바람직하게는, 제2장착부가 제조장치의 덮개에 형성된 카세트 출입구를 구비하며, 또한 제1승강기구는 제2장착부의 카세트 출입구를 개폐하기 위한 차폐판을 구비하는 것이 좋다.
차폐판은, 포드가 제2장착부 상에 놓여졌을때에 그 포드의 밑판을 지지한다. 승강기구는 또한 차폐판을 위아래로 구동하는 구동기구를 구비할 수 있다.
제1이송기구는, 운송포드 밑판의 수평 배향이 제어될 수 있도록 그 밑판을 그 밑판의 수직축 주위로 회전시키는 기구를 구비할 수 있다. 회전기구가 있음으로 해서, 포드가 제2장착부 위에 놓여진 후에도 카세트의 배향이 변할 수 있으므로, 카세트의 배향을 포드가 제2장착부 위에 놓여지기 전에 제조장치내의 소정 배향이 변할 수 있으므로, 카세트의 배향을 포드가 제2장착부 위에 놓여지기 전에 제조장치내의 소정 배향과 일치시킬 필요는 없다.
다시 말하면, 이러한 배치 형태의 시스템은 예를들어 상이한 제조장치 사이에 배치된 자동 콘베이어를 갖춘 상기 구성의 시스템과 같은 전체 시스템의 자동화를 가속화 시키게 된다.
더욱기, 본 제조장치는, 제조장치의 내부를 초고청정도로 유지시켜 주기 위한 청정공기 공급 유니트를 구비할 수 있다.
본 발명 장치를 첨부된 도면에 따라 상세히 설명하면 다음과 같다.
우선, 제3도 내지 제10도에는, 제1도와 제2도에서의 동일 부분에 대하여는 동일한 부호가 사용되며, 이에 대한 상세한 설명은 생략한다.
제3도 및 제4도는 본 발명에 의한 반도체 제조장치를 나타낸다. 제3도와 제4도에서, 반도체 웨이퍼 제조장치(20)는 반도체 웨이퍼 카세트(6)를 장진시키고 발출시키는데 사용하는 윗부분이 개방된 제1장착부(22) 및 제3장착부(24)와, 제1장착부(22)와 제3장착부를 덮는 덮개(26)를 구비한다.
이 덮개(26)에는, 제1장착부(22)와 제3장착부(24)의 바로 위쪽 위치에, 이송포드(SMIF 포드)(8)가 그위에 놓여지는 제2장착부(28)와 제4장착부(30)가 마련되어 있다. 제3도와 제4도에 있어서는, 포드(8)가 제2장착부(28)와 제4장착부(30)의 양쪽에 각각 놓여 있다.
제2장착부(26)상에 있는 포드(8)는 웨이퍼(7)가 그 안에 격납된 카세트(6)를 내장하고 있으며, 제4장착부 상에 있는 또 다른 포드(8)는 빈 카세트(6)를 내장하고 있다. 제조장치(20)는, 웨이퍼(7)를 격납한 카세트(6)가 제1장착부(22)상에 놓이고, 빈 카세트(6)가 제3장착부(24)상에 놓일때에, 웨이퍼(7)를 제3장착부(24)에 있는 카세트(6)내로 이송하고 제1장착부(22)에 있는 카세트(6)내의 웨이퍼(7)를 처리하는 제조어셈블리를 내장하고 있다. 따라서 제1장착부(22)는 장진 장착부이고, 제3장착부(24)는 발출장착부이다.
덮개(26)위에 돌설되어 있는 청정공기 공급 유니트(3)는, 송풍기와 ULPA(Ultra Low Penetration Air) 필터나 HEPA(High Efficiency Particulate Air) 필터와 같은 고성능 필터로 구성되어 있다.
청정공기 공급 유니트(3)는 장치 내부를 고청정도의 상태로 유지하기 위해 제조장치(20)에 청정한 공기를 공급한다. 이 청정공기 공급 유니트(3)는, 또한 제조 장치(20)내부의 기압을 대기압 보다 높은 정압(Positive Pressure) 상태로 만들어, 장치(20)의 내부에 생성된 먼지가 덮개(26)의 측면에 형성되어 있는 통풍슬릿(32)과, 이 장치(20)의 밑면에 개방된 공기 배출구를 통해 제조장치(20)의 외부로 즉시 배출되도록 한다.
제5도에 나타낸 바와 같이, 제2장착부(28)와 제4장착부(30)는 각각, 덮개(26)에 형성된 카세트 출입구(34)와, 이 출입구(34) 바깥쪽에 마련되어 있는 장착부 어셈블리(36)를 가지고 있다. 이 출입구(34)의 내경은, 포드(8)의 밑판(10)의 직경보다는 약간 크고, 한편 포드 본체(9)의 외경 보다는 약간 작다. 장착부 어셈블리(36)는, 상기 출입구(34)의 주위를 따라 설치된 가이드(38)와, 이 가이드(38) 위에 미끄러져 움직일 수 있도록 설치된 접촉 슬라이더(40)와, 이 슬라이더(40)를 출입구(34)의 중심을 향해 또는 중심으로부터 수평적으로 미끌어지게 하는 모우터(도시하지 않음)등과 같은 구동원과, 포드(8)가 해당 장착부(28) 또는(30)에 위치했는지 여부를 탐지하는 광학센서(도시하지 않음)등과 같은 센서를 포함한다.
이 센서는 장착부 내에서 포드(8)를 탐지하여 장착부 어셈블리(36)의 구동원을 시동시키는 신호를 발생한다. 제1승강기구(42)는, 제1장착부(22)와 제2장착부(28) 사이에 마련되어진다. 제2승강기구(44)는, 제3장착부(24)와 제4장착부(30) 사이에 마련되어진다.
각 승강기구(42), (44)는 카세트 출입구(34)를 개폐하는 차폐판(46)을 구비하고 있다. 이 차폐판(46)은, 포드(8)가 해당하는 제2장착부(28) 또는 제4장착부(30)상에 놓여졌을때에 포드(8)의 밑판(10)을 지지한다.
또한, 이 차폐판(46)은 속이빈 구동축(52)에 의해 지지된다. 이 구동축(52)은, 다른 부분 보다 직경이 큰 상부 끝단부(52a)를 구비하고 있으며, 차폐판(46)이 구동축(52)에 대한 수평면 방향으로 회전할 수 있도록 자성적으로 밀폐된 드러스트 베어링(48)을 개재하여 상부 끝단부(52a)를 차폐판(46)의 하부면에 연결한다.
구동축의 하부 끝단부(52b)는 해당하는 장착부(22),(24)의 밑에 설치된 수직 가이드 튜브(50)와 동심원적으로 끼워져 있으며, 따라서 축(52)은 가이드 튜브(50)에 대해서 수직 방향으로 미끄러질 수 있게 된다.
제6도에 나타낸 바와 같이, 구동축(52)은 그의 외부 표면에 멈춤돌기(51)를 구비하고 있으며, 이 돌기가 가이드 튜브(50)의 내부면에 형성되어 있는 걸럼홈(55)에 삽입되어져서, 회전함을 방지한다.
구동축(52) 표면에는 맞물림 톱니(53)가 그 축을 따라 형성되어 있어 구동축(52)의 하부가 랙(Rack)의 역할을 한다. 피니언(54)은 가이드 튜브(50)의 옆면에 뚫려 있는 슬롯(58)을 통해 맞물림 톱니(53)와 맞물려져 있으며, 장치(20)의 케이싱에 고착된 스텝핑 모우터(56)에 연결되어 있다. 스텝핑 모우터(56)가 피니언(54)을 회전시키기 위해 가동되면, 구동축(52)은 차폐판(46)을 위아래로 구동시키기 위해 수직 왕복운동을 하게 된다.
제7도에 나타낸 바와 같이, 내치기어(內齒 Gear)(62)가 차폐판(46)의 하부면에 고착되어 있으며 소형기어(64')가 내치기어(62)와 맞물려져 있다.
이 소형기어(64)는, 그 구동축(52)의 상부 끝단부(52a)의 내부면에 고착되어 있는 배향 제어모우터(66)에 직접 연결되어 있다.
즉, 제어모우터(66)의 구동을 적절한 제어 유니트로써 온-오프시킴으로써, 차폐판(46)은 구동축(52)에 대해 수평방향으로 회전하고, 차폐판(46)상에 있는 포드(8)의 밑판(10)의 배향, 예를들면 밑판(10)상의 카세트(6)의 수평 배향이 적절히 제어되게 된다.
가이트 튜브(50)는, 진공펌프(도시하지 않음)와 유체연결 상태에 있으므로서, 구동축(52)의 상부 끝단부(52a)의 내부에 생성된 먼지가 이 가이드 튜브(50)를 통해 장치(20) 밖으로 배출된다.
다수의 돌기체(68)가 차폐판(46)의 상부면에 마련되어 있으며, 이 돌기체(68)는 포드(8)의 밑판(10)과 맞물리어져서, 차폐판(46)이 회전할때에 밑판(10)이 원주방향으로 미끌어지는 것을 방지한다.
제8도에 나타낸 바와 같이, 포드(8)의 포드 본체(9)는 그 하부 끝단에 외부 플랜지(70)을 갖고 있다. 다수의 래치(72)가 핀(74)을 축으로 선회되도록 이 외부 플랜지(70)에 피버트식으로 연결되어 있다.
각 래치(72)의 상부 끝단부는 외부 플랜지(70)의 윗쪽으로 돌출되어 있으며, 이들의 하부 끝단부는 갈고리 형상으로 굽어져 있다.
각 래치(72)는 스프링(도시하지 않음)에 의해 카세트 출입구(34)의 중심부를 향하도록 하부 끝단부가 기울어져서 제6도에서 나타낸 바와 같은 위치에 있게 되며, 포드(8)의 밑판(10)의 아랫면과 물리어져 있다. 밑판(10)은, 래치(10)가 밑판(10)의 아랫면으로부터 풀리어짐에 의해 포드 본체(9)로부터 이탈된다.
부가적으로, 포드(8)의 밑판(10)은, 그 아랫면에 홈(76)이 마련되어 있어서, 차폐판(46)의 돌기체(68)와 맞물리게 된다.
제5도에 나타낸 바와 같이, 포드(8)가 해당되는 제2장착부(28) 또는 제4장착부(30)상에 놓여지지 않은 때에는, 해당하는 승강기구(42) 또는 (44)의 차폐판(46)이 카세트 출입구(34) 주위의 덮개(26) 부분과 직접 접촉되어 출입구(34)는 공기가 통하지 않도록 밀폐된다. 웨이퍼(7)를 격납한 카세트(6)이 내장된 포드(8)가 제8도에 나타낸 바와 같이 제2장착부 상에 놓여지면, 장착부 어셈블리(36)의 센서가 포드(8)의 장착을 탐지해서 장착부 어셈블리(36)의 구동원을 작동시키게 된다.
그러면 슬라이더(40)가 출입구(34)의 중심을 향해 이동하고(화살표 A로 표시된 방향으로), 포드본체(9)를 장치(20)의 덮개(26)에 고정시키기 위해 포드 본체(9)의 외부 플랜지(70)와 물려지게 된다.
또한, 슬라이더(40)가 이동중에 래치(72)의 상부 끝단부를 카세트 출입구(34)의 중심쪽으로 압박하기 때문에, 래치(72)의 하부 끝단부는 화살표 B로 표시된 방향으로 선회하게 되고 포드(8)의 밑판(10)의 아랫면으로부터 풀려지게 된다.
따라서, 포드(8)가 제2장착부(28)상에 놓여지면, 밑판(10)이 포드 본체(9)에서 이탈되며 동시에 차폐판(46)에 의해 실질적으로 지지된다.
승강기구(42)가 차폐판(46)을 아랫쪽으로 구동하기 위해 작동하면, 포드(8)의 밑판(10)도 차폐판(46)과 함께 아랫쪽으로 이송된다.
그러므로, 밑판(10) 위의 카세트(6)는, 제9도에 나타낸 바와 같이, 장진 장착부(제1장착부)(22)내로 이송된다.
이렇게 아랫쪽으로 이동하는 동안, 차폐판(46)은 제조장치(20)내의 소정 카세트 배향과 일치하는 카세트 수평 배향을 위해 적절히 회전하게 된다.
제9도에 나타낸 바와 같이, 제1장착부(22)에 장진된 카세트(6)내의 웨이퍼(7)들은 카세트(6)의 밑에서부터 차례로 하나씩 꺼내어지고, 장치(20)내에 있는 처리 어셈블리로 이송된다. 웨이퍼(7)가 진공척(78)에 위해 카세트(6)에서 꺼내어 질때마다. 차폐판(46)은 소정의 피치 수만큼 아랫쪽으로 구동된다.
따라서, 다음번에 꺼내어질 웨이퍼(7)들은 진공척(78)이 수직적으로 움직이지 않더라도 항상 진공척(78)과 대향하기에 충분히 가까운 위치를 지키게 된다.
종래의 시스템과는 달리, 카세트(6)는 포드(8)의 밑판(10)과 함께 제1장착부에 장진된다.
그러므로, 제10도에 나타낸 바와 같이, 카세트(6)는 진공척(78)이 들어가는 공간이 확보되도록 그 밑부분에 다리(80)들을 구비하고 있다.
한편, 빈 카세트(6)은 제4장착부(30)과 승강기구(44)를 사용하여 발출 장착부(제2장착부)(24)에 놓여진다.
그러면, 장치(20)내에서 처리된 웨이퍼(7)들이 발출 장착부(24)에 있는 카세트(6)내로 이송된다. 이 공정에 있어서, 웨이퍼(7)는 카세트(6)의 맨 윗칸으로부터 맨 아랫칸까지 순서대로 카세트(6)를 채우게 된다. 이러한 카세트를 채우는 순서는 웨이퍼를 꺼내는 순서와는 정반대이다.
따라서, 차폐판(46)은, 웨이퍼(7)가 카세트(6)에 격납될때마다, 소정의 피치수만큼 윗쪽으로 구동된다. 그리고 난후에, 장진 장착부(22)와 발출 장착부(24)에 있는 카세트(6)들은 승강기구에 의해 각각 제2장착부(28)와 제4장착부(30)로 이송되고, 제2장착부(28)와 제4장착부(30)에 고정되어 있는 해당하는 포드 본체(9)내에 밀폐된다.
장착부 어셈블리(36)의 슬라이더(40)가 화살표 A의 반대 방향으로 미끌어지면, 각 포드(8)의 포드 본체(9)는 덮개(26)로부터 이탈되고, 래치(72)의 하부 끝단부는 스프링의 탄성력에 의해 제8도에 도시한 위치로 되돌아 오게 된다. 따라서, 각 포드(8)의 밑판(10)은 각 포드 몸체(9)에 고착된다.
상기의 조작이 끝나면, 각 포드(8)는 운반될 수 있게 된다. 따라서, 제2장착부(28)상의 포드(8)는 장치(20)에서 분리되며, 제4장착부(30)상의 포드(8)는 작업자 또는 이송장치 등에 의해 다음 단계의 처리를 위하여 다른 제조장치(도시하지 않음)로 이송된다.
상술한 바와 같이, 카세트는 포드와 제조장치 사이에서, 제1장착부(22)와 제3장착부(24)의 바로 위에 각각 설치된 제2장착부(28)와 제4장착부(30) 및 승강기구(42),(44)에 의해 이송되기 때문에, 제조장치의 양측면에 SMIF 아암을 설치할 필요가 없다.
따라서, 종래의 반도체 제조장치를 약간 수정함으로써 청정실내에서 SMIF 아암이 설치될 공간을 자유공간으로서 효과적으로 이용할 수 있으며 제조장치의 총 비용도 절감된다. 가이드 튜브(50)는 상하로 움직일 수 있도록 수직 가이드 레일에 연결할 수 있다.
이 경우에, 스텝핑 모우터로 튜브를 구동하기 위해 튜브(50)의 외부면에 맞물림 톱니를 형성할 수 있으며, 이 맞물림 톱니(53)에 피니언(54)이 이탈 가능하도록 맞물려질 수 있다.
비록 본 발명의 1실시예만을 상술하였으나, 본 발명은 본 실시예에만 한정되는 것은 아니다.
예를들면, 청정공기 공급 유니트(3)에 의해 공급되는 대신에, 주 에어 콘디쇼너에서 발생된 청정공기가 덕트를 통하여 제조장치(20)내로 유입될 수 있다.
또한, 승강기구는, 랙 구동축(52)과 스텝핑 모우터(56)등의 대신에, 팬터 그래프 처럼 만들어진 연장이 가능한 구성품이나 볼스크류 어셈블리(Ball Screw Assembly)로 구성될 수 있다.

Claims (5)

  1. 반도체 웨이퍼(7)를 격납하기 위한 제1반도체 웨이퍼 카세트(6)와 ; 밑부분이 개방된 상자 형상의 포드 본체(9)와, 이 포드 본체(9)의 밑부분을 밀폐시키기 위하여 포드본체(9)에 착탈이 자유롭도록 착설된 밑판(10)을 구비하며, 제1카세트(6)를 기밀(氣密)시키는 제1이송포드(8)와, 카세트(6)를 장진하기 위한 제1장착부(22)와 상기 제1장착부(22)를 덮는 덮개(26)를 구비하며, 제1장착부(22)내에 제1카세트(6)가 장진되었을 때 이 카세트(6)내의 웨이퍼(7)를 처리하는 반도체 웨이퍼 제조장치(20)와 ; 제조장치(20)의 제1장착부(22)와 제1포드(8) 사이에서 제1카세트(6)와 그 내부에 있는 웨이퍼(7)가 외부 오염에 노출되지 않도록 하여 제1카세트(6)를 이송하는 제1이송수단(이송기구)으로 구성되는 반도체 제조장치에 있어서, 상기 제1이송수단이, 제1포드(8)가 이 제2장착부(28)상에 놓여질때에 제1포드(8)의 밑판(10)을 포드본체(9)에 부착하거나 또는 이탈시키기 위한 장착부 어셈블리(36)를 구비하며, 제1포드(8)를 그 위에 올려 놓기 위하여 제1장착부(22)의 바로 위쪽 위치에 제조장치의 덮개(26)상에 설치된 제2장착부(28)와 ; 주로 제1장착부(22)와 제2장착부(28)사이에 배치되며, 포드(8)의 밑판(10)이 포드본체(9)에서 이탈되었을때에 제1장착부(22)와 제2장착부(28) 사이에서 제1포드(8)의 밑판(10)을 운송하기 위한 제1승강수단(42)(승강기구)으로 구성되는 것을 특징으로 하는 반도체 제조 장치.
  2. 제1항에 있어서, 제2카세트(6)와 ; 이 제2카세트(6)를 공기로부터 밀폐시켜 내장하는 제2이송포드(8)와 ; 제2카세트(6)를 제조장치(20)의 내부 또는 외부로 이송시키기 위한 제2이송수단(이송기구)을 포함하며, 또한 상기 덮개(26)로 덮여지고 제2카세트(6)를 장진시키기 위한 제3장착부(24)와 ; 웨이퍼(7)가 내장된 제1카세트(6)가 제1장착부(22)에 장진되고 비어 있는 제2카세트(6)가 제3장착부(24)에 각각 장진되었을때에, 제1장착부(22)에 놓여져 있는 제1카세트(6)내의 웨이퍼(7)를 처리하고, 이 웨이퍼(7)를 제3장착부(24)내의 제2카세트(6) 내부로 이송하는 수단(제2이송수단)(제2이송기구)을 더우기 포함하며, 상기 제2이송수단(이송기구)이 제3장착부(24)의 바로 윗쪽 위치에 있는 제조장치의 덮개(26)상에 설치되고, 그위에 제2포드(8)를 올려 놓기 위한 제4장착부(30)와 ; 주로 제3장착부(24)와 제4장착부(30) 사이에 배치되며 제3장착부(24)와 제4장착부(30) 사이에서 제2카세트(6)를 이송시키는 제2승강수단(44)(제2승강기구)을 구비하는 것을 특징으로 하는 반도체 제조장치.
  3. 제1항에 있어서, 상기 제2장착부(28)가 제조장치의 덮개(26)에 형성된 카세트 출입구(34)를 더우기 포함하며, 또한 상기 제1승강수단(42)이 이 제2장착부(28)의 카세트 출입구(34)를 개폐하는 차폐판(46)과, 이 차폐판(46)을 위아래로 구동하는 구동 유니트를 포함하며, 상기 차폐판(46)은 포드(8)가 제2장착부(28) 상에 놓여졌을때 제1포드(8)의 밑판(10)을 지지하는 것을 특징으로 하는 반도체 제조 장치.
  4. 제1항 또는 제3항에 있어서, 상기 제1이송수단이 밑판(10)의 수평 배향이 제어되도록 제1이송포드(8)의 밑판(10)을 그에대한 수직축 주위로 회전시키는 수단을 더우기 포함하는 것을 특징으로 하는 반도체 제조장치.
  5. 제4항에 있어서, 상기 제조장치(20)가 제조장치(20)의 내부를 초고청정도로 유지시키기 위한 청정공기 공급 유니트(3)를 더우기 포함하는 것을 특징으로 하는 반도체 제조장치.
KR1019860010066A 1986-03-25 1986-11-27 반도체 제조장치 KR940002914B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP61066761A JPS62222625A (ja) 1986-03-25 1986-03-25 半導体製造装置
JP66761 1986-03-25
JP61-66761 1986-03-25

Publications (2)

Publication Number Publication Date
KR870009445A KR870009445A (ko) 1987-10-26
KR940002914B1 true KR940002914B1 (ko) 1994-04-07

Family

ID=13325187

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019860010066A KR940002914B1 (ko) 1986-03-25 1986-11-27 반도체 제조장치

Country Status (6)

Country Link
US (1) US4781511A (ko)
EP (1) EP0238751B1 (ko)
JP (1) JPS62222625A (ko)
KR (1) KR940002914B1 (ko)
CA (1) CA1267978A (ko)
DE (1) DE3687795T2 (ko)

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4987673A (en) * 1987-06-18 1991-01-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for packaging semiconductor devices
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5092728A (en) * 1987-10-15 1992-03-03 Epsilon Technology, Inc. Substrate loading apparatus for a CVD process
US5156521A (en) * 1987-10-15 1992-10-20 Epsilon Technology, Inc. Method for loading a substrate into a GVD apparatus
DE3814924A1 (de) * 1988-05-03 1989-11-16 Leybold Ag Vorrichtung zum ein- und ausschleusen von substraten aus einem vakuumkessel
JPH0654788B2 (ja) * 1988-07-08 1994-07-20 日本電信電話株式会社 ウエハ移送装置
DE3901824A1 (de) * 1989-01-23 1990-07-26 Leybold Ag Hub- und drehaggregat fuer eine schmelz- und/oder giessanlage
US5176493A (en) * 1989-02-24 1993-01-05 North American Philips Corporation High speed wafer handling method
FR2644567A1 (fr) * 1989-03-17 1990-09-21 Etudes Const Mecaniques Dispositif pour l'execution de traitements thermiques enchaines en continu sous vide
US5261776A (en) * 1989-04-27 1993-11-16 Micron Technology, Inc. Vacuum operated wafer transfer apparatus
US5100287A (en) * 1989-04-27 1992-03-31 Micron Technology, Inc. Method of transferring wafers using vacuum
CH680317A5 (ko) * 1990-03-05 1992-07-31 Tet Techno Investment Trust
US5058491A (en) * 1990-08-27 1991-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. Building and method for manufacture of integrated circuits
US5169272A (en) * 1990-11-01 1992-12-08 Asyst Technologies, Inc. Method and apparatus for transferring articles between two controlled environments
US5145303A (en) * 1991-02-28 1992-09-08 Mcnc Method and apparatus for reducing particulate contamination in processing chambers
JP2947380B2 (ja) * 1992-01-22 1999-09-13 東京応化工業株式会社 プラズマ処理装置
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5395198A (en) * 1992-06-19 1995-03-07 International Business Machines Corporation Vacuum loading chuck and fixture for flexible printed circuit panels
US5451131A (en) * 1992-06-19 1995-09-19 International Business Machines Corporation Dockable interface airlock between process enclosure and interprocess transfer container
US5364225A (en) * 1992-06-19 1994-11-15 Ibm Method of printed circuit panel manufacture
US5339952A (en) * 1992-06-19 1994-08-23 International Business Machines Corporation Transfer container for transferring flimsy circuit panels under clean room conditions
US5697749A (en) * 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
KR100303075B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
EP0596537A1 (en) * 1992-11-06 1994-05-11 Applied Materials, Inc. Micro-environment load lock and method for coupling a micro-environment container to a process chamber
JP3250628B2 (ja) * 1992-12-17 2002-01-28 東芝セラミックス株式会社 縦型半導体熱処理用治具
JP2683208B2 (ja) * 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
DE4310149C2 (de) * 1993-03-29 1996-05-02 Jenoptik Jena Gmbh Einrichtung zur Handhabung von scheibenförmigen Objekten in einer Handhabungsebene eines lokalen Reinraumes
US5642978A (en) * 1993-03-29 1997-07-01 Jenoptik Gmbh Device for handling disk-shaped objects in a handling plane of a local clean room
US5350336A (en) * 1993-04-23 1994-09-27 Industrial Technology Research Institute Building and method for manufacture of integrated semiconductor circuit devices
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3331746B2 (ja) * 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
US5713711A (en) * 1995-01-17 1998-02-03 Bye/Oasis Multiple interface door for wafer storage and handling container
US5700725A (en) * 1995-06-26 1997-12-23 Lucent Technologies Inc. Apparatus and method for making integrated circuits
WO1997003222A1 (en) * 1995-07-10 1997-01-30 Asyst Technologies, Inc. Cassette support and rotation assembly
DE19549045C1 (de) * 1995-12-28 1997-06-05 Jenoptik Jena Gmbh Einrichtung zur Handhabung von scheibenförmigen Objekten
US5674039A (en) * 1996-07-12 1997-10-07 Fusion Systems Corporation System for transferring articles between controlled environments
KR100245647B1 (ko) * 1996-09-05 2000-02-15 윤종용 반도체 제조설비용 웨이퍼 스토퍼
US5769184A (en) * 1996-09-27 1998-06-23 Brooks Automation, Inc. Coaxial drive elevator
US5951776A (en) * 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
JPH10139159A (ja) * 1996-11-13 1998-05-26 Tokyo Electron Ltd カセットチャンバ及びカセット搬入搬出機構
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US6157866A (en) * 1997-06-19 2000-12-05 Advanced Micro Devices, Inc. Automated material handling system for a manufacturing facility divided into separate fabrication areas
US6704998B1 (en) * 1997-12-24 2004-03-16 Asyst Technologies, Inc. Port door removal and wafer handling robotic system
US6398032B2 (en) * 1998-05-05 2002-06-04 Asyst Technologies, Inc. SMIF pod including independently supported wafer cassette
CH693309A5 (de) * 1998-06-03 2003-05-30 Tec Sem Ag Vorrichtung und Verfahren zum Handhaben eines Behälters.
NL1010317C2 (nl) 1998-10-14 2000-05-01 Asm Int Sorteer/opslaginrichting voor wafers en werkwijze voor het hanteren daarvan.
US6168427B1 (en) * 1999-10-05 2001-01-02 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus for guiding the removal of a processing tube from a semiconductor furnace
US6692209B1 (en) * 1999-11-19 2004-02-17 Litton Systems, Inc. Method and system for manufacturing a photocathode
TW512478B (en) * 2000-09-14 2002-12-01 Olympus Optical Co Alignment apparatus
US6835039B2 (en) 2002-03-15 2004-12-28 Asm International N.V. Method and apparatus for batch processing of wafers in a furnace
JP2003332402A (ja) * 2002-05-10 2003-11-21 Kondo Kogyo Kk ミニエンバライメント方式の半導体製造装置
US6843541B1 (en) * 2002-06-06 2005-01-18 The United States Of America As Represented By The United States Department Of Energy Glove box for water pit applications
US7256375B2 (en) 2002-08-30 2007-08-14 Asm International N.V. Susceptor plate for high temperature heat treatment
JP3759492B2 (ja) 2002-12-03 2006-03-22 近藤工業株式会社 ミニエンバライメント方式の半導体製造装置
US7033126B2 (en) 2003-04-02 2006-04-25 Asm International N.V. Method and apparatus for loading a batch of wafers into a wafer boat
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN111508871A (zh) 2013-08-12 2020-08-07 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN111696895A (zh) 2014-11-25 2020-09-22 应用材料公司 具有基板载体和净化腔室环境控制的基板处理系统、设备和方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10069030B2 (en) * 2015-12-14 2018-09-04 Solarcity Corporation Load lock solar cell transfer system
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020172244A1 (en) * 2019-02-19 2020-08-27 Veeco Instruments Inc. Automated batch production thin film deposition systems and methods of using the same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115360119B (zh) * 2022-07-27 2023-11-03 徐州市沂芯微电子有限公司 一种半导体芯片的自动化包封设备

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3972424A (en) * 1973-03-12 1976-08-03 The Computervision Corporation Automatic wafer loading and pre-alignment system
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4355937A (en) * 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
JPS57149748A (en) * 1981-03-12 1982-09-16 Anelva Corp Treating device for substrate
US4412771A (en) * 1981-07-30 1983-11-01 The Perkin-Elmer Corporation Sample transport system
US4530635A (en) * 1983-06-15 1985-07-23 The Perkin-Elmer Corporation Wafer transferring chuck assembly
JPH067566B2 (ja) * 1983-09-28 1994-01-26 ヒューレット・パッカード・カンパニー 集積回路処理装置
US4532970A (en) * 1983-09-28 1985-08-06 Hewlett-Packard Company Particle-free dockable interface for integrated circuit processing
US4674939A (en) * 1984-07-30 1987-06-23 Asyst Technologies Sealed standard interface apparatus
US4636128A (en) * 1984-08-30 1987-01-13 Texas Instruments Incorporated Semiconductor slice cassette transport unit
US4676709A (en) * 1985-08-26 1987-06-30 Asyst Technologies Long arm manipulator for standard mechanical interface apparatus
US4674936A (en) * 1985-08-26 1987-06-23 Asyst Technologies Short arm manipulator for standard mechanical interface apparatus
US4657475A (en) * 1985-09-23 1987-04-14 Sumitomo Rubber Industries, Ltd. Method for positioning seamed balls

Also Published As

Publication number Publication date
KR870009445A (ko) 1987-10-26
JPS62222625A (ja) 1987-09-30
CA1267978A (en) 1990-04-17
EP0238751A3 (en) 1989-07-19
EP0238751A2 (en) 1987-09-30
DE3687795T2 (de) 1993-06-09
DE3687795D1 (de) 1993-03-25
EP0238751B1 (en) 1993-02-17
US4781511A (en) 1988-11-01

Similar Documents

Publication Publication Date Title
KR940002914B1 (ko) 반도체 제조장치
US5674039A (en) System for transferring articles between controlled environments
KR100530547B1 (ko) 반도체 웨이퍼 입출력 취급 시스템
US4676709A (en) Long arm manipulator for standard mechanical interface apparatus
US20170178942A1 (en) Load port and load port atmosphere replacing method
JP2673157B2 (ja) 半導体ウエハの移載装置
US11227784B2 (en) Thin plate substrate-holding device and transfer robot provided with this holding device
KR102474585B1 (ko) 박판형상 기판 유지 핑거 및 이 핑거를 구비하는 반송 로봇
JP2002184831A (ja) Foupオープナ
WO1997027133A1 (en) Vacuum integrated smif system
US6799932B2 (en) Semiconductor wafer processing apparatus
TWI788422B (zh) Efem及efem之氣體置換方法
JPH10256346A (ja) カセット搬出入機構及び半導体製造装置
JPH05201506A (ja) クリーンルーム用保管庫
US6599075B2 (en) Semiconductor wafer processing apparatus
JP2019140275A (ja) 基板搬送装置および基板処理システム
KR100540973B1 (ko) 반도체 자재 저장용기 반송용 로더장치
US20040126206A1 (en) Mini-environment system and operating method thereof
JP2004047839A (ja) 密閉容器開閉装置
JPS6328046A (ja) カセツト搬送ボツクス
KR20010002584A (ko) 오염 입자 제거 기능을 갖는 스탠더드 메커니컬 인터페이스 시스템
KR20050067763A (ko) Smif파드의 이동 방지장치
JPS62104134A (ja) 清浄雰囲気内への処理対象物取扱装置
JP2000138153A (ja) マスクブランクス移載装置

Legal Events

Date Code Title Description
A201 Request for examination
G160 Decision to publish patent application
O035 Opposition [patent]: request for opposition

Free format text: OPPOSITION NUMBER: 001994001818; OPPOSITION DATE: 19940607

E601 Decision to refuse application
O063 Decision on refusal after opposition [patent]: decision to refuse application