TW201312656A - 於阻障表面上之鈷沉積 - Google Patents

於阻障表面上之鈷沉積 Download PDF

Info

Publication number
TW201312656A
TW201312656A TW101146410A TW101146410A TW201312656A TW 201312656 A TW201312656 A TW 201312656A TW 101146410 A TW101146410 A TW 101146410A TW 101146410 A TW101146410 A TW 101146410A TW 201312656 A TW201312656 A TW 201312656A
Authority
TW
Taiwan
Prior art keywords
cobalt
layer
substrate
deposited
plasma
Prior art date
Application number
TW101146410A
Other languages
English (en)
Other versions
TWI654684B (zh
Inventor
Jiang Lu
Hyoung-Chan Ha
Paul Ma
Seshadri Ganguli
Joseph F Aubuchon
Sang-Ho Yu
Murali Narasimhan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201312656A publication Critical patent/TW201312656A/zh
Application granted granted Critical
Publication of TWI654684B publication Critical patent/TWI654684B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明之實施例提供一種在一阻障層上沉積一鈷層並接著沉積一導電材料,如銅或一銅合金於其上的製程。在一實施例中,提供一沉積材料於一基材表面的方法,其包括以下步驟:在一基材上形成一阻障層,於氣相沉積製程(例如CVD或ALD)期間暴露此基材至二鈷六羰基丁基乙炔(CCTBA)及氫(H2)以在該阻障層上形成一鈷層,及沉積一導電材料於此鈷層之上。在某些範例中,此阻障層及/或此鈷層於如熱製程、原位電漿製程或遠距電漿製程之處理製程期間可暴露至一氣體或一試劑。

Description

於阻障表面上之鈷沉積
本發明之實施例大致有關用於製造電子及半導體元件的金屬化製程,更詳言之,本發明之實施例為有關在沉積一導電層或接觸材料於阻障層前沉積一鈷層於阻障層的方法。
銅為目前選用於多層金屬化製程的金屬,其對元件的製造為重要的。多層互連迫使製造製程要求高深寬比之孔的平坦化,該孔包括觸點、通孔、線及其他特徵。當特徵具有較高深寬比時,填充此特徵而不產生空隙或形變此特徵之幾何為更困難的。因製造者力求電路密度及品質,可靠地形成互連亦較困難。
因為銅相對低成本及製程性質,銅的使用已擴展至市場,故半導體製造商持續關注藉由減少銅擴散與抗濕潤性以改良在銅與介電材料間之邊界區的方法。因特徵尺寸已減小,已開發數種製程方法以製造銅互連。每一製程方法可增加誤差的可能性,如銅擴散越過邊界區、銅結晶結構變形及抗濕潤。物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、電化學電鍍(ECP)、無電沉積、化學機械研磨(CMP)、電化學機械研磨(ECMP)及其他沉積與移除銅層的方法利用機械、電或化學方法以操作形 成互連的銅。可沉積阻障及覆蓋層以含有銅。
過去,使用一具有錫、鋁或鎂的鉭、氮化鉭或銅合金層提供在銅與其他材料間的阻障層或一黏合促進劑。此些選擇通常成本高且僅部份有效。因沿此邊界區的銅原子在多重步驟半導體處理期間遭受一般在温度、壓力、大氣條件或其他製程變數的改變,銅可能沿此邊界區移動並成為黏聚的銅。銅亦可能沿此邊界區較不均勻分散並成為抗濕潤的銅。在邊界區的此些改變包括銅原子之應力遷移及電遷移。銅穿越介電層或其他結構之應力遷移及電遷移的增加此生成結構的電阻且減少此生成元件的可靠度。
因此,存在一提升在阻障層上一導電層或接觸材料之安定性與黏合性之需求。亦然,存在改良含銅層之電遷移可靠度的需求,尤其是對於銅線的形成,同時防止銅擴散入鄰近的材料,如介電材料。
本發明之實施例為提供在沉積一導電層於阻障層前沉積一鈷層於阻障層的製程。在一實施例中,提供一沉積材料於基材表面的方法,其包括在基材上形成一阻障層,暴露此基材至二鈷六羰基丁基乙炔(dicobalt hexacarbonyl butylacetylene,CCTBA)及氫(H2)以於氣相沉積製程期間在該阻障層上形成一鈷層,及沉積一導電材料於此鈷層上。
在一範例中,基材可於熱CVD製程期間暴露於一含有CCTBA及氫的沉積氣體。在另一範例中,基材可接著於ALD製程期間暴露於CCTBA與氫。此基材在CVD或ALD製程期間亦可加熱至一自約100℃至約250℃範圍間的温度。此鈷層沉積少於約40Å的厚度。
在某些範例中,阻障層及/或鈷層在處理製程期間可暴露至一氣體或一試劑。此處理可為一熱製程、一原位電漿製程或一遠端電漿製程。此氣體或試劑可含有或為氮(N2)、氨(NH3)、氫(H2)、氨/氫混合物、矽烷、二矽烷、氦、氬、其之電漿、其之衍生物或其等之組合。此阻障層或此鈷層可暴露於此氣體、試劑或電漿約1秒至約30秒範圍內的一段時間。此基材在處理製程期間可加熱至約50℃至約400℃之温度範圍。
在某些範例中,此導電材料可含有銅或銅合金。此導電材料可含有一種晶層及塊體層(bulk layer)。或者,此導電材料可直接沉積於此鈷層上,如藉由電化學電鍍(ECP)製程。在一範例中,一含有銅的種晶層可藉由PVD製程或CVD製程沉積。在另一範例中,此塊體層含有銅且可藉由一ECP製程沉積。此阻障層可含有鉭、氮化鉭、鈦、氮化鈦、鎢、氮化鎢、其之合金、其之衍生物或其等之組合。在一範例中,此阻障層可為一配置在鉭層上的氮化鉭層。
在另一實施例中,提供一種用於在一基材表面上沉積材料的方法,其包括以下步驟:在一基材上形成一阻障層,在預處理製程期間暴露該阻障層至第一電漿,暴露該基材 至CCTBA及氫以於氣相沉積製程期間在該阻障層上形成一鈷層,於後處理製程期間暴露該鈷層至一第二電漿,及藉由一氣相沉積製程沉積一銅層於該鈷層上,如藉由一PVD製程或CVD製程。
在另一實施例中,提供一種用於在一基材表面上沉積材料的方法,其包括以下步驟:在一基材上形成一阻障層,在預處理製程期間暴露此阻障層至一電漿,暴露該基材至CCTBA及一還原氣體以於氣相沉積製程期間在該阻障層上形成一鈷層,於後處理製程期間暴露該鈷層至一氫電漿,及沉積一銅材料於該鈷層之上。在一範例中,此用於沉積該鈷層之氣相沉積製程及該後-處理製程為依序重複以形成一鈷材料。此鈷材料含有多鈷層,其中每一者在另一鈷層沉積前已暴露至一氫電漿。
本發明之實施例為提供在沉積一導電層於阻障層前沉積一鈷層於阻障層或層的方法。此鈷層及阻障層可各自可選擇地暴露至一處理製程,如電漿製程或一熱製程。此導電層可含有銅或一銅合金且藉由物理氣相沉積(PVD)製程、原子層沉積(ALD)製程、電化學電鍍(ECP)製程或一無電沉積製程沉積。此鈷層改良銅邊界區性質以促進黏合性、改良溝槽填充及電移動性能、減少擴散及黏聚並助長在製程期間基材表面的均勻的粗糙度與濕潤性。
第1圖圖示一說明本發明實施例之製程100的流程圖。製程100可用於在一基材上形成一互連或其他元件。在一實施例中,製程100之步驟110-150可在基材200上進行,如在第2A-2F圖中圖示。製程100包括在一基材上沉積或形成一阻障層(步驟110),可選擇地暴露此阻障層至一預處理製程(步驟120),沉積一鈷層於此阻障層上(步驟130),可選擇地暴露此鈷層至一後處理製程(步驟140),及沉積至少一個導電層於此鈷層上(步驟150)。
第2A圖圖示含有配置於下層202之上的介電層204之基材200。孔206形成於介電層204內且可為一通孔、鑲嵌、穿孔或其他形成於其內的通道。下層202可為一基材、基材表面、接觸層或視元件結構而定的另一層。介電層204可含有一介電材料,如一低k介電材料。在一範例中,介電層204含有一低k介電材料,如一碳氧化矽材料,或一碳摻雜之氧化矽材料,例如BLACK DIAMOND® II低k介電材料,其可得自位於美國加州聖克拉拉市之Applied Materials公司。用於介電層204之合宜材料的另一範例為碳化矽基膜,其係使用如述於共同讓渡之美國專利第6,537,733、6,790,788及6,890,850號的化學氣相沉積(CVD)或電漿輔助CVD(PE-CVD)製程形成,該些專利併入本案做為參考。
在一實施例中,在製程100之步驟110期間至少一個阻障層或材料可沉積或形成於一基材上。在一範例中,第2B圖圖示阻障層210配置於基材200上、在介電層204 之上,並共形地位於孔206內。阻障層210可為一層或多層。阻障層210可含有鈦、氮化鈦、鉭、氮化鉭、鎢、氮化鎢、其等之矽化物、其等之衍生物或其等之組合。在某些實施例中,阻障層210可含有鉭/氮化鉭,鈦/氮化鈦或鎢/氮化鎢之雙層。阻障層210可具有一在自約5Å至約50Å範圍間的厚度,較佳為自約10Å至約30Å,且可藉由PVD、ALD、電漿輔助ALD(PE-ALD)、CVD、PE-CVD、脈衝-CVD或其等之組合形成或沉積。
在一範例中,阻障層210含有藉由PVD製程沉積之金屬鉭的一下層及一藉由另一PVD製程沉積一配置在此氮化鉭下層之上的上層。在另一範例中,阻障層210含有藉由ALD製程沉積之金屬鉭的一下層及一藉由CVD製程沉積一配置於此氮化鉭下層之上的上層。在另一範例中,阻障層210含有藉由PVD製程沉積之金屬鉭的一下層及一藉由CVD製程沉積一配置此氮化鉭下層之上的上層。
例如,阻障層210可含有使用CVD製程或一ALD製程沉積的氮化鉭,其中含鉭化合物或鉭先驅物(例如,PDMAT)與氮先驅物(例如氨)反應。在一實施例中,鉭及/或氮化鉭藉由述於共同讓渡之於2002年10月25日申請之美國專利申請案第10/281,079號,且以US 2003-0121608公開之專利申請案中的ALD製程沉積為一阻障層210,該專利併入本案做為參考。在一範例中,Ta/TaN雙層可沉積為一阻障層210,如各自以ALD、CVD及/或PVD製程以任何順序沉積一層於另一層上之一金屬鉭層及一氮化鉭層。
在另一範例中,一Ti/TiN雙層可沉積為一阻障層210,如各自以ALD、CVD及/或PVD製程以任何順序沉積一層於另一層上之一金屬鈦層及一氮化鈦層。在另一範例中,一W/WN雙層可沉積為一阻障層210,如各自以ALD、CVD及/或PVD製程以任何順序沉積一層於另一層上之一金屬鎢層及一氮化鎢層。
在步驟120,阻障層210可選擇地暴露至一預處理製程,如一電漿製程或一熱製程。在電漿或熱預處理製程期間可暴露至基材200之製程氣體及/或試劑包括氫(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、氫與氨混合物(H2/NH3)、聯胺(N2H4)、矽烷(SiH4)、二矽烷(Si2H6)、氦、氬、其之衍生物、其之電漿或其等之組合。製程氣體可以在自約500 sccm至約10 slm範圍間之流速流入至此製程腔室或暴露至此基材,較佳為自約1 slm至約6 slm,例如約3 slm。
在一實施例中,在步驟120於此預處理製程期間,基材200及阻障層210可暴露至一電漿以除去阻障層210之污染物。基材200可置於一製程腔室內且曝於一製程氣體,該氣體可點燃以形成電漿。製程氣體可含有一種氣體化合物或多種氣體化合物。基材200可在室温(例如23℃),但通常預熱至後續沉積製程的需求温度。基材200可加熱至自約100℃至約400℃範圍間之温度,較佳為自約125℃至約350℃,且更佳為約150℃至約300℃,如約200℃或約250℃。
此製程腔室可產生一原位電漿或裝設有一遠距電漿源(RPS)。在一實施例中,基材200可暴露至此電漿(例如,原位或遠距)自約0.5秒至約90秒之範圍間的一段時間,較佳為自約10秒至約60秒,且更佳為自約20秒至約40秒。此電漿在功率為自約100瓦特至約1,000瓦特範圍間產生,較佳為約200瓦特至約600瓦特,且更佳為約300瓦特至約500瓦特。此製程腔室通常具有一為約100托耳或更少之內部壓力,如在自約0.1托耳至約100托耳範圍間,較佳為自約0.5托耳至約50托耳,且更佳為自約1托耳至約10托耳。
在一範例中,基材200及阻障層210可暴露至由氫、氨、氮或其等之混合物產生的電漿。在另一範例中,基材200及阻障層210可暴露至由氫及氨產生的電漿。在另一範例中,基材200及阻障層210可暴露至由氫、氮、矽烷、二矽烷或其等之混合物產生的電漿。在另一範例中,基材200及阻障層210可暴露至由氫、氮、氬、氦或其等之混合物產生的電漿。
在另一實施例中,在步驟120,基材200及阻障層210於熱預處理製程期間可暴露至一製程氣體以除去阻障層210之污染物。此熱預處理製程可為一快速熱製程(RTP)或一快速熱退火(RTA)製程。基材200可置於一製程腔室內且曝於至少一製程氣體及/或試劑。此製程腔室可為一用於後續沉積製程之沉積腔室,如一PVD腔室、一CVD腔室或一ALD腔室。或者,此製程腔室可為一熱退火腔 室,如RADIANCE® RTA腔室,其可購自位於美國加州聖克拉拉市之Applied Materials公司。基材200可加熱至自約25℃至約800℃範圍間之温度,較佳為自約50℃至約400℃,且更佳為自約100℃至約300℃。基材200可加熱自約2分鐘至約20分鐘範圍間的一段時間,較佳為自約5分鐘至約15分鐘。例如,基材200在此製程腔室中可加熱至約400℃約12分鐘。
在一範例中,基材200及阻障層210當在此製程腔室中加熱時可曝於氫、氨、氮或其等之混合物。在另一範例中,基材200及阻障層210當在此製程腔室中加熱時可曝於氨/氫混合物。在另一範例中,基材200及阻障層210當在此製程腔室中加熱時可曝於氫、氮、矽烷、二矽烷或其等之混合物。在另一範例中,基材200及阻障層210當在此製程腔室中加熱時可曝於氫、氮、氬、氦或其等之混合物。
在另一實施例中,在製程100之步驟130期間,至少鈷材料或層可沉積或形成於此基材上。在一範例中,第2C圖說明鈷層220配置於基材200上,在阻障層210之上,且在孔206內共形。鈷層220通常為單一層,但可含有多層。鈷層220在遍及阻障層210上可為一連續或一不連續層。鈷層220可具有一約40Å或更少的厚度,如在自約2Å至約40Å之範圍間,較佳為約5Å至約30Å。鈷層220可藉由一氣相沉積製程,如CVD、PE-CVD、脈衝CVD、ALD、PE-ALD或PVD形成或沉積。此電漿輔助氣相沉積製程,亦即PE-CVD及PE-ALD,在此製程腔室內可為一原位電 漿製程,或可為一遠距電漿製程以致電漿可藉由一RPS點燃並直接進入此製程腔室。在許多範例中,鈷層220含有金屬鈷。或者,在其他範例中,鈷層220可含有一種或更多種鈷材料,如金屬鈷、矽化鈷、硼化鈷、磷化鈷、其之合金、其之衍生物或其等之組合。
在某些實施例中,鈷層220可在熱CVD製程、脈衝-CVD製程、PE-CVD製程或脈衝PE-CVD製程期間藉由同時導入一鈷先驅物與一試劑至製程腔室而形成或沉積。在其他實施例中,此鈷先驅物可在熱CVD製程、脈衝CVD製程、PE-CVD製程或脈衝PE-CVD製程期間於無一試劑下導入至此製程腔室。或者,在其他實施例中,鈷層220可在熱ALD製程或PE-ALD製程期間藉由依序導入一鈷先驅物與一試劑至製程腔室而形成或沉積。
在某些範例中,鈷層220可含有金屬鈷,但在其他範例中,可含有其他鈷材料。可藉由本文描述之CVD或ALD製程形成鈷材料(例如,金屬鈷或鈷合金)之合宜鈷驅物包括鈷羰基錯合物、鈷脒化合物、雙環戊二烯鈷化合物、鈷二烯基錯合物、鈷亞硝基錯合物、其之衍生物、其之錯合物、其之電漿或其等之組合。在某些實施例中,鈷材料可藉由更進一步描述於共同讓渡之美國專利第7,264,846及7,404,985號中的CVD與ALD製程沉積,該些專利併入本案做為參考。
在某些實施例中,可使用鈷羰基化合物或錯合物做為鈷先驅物。鈷羰基化合物或錯合物具有化學通式 (CO)xCoyLz,其中X可為1、2、3、4、5、6、7、8、9、10、11、或12,Y可為1、2、3、4或5,及Z可為1、2、3、4、5、6、7或8。此基團L為不存在、一配位體或多個配位體,其可為相同配位體或不同配位體,且包括環戊二烯基、烷基環戊二烯基(例如甲基環戊二烯基或五甲基環戊二烯基)、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、乙烯基、烯丙基(或丙烯基)、烯、二烯、炔、乙炔、丁基乙炔、亞硝基、氨、其之衍生物、其之錯合物、其之電漿或其等之組合。
在一實施例中,在沉積製程期間可使用二鈷六羰基乙醯基化合物以形成鈷材料(例如,鈷層220)。二鈷六羰基乙醯基化合物可具有化學通式(CO)6Co2(RC≡CR'),其中R及R'為獨立選自氫、甲基、乙基、丙基、異丙基、丁基、第三丁基、戊基、苯甲基、芳香族羥基、其之異構物、其之衍生物或其等之組合。在一範例中,二鈷六羰基丁基乙炔(CCTBA,(CO)6Co2(HC≡CtBu))為此鈷先驅物。二鈷六羰基乙醯基化合物之其他範例包括二鈷六羰基甲基丁基乙炔((CO)6Co2(MeC≡CtBu))、二鈷六羰基苯基乙炔((CO)6Co2(HC≡CPh))、二鈷六羰基甲基苯基乙炔((CO)6Co2(MeC≡CPh))、二鈷六羰基甲基乙炔((CO)6Co2(HC≡CMe))、二鈷羰基二甲基乙炔((CO)6Co2(MeC≡CMe))、其之衍生物、其之錯合物、其之電漿或其等之組合。其他範例之鈷羰基錯合物包括環戊二烯基鈷雙(羰基)(CpCo(CO)2)、三羰基烯丙基鈷 ((CO)3Co(CH2CH=CH2))、其之衍生物、其之錯合物、其之電漿或其等之組合。
在另一實施例中,可使用鈷脒酸鹽或鈷醯胺錯合物做為鈷先驅物。鈷醯胺錯合物具有化學通式(RR’N)xCo,其中X可為1、2或3,且R與R’獨立為氫、甲基、乙基、丙基、丁基、烷基、矽烷基、烷基矽烷基、其之衍生物或其等之組合。一些範例之鈷醯胺錯合物包括雙(二(丁基二甲基矽烷基)醯胺)鈷(((BuMe2Si)2N)2Co)、雙(二(乙基二甲基矽烷基)醯胺)鈷(((EtMe2Si)2N)2Co)、雙(二(丙基二甲基矽烷基)醯胺)鈷(((PrMe2Si)2N)2Co)、雙(二(三甲基矽烷基)醯胺)鈷(((Me3Si)2N)2Co),三(二(三甲基矽烷基)醯胺)鈷(((Me3Si)2N)3Co)、其之衍生物、其之錯合物、其之電漿或其等之組合。
一些範例之鈷先驅物包括甲基環戊二烯基鈷雙(羰基)(MeCpCo(CO)2)、乙基環戊二烯基鈷雙(羰基)(EtCpCo(CO)2)、五甲基環戊二烯基鈷雙(羰基)(Me5CpCo(CO)2)、二鈷八(羰基)(Co2(CO)8)、亞硝基鈷三(羰基)((ON)Co(CO)3)、雙(環戊二烯基)鈷、(環戊二烯基)鈷(環己二烯基)、環戊二烯基鈷(1,3-己二烯基)、(環丁二烯基)鈷(環戊二烯基)、雙(甲基環戊二烯基)鈷、(環戊二烯基)鈷(5-甲基環戊二烯基),雙(乙烯)鈷(五甲基環戊二烯基)、四羰基碘化鈷、鈷四羰基三氯矽烷、羰基氯三(三甲基膦)鈷、鈷三羰基-氫三丁基膦、乙炔二鈷六羰基、乙炔 二鈷五羰基三乙基膦、其之衍生物、其之錯合物、其之電漿或其等之組合。
在某些範例中,當藉由本文描述之製程形成鈷材料(例如,金屬鈷或鈷合金)時,可使用交替試劑與鈷先驅物反應,該試劑包括還原劑,其包括氫(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、聯胺(N2H4)、一氫與氨之混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、其之衍生物、其之電漿或其等之組合。
在一實施例中,含金屬鈷的鈷層220在熱CVD製程期間藉由同時將基材200暴露至一鈷先驅物氣體與一還原劑而沉積。在一可替代的實施例中,含金屬鈷的鈷層220在電漿輔助CVD製程期間藉由同時將基材200暴露至一鈷先驅物氣體與一還原劑而沉積。此電漿源可為在CVD腔室內的原位電漿源或裝設在CVD腔室外的RPS。此鈷先驅物氣體可藉由將一載體氣體(例如,氮或氬)通過一鈷先驅物(例如,CCTBA)安瓿而形成。此還原劑氣體可為單一化合物(例如,H2),且因此不具有載體氣體。或者,此還原劑氣體可藉由將載體氣體通過一還原劑安瓿而形成。
此安瓿的加熱可依製程期間使用之鈷先驅物或還原劑而定。在一範例中,一含有鈷先驅物的安瓿,如二鈷六羰基乙醯基化合物或其他鈷羰基化合物(例如,(CO)xCoyLz)可加熱至一自約30℃至約500℃範圍間的温度。此鈷先 驅物氣體通常具有一自約100 sccm(每分鐘標準立方公分(standard cubic centimeters per minute))至約2,000 sccm範圍間之流速,較佳為自約200 sccm至約1,000 sccm,且更佳為自約300 sccm至約700 sccm,例如約500 sccm。此還原劑氣體通常具有一自約0.5 slm(每分鐘標準升(standard liters per minute))至約10 slm範圍間之流速,較佳為自約1 slm至約8 slm,且更佳為自約2 slm至約6 slm。在一範例中,還原劑氣體為氫且具有一自約2 slm至約6 slm範圍間之流速,如約4 slm。
此鈷先驅物氣體及此還原劑氣體於沉積製程期間可在進入製程腔室前、當時或之後組合以形成一沉積氣體以沉積鈷層220。基材200可置於一製程腔室內且可加熱至自約25℃至約800℃範圍間之温度,較佳為自約50℃至約400℃,且更佳為自約100℃至約250℃,如約150℃。一旦在預定温度,基材200可暴露至含有鈷先驅物氣體與還原劑氣體的沉積氣體自約0.1秒至約120秒範圍間的一段時間,較佳為自約1秒至約60秒,且更佳為自約5秒至約30秒。例如,基材200當於CVD製程期間形成鈷層220時,其在此製程腔室中可加熱至約150℃約10分鐘。
在步驟140,鈷層220可選擇地曝於一後處理製程,如一電漿製程或一熱製程。在電漿或熱後處理製程期間可曝於基材200及鈷層220的製程氣體及/或試劑包括氫(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、一氫與氨之混合物(H2/NH3)、聯腔(N2H4)、矽烷(SiH4)、二 矽烷(Si2H6)、氦、氬、其之衍生物、其之電漿或其等之組合。此製程氣體可以自約500 sccm至約10 slm範圍間的流速流入此製程腔室或曝至基材,較佳為自約1 slm至約6 slm,例如約3 slm。
在一實施例中,在步驟140於此後處理製程期間,基材200及鈷層220可暴露至一電漿以除去鈷層220之污染物。基材200可置於一製程腔室內且曝於一製程氣體,該氣體可點燃以形成電漿。此製程氣體可含有一氣體化合物或多個氣體化合物。基材200可在室温(例如23℃),但通常預熱至後續沉積製程的需求温度。基材200可加熱至自約100℃至約400℃範圍間之温度,較佳為自約125℃至約350℃,且更佳為自約150℃至約300℃,如約200℃或約250℃。
此製程腔室可產生一原位電漿或裝設有一RPS。在一實施例中,基材200可暴露至此電漿(例如,原位或遠距)自約0.5秒至約90秒之範圍間的一段時間,較佳為自約10秒至約60秒,且更佳為自約20秒至約40秒。此電漿在功率為自約100瓦特至約1,000瓦特範圍間產生,較佳為自約200瓦特至約600瓦特,且更佳為自約300瓦特至約500瓦特。此製程腔室通常具有一內部壓力為約100托耳或更少,如在自約0.1托耳至約100托耳範圍間,較佳為自約0.5托耳至約50托耳,且更佳為自約1托耳至約10托耳。
在一範例中,基材200及鈷層220可暴露至由氫、氨、氮或其等之混合物產生的電漿。在另一範例中,基材200及鈷層220可暴露至由氫及氨產生的電漿。在另一範例中,基材200及鈷層220可暴露至由氫、氮、矽烷、二矽烷或其等之混合物產生的電漿。在另一範例中,基材200及鈷層220可暴露至由氫、氮、氬、氦或其等之混合物產生的電漿。
在某些範例中,基材200及鈷層220可曝至由RPS點燃之氫氣產生的氫電漿。鈷層220可曝至具自約2 slm至約4 slm範圍間之流速的氫氣體。此製程腔室可具有一內部壓力自約1托耳至約10托耳範圍間,且此電漿係藉由具自約300瓦特至約500瓦特範圍間之功率的RPS點燃。在一實施例中,此電漿對於每一具有自約7Å至約10Å範圍間厚度的鈷材料沉積層係曝至鈷層220自約20秒至約40秒範圍間的一段時間。當形成鈷層220時,可在沉積鈷材料之多層後進行多重處理。
在另一實施例中,在步驟140於此後處理製程期間,基材200及鈷層220可暴露至一製程氣體以除去鈷層220之污染物。此熱後處理製程可為一RTP或RTA製程。基材200可置於一製程腔室內且曝於至少一種製程氣體及/或試劑。此製程腔室可為一在先前沉積製程使用或將在後續沉積製程使用之沉積腔室,如一PVD腔室、一CVD腔室或一ALD腔室。或者,此製程腔室可為一熱退火腔室,如RADIANCE® RTA腔室,其可購自位於美國加州聖克拉 拉市之Applied Materials公司。基材200可加熱至自約25℃至約800℃範圍間之温度,較佳為自約50℃至約400℃,且更佳為自約100℃至約300℃。基材200可加熱自約2分鐘至約20分鐘範圍間的一段時間,較佳為自約5分鐘至約15鐘。例如,基材200在此製程腔室中可加熱至約400℃約12分鐘。
在一範例中,基材200及鈷層220當在此製程腔室中加熱時可曝於氫、氨、氮或其等之混合物。在另一範例中,基材200及鈷層220當在此製程腔室中加熱時可曝於氨/氫混合物。在另一範例中,基材200及鈷層220當在此製程腔室中加熱時可曝於氫、氮、矽烷、二矽烷或其等之混合物。在另一範例中,基材200及鈷層220當在此製程腔室中加熱時可曝於氫、氮、氬、氦或其等之混合物。
第2C圖圖示在基材200上於介電層204內形成孔206。孔206含有共形配置於其內的阻障層210及鈷層220。在另一實施例中,在製程100之步驟150期間,一導電層可沉積或形成於鈷層220上。在一實施例中,此導電層為塊體層240,其可直接沉積於鈷層220上,如在第2D圖中所圖示。或者,在另一實施例中,此導電層為種晶層230及塊體層240。種晶層230可沉積遍及鈷層220且接著塊體層240可沉積遍及種晶層230,如在第2E-2F圖中說明。
種晶層230及塊體層240可在單一沉積製程或多重沉積製程期間沉積或形成。種晶層230可含有銅、鎢、鋁、釕、鈷、銀、鉑、鈀、其之合金、其之衍生物或其等之組合。 塊體層240可含有銅、鎢、鋁、其之合金、其之衍生物或其等之組合。通常,種晶層230及塊體層240可獨立含有銅、鎢、鋁、其之合金、其之衍生物或其等之組合。種晶層230及塊體層240可獨立地藉由使用一或一以上的沉積製程沉積,如一CVD製程、ALD製程、PVD製程、無電沉積製程、ECP製程、其之衍生物或其等之組合。
在一範例中,種晶層230及塊體層240之每一者含有銅或一銅合金。例如,含有銅之種晶層230可藉由PVD製程形成於鈷層220上,且接著含有銅之塊體層240可藉由ECP製程或無電沉積製程沉積以填充孔206。在另一範例中,含有銅之種晶層230可藉由ALD製程形成於鈷層220上,且接著含有銅之塊體層240可藉由ECP製程或無電沉積製程沉積以填充孔206。在另一範例中,含有銅之種晶層230可藉由CVD製程形成於鈷層220上,且接著含有銅之塊體層240可藉由ECP製程或無電沉積製程沉積以填充孔206。在另一範例中,含有銅之種晶層230可藉由無電製程形成於鈷層220上,且接著含有銅之塊體層240可藉由ECP製程或無電沉積製程沉積以填充孔206。在另一範例中,鈷層220做為一種晶層,則含有銅之塊體層240可藉由ECP製程或無電沉積製程直接沉積於其上以填充孔206。
在一範例中,種晶層230及塊體層240之每一者含有鎢或一鎢合金。例如,含有鎢之種晶層230可藉由PVD製程形成於鈷層220上,且接著含有鎢之塊體層240可藉由 CVD製程或脈衝CVD製程沉積以填充孔206。在另一範例中,含有鎢之種晶層230可藉由ALD製程形成於鈷層220上,且接著含有鎢之塊體層240可藉由CVD製程或脈衝CVD製程沉積以填充孔206。在另一範例中,含有鎢之種晶層230可藉由脈衝CVD製程形成於鈷層220上,且接著含有鎢之塊體層240可藉由CVD製程或脈衝CVD製程沉積以填充孔206。在另一範例中,含有鎢之種晶層230可藉由無電製程形成於鈷層220上,且接著含有鎢之塊體層240可藉由CVD製程或脈衝CVD製程沉積以填充孔206。在另一範例中,鈷層220做為一種晶層,則含有鎢之塊體層240可藉由CVD製程或脈衝CVD製程直接沉積於其上以填充孔206。
在本文實施例中使用的ALD製程腔室係可得自位於美國加州聖克拉拉市之Applied Materials公司。ALD製程腔室的詳細說明可見於共同讓渡的美國專利第6,916,398及6,878,206號,共同讓渡之於2002年10月25日申請之美國專利申請案第10/281,079號,且以US 2003-0121608早期公開之專利申請案,及共同讓渡之各別於2006年11月6日申請的美國專利申請號第11/556,745、11/556,752、11/556,756、11/556,758、11/556,763號且以美國專利公開號第2007-0119379、2007-0119371、2007-0128862、2007-0128863及2007-0128864號早期公開之申請案,該些專利併入本案做為參考。在另一實施例中,建構可用於ALD模式以及傳統CVD模式操作以沉積含鈷材料的腔室 係描述於共同讓渡之美國專利第7,204,886號,該專利併入本案做為參考。一用於形成含鈷材料的ALD製程之詳細描述更進一步揭露於共同讓渡美國專利第7,264,846及7,404,985號,該些專利併入本案做為參考。在其他實施例中,建構可用於ALD模式以及傳統CVD模式操作以沉積含鈷材料的腔室係TXZ®噴灑頭及CVD腔室,其可得自位於美國加州聖克拉拉市之Applied Materials公司。合宜之氣相沉積腔室的範例包括WXZTM CVD腔室,其可購自位於美國加州聖克拉拉市之Applied Materials公司。此氣相沉積腔室可適於藉由傳統CVD、脈衝CVD或PE-CVD技術以及藉由ALD與PE-ALD技術沉積材料。亦然,此氣相沉積腔室可用於處理製程,如一原位電漿製程、一遠距電漿製程或熱退火製程。
本文使用之「基材表面」或「基材」係指任何在一基材上形成的基材或材料表面,在其上可於一製造製程期間進行膜處理。例如,一可進行製程的基材表面包括材料如單晶、多晶或非晶矽、應變矽、在絕緣體上矽(SOI)、摻雜矽、矽化鍺、鍺、砷化鎵、玻璃、藍寶石、氧化矽、氮化矽、氮氧化矽及/或碳摻雜氧化矽,如SiOxCy,例如BLACK DIAMOND®低k介電材料,其可得自位於美國加州聖克拉拉市之Applied Materials公司。基材可具有不同尺寸,如100 mm、200 mm、300 mm或450 mm直徑晶圓,以及矩形或方形片。除非特別指明,本發明描述之實施例及範例通常在具有200 mm直徑或300 mm直徑的基材上進行, 更佳為300 mm直徑。本發明描述之製程可用於在許多基材及表面上沉積鈷材料(例如,金屬鈷),尤其是阻障層及層。在本發明之實施例可使用的基材包括但未限制為半導體晶圓,如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽化鍺、摻雜或未摻雜之多晶矽、摻雜或未摻雜之矽晶圓、及圖案化或未圖案化之晶圓。基材可暴露至預處理製程以研磨、蝕刻、還原、氧化、羥化、加熱、及/或退火此基材或基材表面。
雖然前述為有關本發明之實施例,但本發明之其他及進一步的實施例可未偏離本發明之技術之基本視野下完成,且本發明之範疇係由後文之申請專利範圍界定。
100‧‧‧說明製程的流程圖
110、120、130、140、150‧‧‧步驟
200‧‧‧基材
202‧‧‧下層
204‧‧‧介電層
206‧‧‧孔
210‧‧‧阻障層
220‧‧‧鈷層
230‧‧‧種晶層
240‧‧‧塊體層
在前文概述之本發明的更詳細描述可參考實施例,其之部份為說明於附圖中,以此方式,本發明於前文述及的特徵可更詳盡的瞭解。然而,需瞭解附圖僅為用以說明本發明之典型實施例,故因此不能被視為限制本發明的範疇,因為本發明容許其他等效的實施態樣。
第1圖圖示根據本文描述之一實施例說明一製程之流程圖;及第2A-2F圖圖示根據本文描述之一實施例在不同製程步驟中基材的示意圖。
200‧‧‧基材
202‧‧‧下層
204‧‧‧介電層
220‧‧‧鈷層
230‧‧‧種晶層
240‧‧‧塊體層

Claims (52)

  1. 一種用於在一基材上形成一含矽化鈷的材料的方法,包含以下步驟:暴露一基材至至少一個預清潔製程以暴露一含矽表面;在該含矽表面上沉積一矽化鈷材料;在該矽化鈷材料上沉積一金屬鈷材料;以及在該基材上沉積一金屬接觸材料,其中在一矽浸泡(soak)製程期間,該矽化鈷材料或該金屬鈷材料暴露至一含矽的還原氣體以及一電漿處理。
  2. 如請求項1所述之方法,其中該矽化鈷材料與該金屬鈷材料是在相同的處理腔室中沉積。
  3. 如請求項1所述之方法,其中透過在一化學氣相沉積製程或一原子層沉積製程期間將該基材暴露至一鈷先驅物與一矽先驅物,而沉積該矽化鈷材料。
  4. 如請求項3所述之方法,其中該矽化鈷材料包含一矽/鈷原子之比值,該比值大於0.5。
  5. 如請求項4所述之方法,其中該矽/鈷原子之比值在從約1至約2的範圍內。
  6. 如請求項1所述之方法,其中該金屬接觸材料包含一材料,該材料選自由鎢、銅、鋁、以及前述材料之組合所構成的群組。
  7. 如請求項6所述之方法,其中該金屬接觸材料的沉積包含以下步驟:形成一種晶層以及在該種晶層上形成一塊體層。
  8. 如請求項7所述之方法,其中該種晶層包含鎢且該塊體層包含鎢。
  9. 如請求項1所述之方法,其中一阻障材料沉積在該金屬鈷材料上,且該金屬接觸材料沉積在該阻障層上。
  10. 如請求項9所述之方法,其中該阻障材料包含一材料,該材料選自以下材料所構成之群組:鉭、氮化鉭、鈦、氮化鈦、鎢、氮化鎢、前述材料之合金以及前述材料之衍生物。
  11. 如請求項3所述之方法,其中該鈷先驅物包含一化合物,該化合物選自以下化合物所構成之群組:三羰基烯丙基鈷、環戊二烯基鈷雙(羰基)、甲基環戊二烯基鈷雙(羰基)、乙基環戊二烯基鈷雙(羰基)、五甲基環戊二烯基鈷雙(羰基)、二鈷八(羰基)、亞硝基鈷三(羰基)、 雙(環戊二烯基)鈷、(環戊二烯基)鈷(環己二烯基)、環戊二烯基鈷(1,3-己二烯基)、(環丁二烯基)鈷(環戊二烯基)、雙(甲基環戊二烯基)鈷、(環戊二烯基)鈷(5-甲基環戊二烯基)、雙(乙烯)鈷(五甲基環戊二烯基)、前述化合物的衍生物、前述化合物的錯合物、前述化合物的電漿、以及前述化合物的組合。
  12. 如請求項11所述之方法,其中該鈷先驅物包含一環戊二烯基鈷雙(羰基)。
  13. 如請求項3所述之方法,其中該鈷先驅物包含化學通式(CO)xCOyLz,其中:x是1、2、3、4、5、6、7、8、9、10、11、或12;y是1、2、3、4、或5;z是1、2、3、4、5、6、7、或8;以及L是一配位體,獨立地選自以下配位體所構成的群組:環戊二烯基、烷基環戊二烯基、甲基環戊二烯基、五甲基環戊二烯基、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、烯丙基、乙烯、丙烯、烯烴、二烯烴、炔、亞硝基、氨、前述配位體之衍生物、前述配位體之組合。
  14. 如請求項3所述之方法,其中該矽先驅物包含一化合物,該化合物選自由矽烷、二矽烷、前述化合物之衍生物、前述化合物之電漿、與前述化合物之組合所構 成的群組。
  15. 如請求項3所述之方法,其中該基材在該化學氣相沉積製程或該原子層沉積製程期間被加熱到至少100℃的溫度。
  16. 如請求項15所述之方法,其中該溫度是在約300℃至約400℃的範圍內。
  17. 如請求項1所述之方法,其中沉積該金屬鈷材料之前,將該矽化鈷材料暴露至一電漿。
  18. 如請求項17所述之方法,其中該電漿是透過一射頻由氫氣生成。
  19. 如請求項3所述之方法,其中該原子層沉積製程期間沉積該矽化鈷材料是透過以下步驟進行:進行一沉積循環以沉積一矽化鈷層;以及重複該沉積循環以形成複數個矽化鈷層,其中該沉積循環包含以下步驟:將該基材暴露到包含該矽先驅物的一還原氣體,同時依序將該基材暴露至該鈷先驅物以及一電漿。
  20. 如請求項19所述之方法,其中該電漿包含一氫電漿。
  21. 如請求項1所述之方法,其中在該矽浸泡製程期間,該矽化鈷材料暴露至該含矽的還原氣體以及該電漿處理。
  22. 如請求項1所述之方法,其中在該矽浸泡製程期間,該金屬鈷材料暴露至該含矽的還原氣體以及該電漿處理。
  23. 如請求項1所述之方法,其中於一脈衝式化學氣相沉積製程期間沉積該矽化鈷材料,此步驟是透過以下步驟進行:進行一沉積循環以沉積一矽化鈷層;以及重複該沉積循環以形成複數個矽化鈷層,其中該沉積循環包含以下步驟:將該基材暴露到一矽先驅物、一鈷先驅物、以及一電漿。
  24. 如請求項1所述之方法,其中該含矽表面包含多晶矽。
  25. 一種用於在一基材上形成一含矽化鈷的材料的方法,包含以下步驟:暴露一基材至至少一個預清潔製程以暴露一含矽表面;在該含矽表面上沉積一矽化鈷材料;將該基材暴露至一退火製程; 在該矽化鈷材料上沉積一阻障材料;以及在該阻障材料上沉積一金屬接觸材料,其中在一矽浸泡製程期間,該矽化鈷材料或該阻障材料暴露至一含矽的還原氣體以及一電漿處理。
  26. 如請求項25所述之方法,其中在該退火製程期間在一退火腔室內,該基材被加熱到至少約600℃的溫度。
  27. 如請求項25所述之方法,其中透過在一化學氣相沉積製程或一原子層沉積製程期間將該基材暴露至一鈷先驅物與一矽先驅物,而沉積該矽化鈷材料。
  28. 如請求項27所述之方法,其中該矽化鈷材料包含一矽/鈷原子之比值,該比值大於0.5。
  29. 如請求項28所述之方法,其中該矽/鈷原子之比值在從約1至約2的範圍內。
  30. 如請求項25所述之方法,其中該金屬接觸材料包含一材料,該材料選自由鎢、銅、鋁、以及前述材料之組合所構成的群組。
  31. 如請求項30所述之方法,其中該金屬接觸材料的沉積包含以下步驟:形成一種晶層以及在該種晶層上形成 一塊體層。
  32. 如請求項31所述之方法,其中該種晶層包含鎢且該塊體層包含鎢。
  33. 如請求項25所述之方法,其中該阻障材料包含一材料,該材料選自以下材料所構成之群組:鉭、氮化鉭、鈦、氮化鈦、鎢、氮化鎢、鈷、前述材料之合金以及前述材料之衍生物。
  34. 如請求項27所述之方法,其中該鈷先驅物包含一化合物,該化合物選自以下化合物所構成之群組:三羰基烯丙基鈷、環戊二烯基鈷雙(羰基)、甲基環戊二烯基鈷雙(羰基)、乙基環戊二烯基鈷雙(羰基)、五甲基環戊二烯基鈷雙(羰基)、二鈷八(羰基)、亞硝基鈷三(羰基)、雙(環戊二烯基)鈷、(環戊二烯基)鈷(環己二烯基)、環戊二烯基鈷(1,3-己二烯基)、(環丁二烯基)鈷(環戊二烯基)、雙(甲基環戊二烯基)鈷、(環戊二烯基)鈷(5-甲基環戊二烯基)、雙(乙烯)鈷(五甲基環戊二烯基)、前述化合物的衍生物、前述化合物的錯合物、前述化合物的電漿、以及前述化合物的組合。
  35. 如請求項34所述之方法,其中該鈷先驅物包含一環戊二烯基鈷雙(羰基)。
  36. 如請求項27所述之方法,其中該鈷先驅物包含化學通式(CO)xCOyLz,其中:x是1、2、3、4、5、6、7、8、9、10、11、或12;y是1、2、3、4、或5;z是1、2、3、4、5、6、7、或8;以及L是一配位體,獨立地選自以下配位體所構成的群組:環戊二烯基、烷基環戊二烯基、甲基環戊二烯基、五甲基環戊二烯暴、戊二烯基、烷基戊二烯基、環丁二烯基、丁二烯基、烯丙基、乙烯、丙烯、烯烴、二烯烴、炔、亞硝基、氨、前述配位體之衍生物、前述配位體之組合。
  37. 如請求項27所述之方法,其中該矽先驅物包含一化合物,該化合物選自由矽烷、二矽烷、前述化合物之衍生物、前述化合物之電漿、與前述化合物之組合所構成的群組。
  38. 如請求項27所述之方法,其中該基材在該化學氣相沉積製程或該原子層沉積製程期間被加熱到至少100℃的溫度。
  39. 如請求項38所述之方法,其中該溫度是在約300℃至約400℃的範圍內。
  40. 如請求項25所述之方法,其中沉積該阻障材料之前,將該矽化鈷材料暴露至一電漿。
  41. 如請求項40所述之方法,其中該電漿是透過一射頻由氫氣生成。
  42. 如請求項27所述之方法,其中該原子層沉積製程期間沉積該矽化鈷材料是透過以下步驟進行:進行一沉積循環以沉積一矽化鈷層;以及重複該沉積循環以形成複數個矽化鈷層,其中該沉積循環包含以下步驟:將該基材暴露到包含該矽先驅物的一還原氣體,同時依序將該基材暴露至該鈷先驅物以及一電漿。
  43. 如請求項42所述之方法,其中該電漿包含一氫電漿。
  44. 如請求項42所述之方法,其中在該矽浸泡製程期間,該阻障材料暴露至該含矽的還原氣體。
  45. 如請求項44所述之方法,進一步包含以下步驟:在該矽浸泡製程期間,將該阻障材料暴露至該電漿處理。
  46. 如請求項25所述之方法,其中於一脈衝式化學氣相沉積製程期間沉積該矽化鈷材料,此步驟是透過以下步 驟進行:進行一沉積循環以沉積一矽化鈷層;以及重複該沉積循環以形成複數個矽化鈷層,其中該沉積循環包含以下步驟:將該基材暴露到一矽先驅物、一鈷先驅物、以及一電漿。
  47. 如請求項25所述之方法,其中該含矽表面包含多晶矽。
  48. 一種用於在一基材上形成一含金屬矽化物的材料的方法,包含以下步驟:在一反應性預清潔製程期間將一基材暴露至一電漿以暴露一含矽表面,該電漿包含氫、氨、或前述電漿之組合;在該含矽表面上沉積一金屬矽化物材料;在一矽浸泡製程期間將該金屬矽化物材料暴露至一含矽的還原氣體與一電漿處理;在該金屬矽化物材料上沉積一阻障材料;以及沉積一鎢接觸材料覆於該阻障材料上,所述步驟進一步包含以下步驟:在一原子層沉積製程期間,在該阻障材料上沉積一鎢成核層;以及在一化學氣相沉積製程期間,沉積一鎢塊體層覆於該鎢成核層上。
  49. 如請求項48所述之方法,其中該金屬矽化物材料包含 至少一個材料,該材料選自由鎢、鎳、鉑、鈀、前述材料之組合、與前述材料之合金所構成的群組。
  50. 如請求項49所述之方法,其中在該矽浸泡製程期間,該阻障材料暴露至該含矽的還原氣體。
  51. 如請求項50所述之方法,進一步包含以下步驟:在該矽浸泡製程期間,將該阻障材料暴露至該電漿處理。
  52. 如請求項48所述之方法,其中該含矽表面包含多晶矽或氧化矽。
TW101146410A 2008-08-29 2009-08-21 於阻障表面上之鈷沉積 TWI654684B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/201,976 2008-08-29
US12/201,976 US9051641B2 (en) 2001-07-25 2008-08-29 Cobalt deposition on barrier surfaces

Publications (2)

Publication Number Publication Date
TW201312656A true TW201312656A (zh) 2013-03-16
TWI654684B TWI654684B (zh) 2019-03-21

Family

ID=41722231

Family Applications (2)

Application Number Title Priority Date Filing Date
TW098128269A TWI528456B (zh) 2008-08-29 2009-08-21 於阻障表面上之鈷沉積
TW101146410A TWI654684B (zh) 2008-08-29 2009-08-21 於阻障表面上之鈷沉積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW098128269A TWI528456B (zh) 2008-08-29 2009-08-21 於阻障表面上之鈷沉積

Country Status (6)

Country Link
US (2) US9051641B2 (zh)
JP (2) JP2012501543A (zh)
KR (1) KR101599488B1 (zh)
CN (2) CN102132383A (zh)
TW (2) TWI528456B (zh)
WO (1) WO2010025068A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
TWI570261B (zh) * 2013-09-13 2017-02-11 應用材料股份有限公司 對介電基板上以cctba為基礎之cvd鈷成核作用進行改良的表面處理

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
DE102009023381A1 (de) * 2009-05-29 2010-12-02 Grega, Samuel Verfahren zur Herstellung von W-, Cr-, Mo-Schichten, deren Carbiden, Nitriden, Siliciden, mehrschichtigen Strukturen und Verbindungsstrukturen auf festen Substraten und Vorrichtung für deren Herstellung
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TW201125028A (en) 2009-09-02 2011-07-16 Ulvac Inc Method for forming co film and method for forming cu wiring film
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
JP5680892B2 (ja) * 2010-07-13 2015-03-04 株式会社アルバック Co膜形成方法
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
KR101223724B1 (ko) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
JP2012174845A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
JP5659041B2 (ja) * 2011-02-24 2015-01-28 東京エレクトロン株式会社 成膜方法および記憶媒体
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
JP2014101564A (ja) * 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
JP6310653B2 (ja) * 2013-07-08 2018-04-11 株式会社アルバック Cu配線構造の形成方法
US9685371B2 (en) * 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
JP6268008B2 (ja) * 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
US9997362B2 (en) * 2014-04-07 2018-06-12 Entegris, Inc. Cobalt CVD
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) * 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9758896B2 (en) 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
US10563305B2 (en) * 2015-05-13 2020-02-18 Versum Materials Us, Llc Container for chemical precursors in a deposition process
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US20170186944A1 (en) * 2015-12-29 2017-06-29 International Business Machines Corporation Enhancement of spin transfer torque magnetoresistive random access memory device using hydrogen plasma
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US10011903B2 (en) 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
KR20180034311A (ko) * 2016-08-14 2018-04-04 엔테그리스, 아이엔씨. 응력을 감소시키기 위한 Co 합금
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
JP2018073949A (ja) * 2016-10-27 2018-05-10 東京エレクトロン株式会社 金属配線層形成方法、金属配線層形成装置および記憶媒体
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11807939B2 (en) 2017-07-18 2023-11-07 Kojundo Chemical Laboratory Co., Ltd. Atomic layer deposition method for metal thin films
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10079177B1 (en) 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US20190309422A1 (en) * 2018-04-06 2019-10-10 Versum Materials Us, Llc Spin-On Metallization
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11024801B2 (en) 2018-06-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113614274A (zh) 2019-03-22 2021-11-05 应用材料公司 用于沉积具有超导膜的多层器件的方法及装置
EP3942088A4 (en) 2019-03-22 2022-12-21 Applied Materials, Inc. METHOD AND APPARATUS FOR DEPOSITING METAL NITRIDES
KR20200124351A (ko) 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
TWI780579B (zh) 2020-02-03 2022-10-11 美商應用材料股份有限公司 具有整合化氮化鋁晶種或波導層的超導奈米線單光子偵測器
TWI753759B (zh) 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US20210407852A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including liner structure
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
US11742282B2 (en) * 2020-08-07 2023-08-29 Micron Technology, Inc. Conductive interconnects
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
US20220228257A1 (en) 2021-01-21 2022-07-21 Taiwan Semiconductor Manufacturing Company Limited Tungsten deposition on a cobalt surface
US20230134230A1 (en) * 2021-11-01 2023-05-04 Applied Materials, Inc. Methods and apparatus for tungsten gap fill

Family Cites Families (435)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
JPS5898917U (ja) 1981-12-26 1983-07-05 株式会社フジ医療器 椅子式マツサ−ジ機に付設した腕引伸ばし装置
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4500409A (en) 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
JPS6119883A (ja) 1984-07-06 1986-01-28 Asahi Chem Ind Co Ltd 複合構造物
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS61174725A (ja) 1985-01-30 1986-08-06 Toshiba Corp 薄膜形成装置
JPH0547666Y2 (zh) 1985-03-15 1993-12-15
JPS61174725U (zh) 1985-04-22 1986-10-30
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPS6428921A (en) 1987-07-24 1989-01-31 Tokuda Seisakusho Plasma treatment device
US4814294A (en) 1987-07-30 1989-03-21 Allied-Signal Inc. Method of growing cobalt silicide films by chemical vapor deposition
JPS6428921U (zh) 1987-08-12 1989-02-21
US4824544A (en) 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2895506B2 (ja) 1989-05-12 1999-05-24 東京エレクトロン株式会社 スパッタ装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0415750B1 (en) 1989-08-30 1994-11-09 Nec Corporation Thin-film capacitors and process for manufacturing the same
JPH03140487A (ja) 1989-10-25 1991-06-14 Mitsubishi Electric Corp 電気化学反応器
DE69129081T2 (de) 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
JPH03240944A (ja) 1990-02-17 1991-10-28 Masahiko Naoe アルミニウム薄膜形成用対向ターゲット式スパッタ法及び装置
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2680202B2 (ja) 1991-03-20 1997-11-19 国際電気株式会社 気相成長方法及び装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
JPH05234899A (ja) 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JPH05195213A (ja) 1992-01-22 1993-08-03 Hitachi Ltd スパッタリング装置
JP3126787B2 (ja) 1992-01-30 2001-01-22 理化学研究所 成膜方法および成膜装置
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3103186B2 (ja) 1992-03-19 2000-10-23 富士通株式会社 原子層エピタキシー装置および原子層エピタキシー法
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
JPH05311419A (ja) 1992-04-01 1993-11-22 Nec Corp マグネトロン型スパッタ装置
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
TW271490B (zh) 1993-05-05 1996-03-01 Varian Associates
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR960005377Y1 (ko) 1993-06-24 1996-06-28 현대전자산업 주식회사 반도체 소자 제조용 스퍼터링 장치
US6171922B1 (en) 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
JPH07126844A (ja) 1993-11-01 1995-05-16 Tatsuo Asamaki スパッタ装置
DE69403768T2 (de) 1993-12-28 1997-11-13 Tokyo Electron Ltd Dipolringmagnet für Magnetronzerstäubung oder Magnetronätzung
US5666247A (en) 1994-02-04 1997-09-09 Seagate Technology, Inc. No-field, low power FeMn deposition giving high exchange films
KR970009828B1 (en) 1994-02-23 1997-06-18 Sansung Electronics Co Ltd Fabrication method of collimator
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
JPH0860355A (ja) 1994-08-23 1996-03-05 Tel Varian Ltd 処理装置
JP2655094B2 (ja) 1994-08-30 1997-09-17 日本電気株式会社 電子銃蒸着装置
US5616218A (en) 1994-09-12 1997-04-01 Matereials Research Corporation Modification and selection of the magnetic properties of magnetic recording media through selective control of the crystal texture of the recording layer
EP0703598A1 (en) 1994-09-26 1996-03-27 Applied Materials, Inc. Electrode between sputtering target and workpiece
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
JP2671835B2 (ja) 1994-10-20 1997-11-05 日本電気株式会社 スパッタ装置とその装置を用いた半導体装置の製造方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5527438A (en) 1994-12-16 1996-06-18 Applied Materials, Inc. Cylindrical sputtering shield
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
US5632873A (en) 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
WO1997001854A1 (en) 1995-06-28 1997-01-16 Bell Communication Research, Inc. Barrier layer for ferroelectric capacitor integrated on silicon
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5589039A (en) 1995-07-28 1996-12-31 Sony Corporation In-plane parallel bias magnetic field generator for sputter coating magnetic materials onto substrates
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5650052A (en) 1995-10-04 1997-07-22 Edelstein; Sergio Variable cell size collimator
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JPH09316643A (ja) 1996-02-15 1997-12-09 Mitsubishi Materials Corp 物理蒸着装置の防着部品
EP0793271A3 (en) 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6014943A (en) 1996-09-12 2000-01-18 Tokyo Electron Limited Plasma process device
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6221766B1 (en) 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US5902129A (en) 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US6692617B1 (en) 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3569133B2 (ja) 1997-10-29 2004-09-22 Necエレクトロニクス株式会社 半導体装置の製造方法
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6007403A (en) 1997-11-17 1999-12-28 Urspringer; Steven E. Flexible constrictor for inflatable bodies
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6074922A (en) 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
JP3375302B2 (ja) 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6592728B1 (en) 1998-08-04 2003-07-15 Veeco-Cvc, Inc. Dual collimated deposition apparatus and method of use
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
GB2340845B (en) 1998-08-19 2001-01-31 Kobe Steel Ltd Magnetron sputtering apparatus
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6132575A (en) 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6165807A (en) 1999-01-25 2000-12-26 Taiwan Smiconductor Manufacturing Company Method for forming junction leakage monitor for mosfets with silicide contacts
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6610596B1 (en) 1999-09-15 2003-08-26 Samsung Electronics Co., Ltd. Method of forming metal interconnection using plating and semiconductor device manufactured by the method
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
JP2001095821A (ja) 1999-09-30 2001-04-10 Lion Corp 歯間清掃具
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR100341849B1 (ko) 1999-11-05 2002-06-26 박종섭 반도체 소자의 금속 배선 형성 방법
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
CA2390465A1 (en) 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6495854B1 (en) 1999-12-30 2002-12-17 International Business Machines Corporation Quantum computing with d-wave superconductors
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
CN1314225A (zh) * 2000-02-18 2001-09-26 德克萨斯仪器股份有限公司 铜镀层集成电路焊点的结构和方法
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
KR100427423B1 (ko) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
TW511135B (en) 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
EP1300887B1 (en) 2001-04-02 2007-05-23 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a semiconductor device
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP4680429B2 (ja) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
TW581822B (en) 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030015421A1 (en) 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
SG126681A1 (en) 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2005504885A (ja) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
JP4342131B2 (ja) * 2001-10-30 2009-10-14 富士通マイクロエレクトロニクス株式会社 容量素子の製造方法及び半導体装置の製造方法
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6743721B2 (en) 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
AU2003253873A1 (en) 2002-07-15 2004-02-02 Aviza Technology, Inc. Apparatus and method for backfilling a semiconductor wafer process chamber
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100475084B1 (ko) 2002-08-02 2005-03-10 삼성전자주식회사 Dram 반도체 소자 및 그 제조방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (ja) 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
JP2004140315A (ja) 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
JP3992588B2 (ja) * 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
KR100485386B1 (ko) 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20040207093A1 (en) 2003-04-17 2004-10-21 Sey-Shing Sun Method of fabricating an alloy cap layer over CU wires to improve electromigration performance of CU interconnects
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
KR100539278B1 (ko) 2003-09-22 2005-12-27 삼성전자주식회사 코발트 실리사이드막 형성 방법 및 반도체 장치의 제조방법.
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
CN1314225C (zh) 2003-10-24 2007-05-02 中兴通讯股份有限公司 一种基于xml文档实现开放电信业务的方法
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
KR100555541B1 (ko) * 2003-12-23 2006-03-03 삼성전자주식회사 코발트 실리사이드막 형성방법 및 그 형성방법을 이용한반도체 장치의 제조방법
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070108063A1 (en) 2005-09-28 2007-05-17 Ebara Corporation Layer forming method, layer forming apparatus, workpiece processing apparatus, interconnect forming method, and substrate interconnect structure
JP2007123853A (ja) 2005-09-28 2007-05-17 Ebara Corp 層形成方法、層形成装置、基材処理装置、配線形成方法、および基板の配線構造
JP5060037B2 (ja) * 2005-10-07 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5558815B2 (ja) 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
CN101159253A (zh) 2007-10-31 2008-04-09 日月光半导体制造股份有限公司 凸块下金属层结构、晶圆结构与该晶圆结构的形成方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI570261B (zh) * 2013-09-13 2017-02-11 應用材料股份有限公司 對介電基板上以cctba為基礎之cvd鈷成核作用進行改良的表面處理
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
TWI569389B (zh) * 2014-02-25 2017-02-01 台灣積體電路製造股份有限公司 半導體裝置與接合半導體裝置的方法
US10128209B2 (en) 2014-02-25 2018-11-13 Taiwan Semiconductor Manufacturing Company Wafer bonding process and structure

Also Published As

Publication number Publication date
US20090053426A1 (en) 2009-02-26
KR101599488B1 (ko) 2016-03-07
TWI654684B (zh) 2019-03-21
CN102132383A (zh) 2011-07-20
WO2010025068A3 (en) 2010-05-14
CN106024598A (zh) 2016-10-12
US9209074B2 (en) 2015-12-08
WO2010025068A2 (en) 2010-03-04
TW201017763A (en) 2010-05-01
CN106024598B (zh) 2020-11-20
KR20110059741A (ko) 2011-06-03
US9051641B2 (en) 2015-06-09
JP2012501543A (ja) 2012-01-19
TWI528456B (zh) 2016-04-01
US20150255333A1 (en) 2015-09-10
JP6449217B2 (ja) 2019-01-09
JP2017085131A (ja) 2017-05-18

Similar Documents

Publication Publication Date Title
TWI528456B (zh) 於阻障表面上之鈷沉積
US11959167B2 (en) Selective cobalt deposition on copper surfaces
US8765601B2 (en) Post deposition treatments for CVD cobalt films
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
EP2837022B1 (en) Methods for depositing manganese and manganese nitrides
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20060240187A1 (en) Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
WO2013082370A1 (en) Doped tantalum nitride for copper barrier applications