CN115668480A - 低电阻率触点和互连部 - Google Patents

低电阻率触点和互连部 Download PDF

Info

Publication number
CN115668480A
CN115668480A CN202180036710.8A CN202180036710A CN115668480A CN 115668480 A CN115668480 A CN 115668480A CN 202180036710 A CN202180036710 A CN 202180036710A CN 115668480 A CN115668480 A CN 115668480A
Authority
CN
China
Prior art keywords
metal
conductive material
feature
tungsten
metal halide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180036710.8A
Other languages
English (en)
Inventor
拉伊汉·M·塔拉夫达尔
照健·史蒂文·黎
罗郑硕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115668480A publication Critical patent/CN115668480A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

利用导电材料填充特征的方法涉及在对介电表面几乎没有或没有损坏的情况下清洁金属表面,该特征包括金属和介电表面。在清洁之后,特征可暴露于一种或更多反应物,以在原子层沉积(ALD)或化学气相沉积(CVD)工艺期间利用导电材料填充特征。沉积对金属表面可为选择性的或非选择性的。在一些实施方案中,经填充的特征是无阻挡物的,使得导电材料在没有中间阻挡层或粘附层的情况下直接接触金属和介电表面。

Description

低电阻率触点和互连部
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
金属沉积是许多半导体制造处理的组成部分。这些材料可用于水平互连件、相邻金属层之间的通孔以及金属层和设备之间的触点。然而,随着产业中使用缩小的设备和更复杂的图案化方案,低电阻率金属膜的沉积便成为一个挑战。
发明内容
本公开内容的一方面涉及一种方法,其包含:在衬底上提供特征,所述特征包含金属表面和介电表面,所述金属表面具有形成于其上的金属氧化物层;以及将所述特征暴露于金属卤化物,以从所述金属表面移除所述金属氧化物层。
在一些实施方案中,所述方法还包含利用导电材料填充所述特征。在一些这样的实施方案中,所述导电材料在没有中间层的情况下直接接触所述金属表面和所述介电表面。在一些这样的实施方案中,将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在同一室中执行。在一些这样的实施方案中,将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在同一室的不同站中执行。在一些实施方案中,将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在不同室中执行。
在一些实施方案中,利用所述导电材料填充所述特征包含在沉积主体导电材料之前,沉积所述导电材料的成核层。在一些实施方案中,利用所述导电材料填充所述特征包含在不沉积成核层的情况下沉积主体导电材料。
在一些实施方案中,填充所述特征包含原子层沉积工艺或化学气相沉积工艺,以沉积主体导电材料,所述原子层沉积工艺或所述化学气相沉积工艺包含等离子体增强工艺或热工艺。
在一些实施方案中,相对于所述介电表面,所述主体导电材料的沉积选择性地针对所述金属表面。
在一些这样的实施方案中,所述主体导电材料的沉积对所述金属表面和所述介电表面是非选择性的。根据多种实施方案,所述导电材料可以选自:钼(Mo)、钌(Ru)、钨(W)、铱(Ir)、铬(Cr)、钴(Co)以及钛氮化物(TiN)。
在一些实施方案中,所述金属表面是钛氮化物(TiN)表面、钼氮化物(MoNx)表面、钨氮化物(WN)表面、钨碳氮化物(WCxNy)表面、钨碳化物(WCx)表面、钛铝碳化物(TiAlxCy)表面、或钽氮化物(TaN)表面中的一者。
在一些实施方案中,所述金属卤化物中的金属是:Mo、W、Cr、Ti、Ta和钒(V)中的一者。
在一些实施方案中,所述金属卤化物是六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)和六溴化钨(WBr6)中的一者。
在一些实施方案中,所述金属卤化物是六氟化钼(MoF6)和五氯化钼(MoCl5)中的一者。
在一些实施方案中,所述金属卤化物是五氯化铌(NbCl5)和五溴化铌(NbBr5)中的一者。
在一些实施方案中,所述金属卤化物是五氟化钽(TaF5)和五氯化钽(TaCl5)中的一者。
在一些实施方案中,所述金属卤化物是五氟化钒(VF5)、五氟化铬(CrF5)和四氯化钛(TiCl4)中的一者。
在一些实施方案中,所述方法涉及执行还原处理,以在移除所述金属氧化物层之后,移除残留的卤素。
本公开内容的这些以及其他方面参考附图在下文进一步讨论。
附图说明
图1描绘了根据多种实施方案的特征的示例。
图2显示了图案化特征的示例性实施方案,其中可执行导电材料的沉积。
图3为显示沉积方法的示例的流程图,以利用导电材料填充特征。
图4显示了图3的方法的实施方案的某些操作之后,图案化特征的横截面示意图的示例。
图5A显示了在形成有钴(Co)氧化物的Co表面上进行Mo的ALD沉积之前,利用和不用六氟化钨(WF6)处理的情况下,钴(Co)/钼(Mo)接合面处的氧含量的比较。
图5B显示了使用五氯化钼(MoCl5)清洁氮化钛(TiN)表面。
图6描绘了可用于多种操作的处理站的实施方案的示意图。
图7显示了包括多个室的处理系统的示例。
具体实施方式
提供了利用导电材料填充特征的方法,该特征包括金属和介电表面。这些方法涉及在对介电表面几乎没有或没有损坏的情况下清洁金属表面。在清洁之后,特征可暴露于一种或更多反应物,以在原子层沉积(ALD)或化学气相沉积(CVD)工艺期间利用导电材料填充特征。沉积对金属表面可为选择性的或非选择性的。在一些实施方案中,经填充的特征是无阻挡物的,使得导电材料在没有中间阻挡层或粘附层的情况下直接接触金属和介电表面。
还提供了清洁特征的金属表面的方法,该特征包括金属和介电表面。可在将导电材料沉积在特征中之前执行方法。在一些实施方案中,经填充的特征是无阻挡物的,使得导电材料在没有中间阻挡层或粘附层的情况下直接接触金属和介电表面。
图1描绘根据多种实施方案的特征100的示例。特征100包括底部表面102和一个或更多侧壁表面104。底部表面102是金属触点106的金属表面。特征100利用导电材料填充,以形成互连部108,该互连部108提供通往下伏金属触点106的电气连接。
金属触点106及其表面(底部表面102)可以是任何合适的金属,例如钴(Co)、钌(Ru)、铜(Cu)、钨(W)、钼(Mo)、镍(Ni)、铱(Ir)、铑(Rh)、钽(Ta)、以及钛(Ti)。在一些实施方案中,金属表面102是元素金属表面。在一些实施方案中,金属触点106及其表面(底部表面102)可以是金属化合物,例如钛氮化物(TiN)表面、钼氮化物(MoNx)、钨氮化物(WN)、钨碳氮化物(WCxNy)、钨碳化物(WCx)、钛铝碳化物(TiAlxCy)、或钽氮化物(TaN)表面。这些表面可以相对于介电氧化物而呈现沉积选择性。在图1的示例中,底部表面102是下伏金属触点106的一部分。其可以是下伏层的主要导体的一部分,且并不是诸如阻挡层或粘附层之类的薄层。
一个或更多侧壁表面104是介电表面。这样的表面包括烷氧化物,例如聚(2-乙基-2-噁唑啉)(PEOX)以及硅基氧化物,包括四乙基正硅酸盐(TEOS)氧化物、可流动的硅基氧化物、掺杂碳的硅基氧化物等。在一些实施方案中,这些表面围绕特征的主要介电层109的一部分。在一些实施方案中,侧壁表面可以是不同于氧化物的氮化物(例如,SixNy)。氮化物可以是硅基氮化物或硅基氧氮化物。
互连部108可以是Mo、Ru、W、Ir、铬(Cr)、Co、TiN以及其他过渡金属或过渡金属的化合物。互连部108直接接触一个或更多侧壁表面104的介电材料以及金属触点106的金属表面。在图1的示例中,在互连部108与金属触点106之间以及互连部108与金属触点106之间没有设置阻挡层或粘附层。虽然诸如TiN/Ti之类的材料是互连结构中常见的阻挡/粘附层,但是在相关于图1描述的实施方案中,如果使用的话,TiN或其他金属氮化物是金属触点的主要导体,而不是阻挡层。
互连部108可以是部分制造的半导体设备的任何适当部分的一部分,包括源极/漏极(S/D)连接、中段工艺(MOL)结构、或后段工艺(BEOL)结构。此外,虽然被称为互连部,但其可包括嵌入在电介质中的任何导电膜,例如金属线及类似物。
图2显示了图案化特征的示例性实施方案,其中可执行导电材料的沉积。图案化特征可以是通孔、或凹槽、或其他适当特征,其是由于介电层中的图案化操作而形成。特征210显示了具有开口轮廓的图案化特征的示例,该开口轮廓从特征的底部到特征开口214逐渐扩张。
特征220显示了图案化特征的示例,其具有内凹轮廓(re-entrant profile),该内凹轮廓从特征的底部向特征开口214变窄。内凹轮廓还可包括位于特征开口214处的悬垂部。特征230显示了具有金属底切轮廓的特征。根据多种实施方案,轮廓在特征230的侧壁基部218下方具有金属表面202。底部表面202和侧壁基部218之间会有空隙。在上述轮廓中的每一者中,底部表面202是如上所述的金属表面。在底部表面202上可形成有金属氧化物216。特征240显示了具有实质上竖直侧壁的图案化特征的示例。金属氧化物可以是元素金属的氧化物(例如,Cu表面上的铜氧化物)、或金属化合物的氧化物(例如,TiN表面上的钛氮氧化物)。
图3为流程图,其显示了利用导电材料填充特征的沉积方法300的示例。图4显示了图3的方法的实施方案的某些操作之后,图案化特征的横截面示意图的示例。特别地,图4显示了选择性沉积以及非选择性沉积的示例。
在图3中,在操作305,提供了包括未经填充特征的衬底。如上所述,特征可以是部分制造的半导体设备的一部分。特征包括如上所述的金属和介电表面。金属表面包括金属氧化物,其可通过暴露于空气或另一氧化环境而形成。如下文进一步描述的,可将衬底提供至处理室。
在图4中,在410和420处显示了图案化特征,包括底部表面402和侧壁表面404,以及形成在底部表面上的金属氧化物416。
回到图3,在操作315中将衬底暴露于金属卤化物,以还原氧化物。金属卤化物是作为气体被提供至容纳衬底的室,且可脉冲式或连续地流入室中。金属卤化物可有效地还原特征的底部表面上的氧化物,且对介电质几乎没有或没有损伤。这与其他卤化物处理不同,其他卤化物处理可能损坏电介质。例如,三氟化氮蚀刻电介质,导致特征关键尺寸的增加。卤化物化合物在移除氧化层方面比其他还原剂(例如,氨或肼(hydrazine))更有效。
在一些实施方案中,金属卤化物利用由惰性清扫气体隔开的脉冲而脉冲化。惰性清扫气体的示例包括氩(Ar)。这可避免由连续流动导致的饱和。
金属卤化物是具有挥发性的任何金属卤化物或具有足够的蒸气压力,以在衬底温度或低于衬底温度下被输送到衬底。操作315期间的示例性衬底温度的范围从100℃到450℃。对于一些金属卤化物,较高的温度可能导致电介质蚀刻。金属卤化物可包含任何合适的金属(包括Mo、W、Cr、Ti、Ta、以及钒(V))和任何卤化物(包括氟(F)、氯(Cl)、溴(Br)和碘(I))。可使用的钨卤化物的示例包括六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)、及六溴化钨(WBr6)。可使用的钼卤化物的示例包括六氟化钼(MoF6)以及五氯化钼(MoCl5)。可使用的铌卤化物的示例包括五氯化铌(NbCl5)、四碘化铌(NbI4)以及五溴化铌(NbBr5)。可使用的钽卤化物的示例包括五氟化钽(TaF5)、五碘化钽(TaI5)以及五氯化钽(TaCl5)。可使用的钒卤化物的示例包括五氟化钒(VF5)。可使用的铬卤化物的示例包括五氟化铬(CrF5)以及二碘化铬(CrI2)。可使用的钛卤化物的示例包括四氯化钛(TiCl4)。
金属卤化物可与惰性气体(例如,氩(Ar)、氦(He)以及类似物)混合。这可用于稀释金属卤化物,以及控制还原速率。操作315期间的室压强的示例的范围从1至30托(Torr)。处理时间的范围可以从2秒到4分钟,或从2秒到60秒。在一些实施方案中,处理时间可以是约2分钟至3分钟。在一些实施方案中,使用介于1秒与60秒之间、或1与10秒之间的脉冲。
应理解,暴露于特定金属卤化物可包括暴露于在气体源、气体入口以及/或室中形成的其他卤化物。例如,WBr6可分解为五溴化钨(WBr5)和四溴化钨(WBr4),以及WF6分解为五氟化钨(WF5)和四氟化钨(WF4)。金属卤化物可以是多种形式,包括二聚体和其他低聚物。例如,MoCl5形成二聚体Mo2Cl10。金属卤化物可不含氧。(一些金属氧卤化物,四氯化钼氧化物(MoOCl4)可能蚀刻/还原金属氧化物,然而,其通常不如金属卤化物有效。其他金属氧卤化物在下文参考ALD或CVD沉积列出)。特定金属卤化物的选择取决于金属氧化物相对于硅氧化物或其他介电材料的蚀刻选择性。
在图4中,在430和440处显示图案化特征,其包括底部表面402和侧壁表面404,现在已从底部表面移除金属氧化物且准备好沉积。在一些实施方案中,在移除金属氧化物时偶然地或有意地可移除触点本身的一些,从而例如增加深宽比。例如,蚀刻材料的量的范围可从5到6埃(Angstrom),从而仅移除氧化物,或者移除高达20埃或更多埃,从而移除下伏的触点。
在操作325,将导电材料沉积到特征中。如上所述,这是在没有阻挡层或粘附层的情况下进行。操作325可涉及ALD、CVD、或PVD工艺中的任何一者。ALD和CVD工艺可以是等离子体增强型(PEALD或PECVD)或热ALD或CVD工艺。特征包括电介质和金属表面,且沉积对于金属表面可以是选择性或非选择性的。选择性可取决于特定的前体和反应条件,在下文进一步的描述中提供示例。
在图4中,在450处,显示选择性沉积期间的图案化特征。填充是自下而上的,在侧壁上几乎没有或没有沉积物。在一些实施方案中,一些量的材料可以沉积在侧壁上。在460处,显示非选择性沉积期间的图案化特征。填充是保形的。经填充的特征显示在470和480处。
如下文进一步描述的,在其他实施方案中,在金属卤化物还原操作之后,可使用其他方法来沉积金属,其他方法例如溅射及其他物理气相沉积(PVD)或电镀工艺。导电材料的沉积是主体沉积工艺,且可以包括或不包括在主体沉积之前的成核层沉积。
操作315和325可以在同一室或不同室中执行,这些室可以在共同真空下集成或不集成。在一些实施方案中,其在多站式室的不同站中执行。
如上所述,在一些实施方案中,操作325包括通过CVD或ALD沉积主体导电材料。在本说明书的上下文中,CVD是指下述工艺:反应物在反应器中同时以气相存在,且通常同时引入,而ALD是指下述工艺:以通常通过清扫隔开的顺序脉冲引入反应物。下文给出可用于ALD和/或CVD反应,从而利用导电材料填充特征的示例性反应物和反应条件。
在一些实施方案中,在操作315期间,特征表面可能由于金属卤化物而易于掺入卤素。操作325可使用相对高温来帮助以解吸(desorb)的方式或以其他方式移除任何掺入的卤素。在一些实施方案中,在相对高温下对还原气体(例如H2)的暴露可用于移除残留的卤素。如此的操作可发生在操作315与325之间。
在一些实现方案中,本文所述的方法涉及在沉积主体导电层之前沉积成核层。成核层通常是薄的保形层,其有利于随后在其上沉积主体导电材料。在某些实现方案中,使用ALD技术沉积成核层。成核层的厚度可取决于成核层沉积方法以及主体沉积的所需质量。通常,成核层的厚度足以支持高质量、均匀的主体沉积。由于成核层具有比主体层更高的电阻率,故其通常不会比主体层更厚。示例性范围可以从
Figure BDA0003953290570000081
Figure BDA0003953290570000082
在某些实施方案中,主体导电材料可以在不使用成核层的情况下直接沉积在特征中。主体导电材料可通过ALD或CVD沉积。晶粒尺寸较大,且电阻率小于成核层。
在CVD或ALD工艺中,含金属的前体可以与还原剂或其他反应物进行反应,以形成金属或金属化合物材料。
用于钨或含钨材料的ALD和CVD的含W前体的示例包括WF6、WCl6、WCl5以及六羰基钨(W(CO)6)。在一些实施方案中,可使用包括WO2Cl2、WOBr4、WOCl4以及WOF4的钨氧卤化物。也可使用有机金属前体,例如甲基环戊二烯基二羰基亚硝基钨(MDNOW)以及乙基环戊二烯基二羰基亚硝基钨(EDNOW)。在一些实施方案中,可使用含氮的含钨有机金属前体,例如双(叔丁基亚氨基)双(二甲氨基)钨(W[N(C4H9)]2[N(CH3)2]2,以沉积钨或钨氮化物膜。
用于钼或含钼材料的ALD或CVD的含Mo前体的示例包括MoF6、MoCl5、二氯二氧化钼(MoO2Cl2)、四氯氧化钼(MoOCl4)以及六羰基钼(Mo(CO)6)。化学式MoxOxHz的其他Mo氧卤化物,其中H是卤素(氟(F)、氯(Cl)、溴(Br)、或碘(I)),且x、y及z任何大于零的数,其可形成稳定分子。这些包括四氟氧化钼(MoOF4)、二溴二氧化钼(MoO2Br2)以及氧碘化钼MoO2I及Mo4O11I。有机金属前体也可以与以下示例一起使用,这些示例包括具有环戊二烯基配体的Mo前体。进一步的示例包括化学式Mo2Ln的前体,其中每个L独立地选自酰胺配体(amidateligand)、脒配体(amidinate ligand)以及胍配体(guanidinate ligand),其中n为2-5。Mo2Ln前体包括多个钼-钼键(例如,双键或具有2至5的键级的任何多键)。进一步的示例包括含卤化物的杂配(heteroleptic)钼化合物(即,具有不同类型配体的化合物)。这样的前体的特定示例是以下化合物,该化合物包括钼、与钼形成键的至少种一卤化物以及至少一种有机配体,该配体具有N、O以及S元素中的任何一者,其中这些元素中的任何一者的原子与钼形成键。提供氮或氧键合的适当的有机配体的示例包括脒(amidinate)、酰胺(amidate)、亚氨基吡咯烷(iminopyrrolidinate)、二氮杂二烯(diazadiene)、β-亚氨基酰胺(beta-imino amide)、α-亚氨基醇盐(alpha-imino alkoxide)、β-氨基醇盐(beta-aminoalkoxide)、β-二酮亚胺盐(beta-diketiminate)、β-酮亚胺盐(beta-ketoiminate)、β-二酮盐(beta-diketonate)、胺(amine)以及吡唑类(pyrazolate)。提供硫键合的适当的有机配体的示例包括硫醚(thioether)、硫醇(thiolate)、二硫醇烯(dithiolene)、二硫醇(dithiolate)以及α-亚氨基硫醇烯(α-imino thiolene)。这些配体可被取代或未被取代。在一些实施方案中,这些配体包括一个或更多取代基,该取代基独立地选自由以下项组成的群组:H、烷基、氟烷基、烷基甲硅烷基(alkylsilyl)、烷基氨基(alkylamino)以及烷氧基取代基(alkoxy substituent)。有机配体可以是中性或阴离子(例如,单阴离子或双阴离子),且钼可处于多种氧化态,例如+1、+2、+3、+4、+5以及+6。
用于钌或含钌材料的ALD或CVD的含Ru前体的示例包括:(乙基苄基)(1-乙基-1,4-环己二烯基)Ru(0)((ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru(0))、(1-异丙基-4-甲基苄基)(1,3-环己二烯基)Ru(0)(1-isopropyl-4-methylbenzyl)(1,3-cyclohexadienyl)Ru(0))、2,3-二甲基-1,3-丁二烯基)Ru(0)三羰基(2,3-dimethyl-1,3-butadienyl)Ru(0)tricarbonyl)、(1,3-环己二烯基)Ru(0)三羰基((1,3-cyclohexadienyl)Ru(0)tricarbonyl)以及(环戊二烯基)(乙基)Ru(II)二羰基((cyclopentadienyl)(ethyl)Ru(II)dicarbonyl)),其可用于氧化反应。与非氧化反应物进行反应的钌前体的示例包括:双(5-甲基-2,4-己二酮基)Ru(II)二羰基(bis(5-methyl-2,4-hexanediketonato)Ru(II)dicarbonyl)以及双(乙基环戊二烯基)Ru(II)(bis(ethylcyclopentadienyl)Ru(II))。钌前体的额外示例包括Ru3(CO)12、(2,4-二甲基戊二烯基)(乙基环戊二烯基)钌((2,4-Dimethylpentadienyl)(ethylcyclopentadienyl)ruthenium)、三羰基(h4-环六-1,3-二烯)钌(tricarbonyl(h4-cyclohexa-1,3-diene)ruthenium)及其类似物以及(η4-2,3-二甲基丁二烯))(三羰基)钌((η4-2,3-dimethylbutadiene)(tricarbonyl)ruthenium)。
用于钴或含钴材料的ALD或CVD的含Co前体的示例包括:三(2,2,6,6-四甲基-3,5-庚二烯基)钴(tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt)、双(环戊二烯基)钴(bis(cyclopentadienyl)cobalt)、六羰基二钴丁基乙炔(dicobalt hexacarbonylbutylacetylene)、二羰基环戊二烯基钴(I)(dicarbonyl cyclopentadienyl cobalt(I))、羰基钴(cobalt carbonyl)、各种脒钴(cobalt amidinate)前体、二氮杂二烯钴复合物(cobalt diazadienyl complex)、脒钴/胍钴(cobalt amidinate/guanidinate)前体以及其组合。用于ALD或CVD的含Ti前体的示例包括:TiCl4以及四(二甲氨基)钛(TDMAT)。用于钽或含钽材料的ALD或CVD的含Ta前体的示例包括:TaF5以及五二甲氨基钽(PDMAT)。
还原剂的示例可包括氢(H2)、含硼还原剂(包括乙硼烷(B2H6)以及其他硼烷)、含硅还原剂(包括硅烷(SiH4)以及其他硅烷)、肼(hydrazine)以及锗烷(germane)。在一些实现方案中,含金属前体的脉冲可以与一种或更多还原剂的脉冲交替,例如S/W/S/W/B/W等,W表示含钨前体,S表示含硅前体,且B表示含硼前体。在一些实现方案中,可以不使用单独的反应物,例如,含金属的前体可经历热分解或等离子体辅助分解。在一些实施方案中,H2用作主体层沉积的还原剂,以沉积高纯度膜。
如上所述,沉积的选择性可取决于被沉积的材料、前体以及工艺条件。在一示例中,从金属卤化物前体所沉积的钼生长于氧化物表面上,但可通过控制含钼前体、温度以及反应物分压来选择性地沉积。在操作325中,可使用钼氧卤化物来选择性地沉积在金属表面上。温度会影响选择性、晶粒尺寸以及电阻。较高的温度可能会降低Mo膜的选择性,并导致在侧壁表面404的氧化物或氮化物以及含金属底表面402上的生长。但是,如果温度太低,则杂质水平可能会增加且晶粒尺寸可能缩小,从而增加电阻。衬底温度可介于350℃和600℃之间,含350℃和600℃,以使用含氯化学品来选择性沉积Mo。如上所述,随着温度降低,选择性可以提高。因此,在一些实施方案中,对于含氯前体,衬底温度可以在约350℃至550℃之间,或者在350℃至450℃之间。对于含氟化学品,衬底温度可以更低,例如150℃至350℃。
为了非选择性地(或较少选择性地)进行沉积,可控制温度,以允许在侧壁表面上以及场区域上成核。当特征已被充分填充时,这可以是适当的,使得可使用保形生长来获得良好的特征填充,且没有空隙的风险。如果设备结构中的热预算允许,则温度可至少为500℃,且高达800℃。
由于在沉积工艺中容易将氧气掺入膜中,因此从含氧的前体中沉积纯金属膜是一项挑战。如果掺入氧气,电阻率会增加。在一些实施方案中,本文中描述的方法和装置可以实现为沉积具有小于1原子百分比的氧的纯金属膜。还原剂比金属卤氧化物前体的比率显著大于1,且沉积的膜包含不超过1原子百分比的氧。可以使用至少100:1的摩尔比。在一些实施方案中,沉积膜的卤素浓度不超过1E18原子/cm3。为了沉积具有不超过1原子百分比的氧的纯膜,还原剂比金属前体的比率远大于1,例如至少20:1或至少50:1。对含氯前体而言,温度的示例可以在350℃至600℃的范围内,而对含氟前体则是在150℃至500℃的范围内。室压强的示例可以在1托至100托的范围内。随着温度的升高,用于获得纯膜的还原剂:前体的比率可能会降低。在一些实施方案中,对于含氯前体的温度为至少400℃。随着还原剂的分压增加,也可以使用更高的压强来降低还原剂:前体的比率。
如上所述,在一些实施方案中,相对高的沉积温度(例如,500℃以上)可在金属卤化物处理之后用于移除任何残留的氟或其他卤素。因此,在一些实施方案中,在操作315和325之间,将衬底温度升高至少50℃、100℃、或150℃。
在以上描述中,包括介电表面的特征的金属表面被暴露于金属卤化物。在其他实施方案中,任何含金属的表面可暴露于上述金属卤化物,以移除在其上形成的氧化物。例如,如图2中所示的特征可具有薄的阻挡层和/或粘附层,其至少涂布于介电侧壁表面。金属卤化物处理可用于清洁阻挡层和/或粘附层。
图5A显示了在已形成有Co氧化物的Co表面上进行Mo的ALD沉积之前,进行和不进行WF6处理的Co/Mo界面处氧含量的比较。从图中可见,界面处的氧含量降低一数量级。根据多种实施方案,界面处残留的氧可等于或小于1E20原子/cm3
图5B显示了利用MoCl5脉冲对TiN表面进行蚀刻,该MoCl5脉冲通过清扫隔开。如可见的,所蚀刻的材料量与脉冲/清扫循环的数量呈线性相关,从而使得能对蚀刻量进行数字控制。在图5B的示例中,钛氧氮化物以及下方的钛氮化物被蚀刻。
装置
如上所述,图3的操作315和325可以在相同或不同的室中以及在相同或不同的站中执行。图6描绘了可用于操作315和/或操作325的处理站600的实施方案的示意图。处理站600与反应物输送系统601a流体连通,以将工艺气体输送到分布喷头606。反应物输送系统601a包括混合容器604,其用于混合和/或调节工艺气体(例如,用于金属卤化物还原处理的金属卤化物气体和惰性气体、或用于沉积的含金属前体气体和含氢气体),以供输送到喷头606。一个或更多混合容器入口阀620可控制工艺气体到混合容器604的引入。
图6的实施方案包括待供应至混合容器604的工艺固体的汽化点605。在另一情况下,汽化的工艺固体可直接供应至喷头606。汽化可以是升华,或从固体到液体到蒸气。除WF6和MoF6外,金属卤化物在室温下通常是固体。
举例而言,图6的实施方案包含汽化点603,其用于汽化将供应至混合容器604的液体反应物。在一些实施方案中,汽化点603可以是加热的蒸发器。在一些实施方案中,液体前体或液体反应物可以在液体喷射器(未示出)处被汽化。例如,液体喷射器可以将液体反应物的脉冲喷射到混合容器604上游的载气流中。在一个实施方案中,液体喷射器可以通过将液体从较高压闪变到较低压来汽化反应物。在另一个示例中,液体喷射器可以将液体雾化为接下来在加热的输送管中汽化的分散的微滴。较小的液滴比较大的液滴可以较快汽化,从而减小了在液体注入和完成汽化之间的延迟。较快的汽化可以减小汽化点603下游的管道长度。在一个方案中,液体喷射器可以直接安装到混合容器604。在另一个方案中,液体喷射器可以直接安装到喷头606。
在一些实施方案中,可以在汽化点603上游设置液体流控制器(LFC)来控制用于汽化并且输送至处理室602的液体的质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于由与MFM电通信的比例积分微分(PID)控制器提供的反馈控制信号,来调节LFC的柱塞阀。然而,其可以花费一秒或一秒以上来使用反馈控制以稳定液体流。这可以延长投配液体反应物的时间。因此,在一些实施方案中,LFC可以在反馈控制模式和直接控制模式之间动态切换。在一些实施方案中,这可以通过禁用LFC的感测管道和PID控制器来执行。
喷头606朝衬底612分配处理气体。在图6所示的实施方案中,衬底612位于喷头606下方,并且示出为安置在基座608上。喷头606可以具有任何适当的形状,并可以具有任何适当数量和布置的端口,以将处理气体分配至衬底612。
在一些实施方案中,基座608可以升高或降低以将衬底612暴露到衬底612和喷头606之间的体积。在一些实施方案中,基座608可以通过加热器610进行温度控制。基座608可以在用于执行各种公开的实施方案的操作期间被设置为任何合适的温度,诸如在约150℃和约600℃之间的温度。应理解的是,在一些实施方案中,基座高度可以经由合适的计算机控制器650通过编程方式进行调节。在工艺阶段结束时,基座608可以在另一衬底传送阶段期间降低以允许从基座608移除衬底612。
在一些实施方案中,喷头606的位置可以相对于基座608调节以改变衬底612和喷头606之间的体积。此外,应当理解的是,基座608和/或喷头606的垂直位置可以通过本公开内容的范围内的任何合适的机构来改变。在一些实施方案中,基座608可包含用于旋转衬底612的取向的旋转轴线。应该理解的是,在一些实施方案中,这些示例性调节中的一种或多种可以通过一个或多个适当的计算机控制器650以编程方式执行。
在等离子体可以用于PECVD或PEALD的一些实施方案中,喷头606和基座608电连接射频(RF)电源614和匹配网络616来对等离子体供电。在一些实施方案中,等离子体的能量可通过控制处理站压强、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一个或多个来控制。例如,RF电源614和匹配网络616可在任何合适的功率下进行操作,以形成具有所期望的自由基物质的组分的等离子体。类似地,RF电源614可以提供任何适当频率的RF功率。在一些实施方案中,RF电源614可以被配置为控制彼此独立的高频RF电源和低频RF电源。示例性的低频RF频率可以包含,但不限于,介于0kHz和900kHz之间的频率。示例性的高频RF频率可以包含,但不限于,介于1.8MHz和2.45GHz之间的频率,或大于约13.56MHz、或大于27MHz、或大于80MHz、或大于60MHz的频率。应当理解,任何合适的参数可被离散地或连续地调节以提供用于表面反应的等离子体能量。
在一些实施方案中,等离子体可由一个或多个等离子体监控器原位监控。在一种情形中,等离子体功率可通过一个或多个电压、电流传感器(例如,VI探针)进行监控。在另一种情况下,等离子体密度和/或处理气体浓度可以由一个或多个光发射光谱传感器(OES)来测量。在一些实施方案中,一个或多个等离子体参数可基于来自这样的原位等离子体监控器的测量结果通过编程方式进行调节。例如,OES传感器可用于反馈回路中以提供对等离子体功率的编程式控制。应理解的是,在一些实施方案中,可使用其它监控器来监控等离子体和其它工艺特性。这样的监控器可包含,但不限于,红外(IR)监控器、声学监控器、以及压力传感器。
在一些实施方案中,可以经由输入/输出控制(IOC)排序指令来提供用于控制器650的指令。在一个示例中,用于设置工艺阶段的条件的指令可被包含在工艺配方的相应的配方阶段中。在某些情况下,工艺配方阶段可按顺序排列,使得用于工艺阶段的所有指令与该工艺阶段同时执行。在一些实施方案中,用于设定一个或多个反应器参数的指令可以被包含在配方阶段中。例如,第一配方阶段可以包含用于设置金属卤化物气体的流率的指令、用于设置载气(例如氩气)的流率的指令、以及用于第一配方阶段的时间延迟指令。随后的第二配方阶段可以包含用于调节或者停止金属卤化物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。
就ALD沉积而言,第一配方阶段可包括用于调节第一反应气体(例如,金属前体气体)的流率的指令、用于调节载体气体或清扫气体的流率的指令、以及第一配方阶段的时间延迟指令。随后的第二配方阶段可以包含用于调节或者停止反应物气体的流率的指令、用于调节载气或者清扫气体的流率的指令、以及用于第二配方阶段的时间延迟指令。第三配方阶段可以包含用于调节第二反应物气体(例如H2)的指令、用于调节载气或者清扫气体的流率的指令、用于点燃等离子体的指令、以及用于第三配方阶段的时间延迟指令。随后的第四配方阶段可以包含用于调节或停止惰性气体和/或反应物气体的流率的指令、以及用于调节载气或者清扫气体的流率的指令、以及用于第四配方阶段的时间延迟指令。应该理解的是,在本公开的范围内,这些配方阶段可以进一步细分和/或以任何适当的方式重复。
此外,在一些实施方案中,用于处理站600的压力控制可由蝶阀618提供。如图6的实施方案所示,蝶阀618节流由下游真空泵(未示出)提供的真空。然而,在一些实施方案中,处理站600的压力控制也可以通过改变引入到处理站600的一种或多种气体的流率来调节。
如上所述,操作315和325可以在单站式或多站式室的单一站中、在多站式室的不同站中、或在不同的室中执行。如果在不同室中执行,其可集成在共同的真空环境下,以防止在金属卤化物处理以及移除金属氧化物后金属的氧化作用。在一些实施方案中,至少在相对短的时间内,其可以不集成金属卤化物处理,该金属卤化物用来提供钝化作用,以防止氧化。
图7显示了包括多个室的处理系统的示例。系统700包括传送模块703。传送模块703提供清洁的真空环境,以使被处理的衬底在各种反应器模块之间移动时的污染风险最小化。根据实施方案,能够执行ALD及CVD的多站式反应器709被安装在传送模块703上。在一些实施方案中,在ALD或CVD之前,反应器709还执行金属卤化物暴露。
根据所公开的实施方案,反应器709可包括多个站711、713、715以及717,其可顺序地执行操作。例如,反应器709可被配置为使得站711执行本文所述的金属卤化物还原处理,以及站713通过ALD执行成核层沉积,以及站715和717通过ALD或CVD执行主体层沉积。为使操作适当的分布,多站式反应器中可包括两或更多站,例如2至6个。例如,两站式反应器可配置为在第一站中将衬底暴露于金属卤化物,然后在第二站中进行导电材料沉积。如上关于图6所述,站可以包括加热的基座或衬底支撑件、一个或更多气体入口、或喷头、或分散板。
传送模块703上也可安装一个或更多单站式或多站式模块707。在一些实施方案中,金属卤化物暴露可在模块707中进行,之后衬底在真空下被传送到另一模块(例如,另一模块707或反应器709),以沉积导电材料。模块707可以是预清洁模块,其在沉积之前执行清洁,例如Ar溅射清洁以及/或者H2等离子体清洁。在一些实施方案中,金属卤化物暴露是在溅射以及/或者等离子体清洁之前或之后,在如此的预清洁模块中执行。
所述系统700还包括一个或更多个晶片源模块701,在处理之前和之后晶片被存储在晶片源模块701。大气传送室719中的大气机械手(未示出)可以首先将晶片从源模块701移动到装载锁721。传送模块703中的晶片传送装置(通常为机械手臂单元)将晶片从装载锁721移动到安装在传送模块703上的模块上以及将晶片在这些模块之间移动。
在多种实施方案中,采用系统控制器729控制沉积过程中的工艺条件。所述控制器729将通常包括一个或更多个存储器器件和一个或更多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器729可控制所有沉积装置的活动。所述系统控制器729运行系统控制软件,所述系统控制软件包括用于控制定时、气体混合、室压力、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和特定工艺的其他参数的指令集。在一些实施方式中,可以使用存储在与控制器729相关的存储器器件上的其他计算机程序。
通常,将有与控制器729相关联的用户界面。用户界面可包括显示屏,所述装置和/或工艺条件的图形软件显示器和用户输入装置,例如定点装置、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路以及具有作为硬件实施的具体算法的其他装置中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。
用于控制工艺序列中的含锗还原剂脉冲、氢气流、和含钨前体脉冲以及其他工艺的计算机程序代码可以任何常规的计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其它写入。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。还如所指示的,程序代码可以是硬编码的。
控制器参数涉及工艺条件,诸如例如工艺气体组成和流率、温度、压力、冷却气体压强、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。
用于监控工艺的信号可以通过系统控制器729的模拟和/或数字输入连接来提供。用于控制工艺的信号通过沉积装置700的模拟和数字输出连接件输出。
所述系统软件可以许多方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制根据公开的实施方式执行沉积工艺所需要的室组件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、工艺气体控制代码、压力控制代码、以及加热器控制代码。
在一些实施方案中,控制器429是系统的一部分,该系统可以是上述实施例的一部分。这样的系统包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器729可以被编程,以控制本发明所公开的工艺中的任何一些,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、等离子体脉冲频率设置、流体输送设置、位置和操作设置、晶片的进出工具和其他传送工具和/或连接到特定系统的或与该系统接口的装载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片进行特定工艺的操作参数。在一些实施方案中,所述操作参数可以是由工艺工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器729可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器729可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。这些参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的工艺。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、PVD室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
控制器429可以包括不同的程序。衬底定位程序可包括用于控制室组件的程序代码,所述室组件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件例如气体入口和/或靶之间的间隔。工艺气体控制程序可包括用于控制气体组成、流率、脉冲时间以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包括用于通过调节例如室中的排气系统中的节流阀而控制室中的压力的代码。加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。或者,所述加热器控制程序可控制传热气体例如氦气向晶片卡盘的输送。
可在沉积过程中被监控的室传感器的示例包括质量流量控制器、压力传感器例如压力计和位于基座或卡盘中的热电偶。经适当编程的反馈和控制算法可与来自这些传感器的数据一起用于维持所需的工艺条件。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实现方案。本文描述的设备和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/工艺将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然为了清楚理解的目的,已经在一定程度上详细描述了上述实施方案,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,有实现本发明的实施方案的工艺、系统、和设备的许多替代方式。因此,本发明的实施方案应被认为是说明性的而不是限制性的,并且这些实施方案并不受限于这里给出的细节。

Claims (20)

1.一种方法,其包含:
在衬底上提供特征,所述特征包含金属表面和介电表面,所述金属表面具有形成于其上的金属氧化物层;以及
将所述特征暴露于金属卤化物,以从所述金属表面移除所述金属氧化物层。
2.根据权利要求1所述的方法,其还包含利用导电材料填充所述特征。
3.根据权利要求2所述的方法,其中所述导电材料在没有中间层的情况下直接接触所述金属表面和所述介电表面。
4.根据权利要求2所述的方法,其中利用所述导电材料填充所述特征包含在沉积主体导电材料之前,沉积所述导电材料的成核层。
5.根据权利要求2所述的方法,其中利用所述导电材料填充所述特征包含在不沉积成核层的情况下沉积主体导电材料。
6.根据权利要求1所述的方法,其中填充所述特征包含原子层沉积工艺或化学气相沉积工艺,以沉积主体导电材料,所述原子层沉积工艺或所述化学气相沉积工艺包含等离子体增强工艺或热工艺。
7.根据权利要求6所述的方法,其中相对于所述介电表面,所述主体导电材料的沉积选择性地针对所述金属表面。
8.根据权利要求6所述的方法,其中所述主体导电材料的沉积对所述金属表面和所述介电表面是非选择性的。
9.根据权利要求2所述的方法,其中将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在同一室中执行。
10.根据权利要求2所述的方法,其中将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在同一室的不同站中执行。
11.根据权利要求2所述的方法,其中将所述特征暴露于所述金属卤化物以及利用所述导电材料填充所述特征是在不同室中执行。
12.根据权利要求1所述的方法,其中所述导电材料选自:钼(Mo)、钌(Ru)、钨(W)、铱(Ir)、铬(Cr)、钴(Co)以及钛氮化物(TiN)。
13.根据权利要求1所述的方法,其中所述金属表面是钛氮化物(TiN)表面、钼氮化物(MoNx)表面、钨氮化物(WN)表面、钨碳氮化物(WCxNy)表面、钨碳化物(WCx)表面、钛铝碳化物(TiAlxCy)表面、或钽氮化物(TaN)表面中的一者。
14.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物中的金属是:Mo、W、Cr、Ti、Ta和钒(V)中的一者。
15.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物是六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)和六溴化钨(WBr6)中的一者。
16.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物是六氟化钼(MoF6)和五氯化钼(MoCl5)中的一者。
17.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物是五氯化铌(NbCl5)和五溴化铌(NbBr5)中的一者。
18.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物是五氟化钽(TaF5)和五氯化钽(TaCl5)中的一者。
19.根据权利要求1至13中任一项所述的方法,其中所述金属卤化物是五氟化钒(VF5)、五氟化铬(CrF5)和四氯化钛(TiCl4)中的一者。
20.根据权利要求1所述的方法,其还包含执行还原处理,以在移除所述金属氧化物层之后,移除残留的卤素。
CN202180036710.8A 2020-05-22 2021-05-21 低电阻率触点和互连部 Pending CN115668480A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062704694P 2020-05-22 2020-05-22
US62/704,694 2020-05-22
PCT/US2021/033564 WO2021237032A1 (en) 2020-05-22 2021-05-21 Low resistivity contacts and interconnects

Publications (1)

Publication Number Publication Date
CN115668480A true CN115668480A (zh) 2023-01-31

Family

ID=78708051

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180036710.8A Pending CN115668480A (zh) 2020-05-22 2021-05-21 低电阻率触点和互连部

Country Status (6)

Country Link
US (1) US20230326790A1 (zh)
JP (1) JP2023527774A (zh)
KR (1) KR20230027036A (zh)
CN (1) CN115668480A (zh)
TW (1) TW202209566A (zh)
WO (1) WO2021237032A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116153861A (zh) * 2023-04-19 2023-05-23 武汉楚兴技术有限公司 一种半导体结构及制备方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113169056A (zh) 2018-11-19 2021-07-23 朗姆研究公司 用于钨的钼模板
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2023215135A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Molybdenum halides in memory applications

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030043201A (ko) * 2001-11-27 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
JP7366019B2 (ja) * 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
KR20190104902A (ko) * 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116153861A (zh) * 2023-04-19 2023-05-23 武汉楚兴技术有限公司 一种半导体结构及制备方法

Also Published As

Publication number Publication date
KR20230027036A (ko) 2023-02-27
TW202209566A (zh) 2022-03-01
WO2021237032A1 (en) 2021-11-25
US20230326790A1 (en) 2023-10-12
JP2023527774A (ja) 2023-06-30

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
US20230326790A1 (en) Low resistivity contacts and interconnects
US10242879B2 (en) Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
CN112513323A (zh) 纯金属膜的沉积
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
US20220328317A1 (en) Molybdenum deposition
CN113169056A (zh) 用于钨的钼模板
JP2024514605A (ja) モリブデンの堆積
CN115777140A (zh) 特征中的钼沉积
US20230260834A1 (en) Metal oxide diffusion barriers
CN115943487A (zh) 低电阻率触点和互连件
CN118318294A (zh) 金属填充中的梯度衬垫
WO2024196896A1 (en) Pulse ald sequence for low fluorine nucleation layer deposition
KR20230155949A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination