KR20230027036A - 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 - Google Patents

저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 Download PDF

Info

Publication number
KR20230027036A
KR20230027036A KR1020227044028A KR20227044028A KR20230027036A KR 20230027036 A KR20230027036 A KR 20230027036A KR 1020227044028 A KR1020227044028 A KR 1020227044028A KR 20227044028 A KR20227044028 A KR 20227044028A KR 20230027036 A KR20230027036 A KR 20230027036A
Authority
KR
South Korea
Prior art keywords
metal
feature
conductive material
tungsten
metal halide
Prior art date
Application number
KR1020227044028A
Other languages
English (en)
Inventor
라이한 엠. 타라프다
치우킨 스티븐 라이
정-석 나
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230027036A publication Critical patent/KR20230027036A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

전도성 재료들로 금속 표면 및 유전체 표면을 포함하는 피처들을 충진하는 방법들은 유전체 표면들에 거의 또는 전혀 손상을 주지 않고 금속 표면들을 세정하는 단계를 포함한다. 세정 후, 피처는 원자 층 증착 (atomic layer deposition; ALD) 프로세스 또는 화학적 기상 증착 (chemical vapor deposition; CVD) 프로세스에서 전도성 재료로 피처를 충진하도록 하나 이상의 반응 물질들에 노출될 수도 있다. 증착은 금속 표면에 대해 선택적이거나 비-선택적일 수도 있다. 일부 실시 예들에서, 전도성 재료가, 개재하는 (interpose) 배리어 층 또는 접착 층 없이 금속 표면 및 유전체 표면과 직접적으로 콘택트하도록, 충진된 피처는 배리어를 갖지 않는다 (barrier-less).

Description

저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시하는 목적을 위한 것이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
금속들의 증착은 많은 반도체 제조 프로세스들의 필수적인 부분이다. 이들 재료들은 수평 상호 접속부들, 인접한 금속 층들 사이의 비아들, 및 금속 층들과 디바이스들 사이의 콘택트들에 사용될 수도 있다. 그러나, 디바이스들이 축소되고 보다 복잡한 패터닝 스킴들 (schemes) 이 산업계에서 활용됨에 따라, 저 저항률 금속 막들의 증착이 과제가 된다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다. 본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
본 개시의 일 양태는, 기판 상에 피처를 제공하는 단계로서, 피처는 금속 표면 상에 형성된 금속 옥사이드의 층을 갖는 금속 표면 및 유전체 표면을 포함하는, 피처를 제공하는 단계; 및 금속 표면으로부터 금속 옥사이드의 층을 제거하기 위해 피처를 금속 할라이드에 노출시키는 단계를 포함하는, 방법에 관한 것이다.
일부 실시 예들에서, 방법은 전도성 재료로 피처를 충진하는 단계를 더 포함한다. 일부 이러한 실시 예들에서, 전도성 재료는 개재된 (interpose) 층 없이 금속 표면 및 유전체 표면과 직접적으로 콘택트한다. 일부 이러한 실시 예들에서, 금속 할라이드에 피처를 노출시키는 단계 및 전도성 재료로 피처를 충진하는 단계는 동일한 챔버 내에서 수행된다. 일부 이러한 실시 예들에서, 금속 할라이드에 피처를 노출시키는 단계 및 전도성 재료로 피처를 충진하는 단계는 동일한 챔버의 상이한 스테이션들에서 수행된다. 일부 실시 예들에서, 금속 할라이드에 피처를 노출시키는 단계 및 전도성 재료로 피처를 충진하는 단계는 상이한 챔버들에서 수행된다.
일부 실시 예들에서, 전도성 재료로 피처를 충진하는 단계는 벌크 전도성 재료를 증착하기 전에 전도성 재료의 핵 생성 (nucleation) 층을 증착하는 단계를 포함한다.
일부 실시 예들에서, 전도성 재료로 피처를 충진하는 단계는 핵 생성 층을 증착하는 단계 없이 벌크 전도성 재료를 증착하는 단계를 포함한다.
일부 실시 예들에서, 피처를 충진하는 단계는 벌크 전도성 재료를 증착하기 위해, 플라즈마 강화 프로세스 또는 열적 프로세스를 포함하는, 원자 층 증착 (atomic layer deposition) 프로세스 또는 화학적 기상 증착 (chemical vapor deposition) 프로세스를 포함한다.
일부 이러한 실시 예들에서, 벌크 전도성 재료의 증착은 유전체 표면에 대해 금속 표면에 선택적이다.
일부 이러한 실시 예들에서, 벌크 전도성 재료의 증착은 금속 표면 및 유전체 표면에 비-선택적이다. 다양한 실시 예들에 따라, 전도성 재료는 몰리브덴 (Mo), 루테늄 (Ru), 텅스텐 (W), 이리듐 (Ir), 크롬 (Cr), 코발트 (Co), 및 티타늄 나이트라이드 (TiN) 로부터 선택될 수도 있다.
일부 실시 예들에서, 금속 표면은 티타늄 나이트라이드 (TiN) 표면, 몰리브덴 나이트라이드 (MoNx) 표면, 텅스텐 나이트라이드 (WN) 표면, 텅스텐 탄소 나이트라이드 (WCxNy) 표면, 텅스텐 카바이드 (WCx) 표면, 티타늄 알루미늄 카바이드 (TiAlxCy) 표면, 또는 탄탈룸 나이트라이드 (TaN) 표면 중 하나이다.
일부 실시 예들에서, 금속 할라이드의 금속은 Mo, W, Cr, Ti, Ta 및 바나듐 (V) 중 하나이다.
일부 실시 예들에서, 금속 할라이드는 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 펜타클로라이드 (WCl5), 텅스텐 헥사브로마이드 (WBr6) 중 하나이다.
일부 실시 예들에서, 금속 할라이드는 몰리브덴 헥사플루오라이드 (MoF6) 및 몰리브덴 펜타클로라이드 (MoCl5) 중 하나이다.
일부 실시 예들에서, 금속 할라이드는 니오븀 펜타클로라이드 (NbCl5) 및 니오븀 펜타브로마이드 (NbBr5) 중 하나이다.
일부 실시 예들에서, 금속 할라이드는 탄탈룸 펜타플루오라이드 (TaF5) 및 탄탈룸 펜타클로라이드 (TaCl5) 중 하나이다.
일부 실시 예들에서, 금속 할라이드는 바나듐 펜타플루오라이드 (VF5), 크롬 펜타플루오라이드 (CrF5), 및 티타늄 테트라클로라이드 (TiCl4) 중 하나이다.
일부 실시 예들에서, 방법은 금속 옥사이드의 층을 제거한 후 잔류 할로겐을 제거하기 위해 환원 처리를 수행하는 단계를 더 포함한다.
본 개시의 이들 및 다른 양태들은 도면들을 참조하여 이하에 더 논의된다.
도 1은 다양한 실시 예들에 따른 피처의 일 예를 도시한다.
도 2는 전도성 재료의 증착이 수행될 수도 있는 패터닝된 피처들의 예시적인 실시 예들을 도시한다.
도 3은 전도성 재료로 피처를 충진하기 위한 증착 방법의 일 예를 도시하는 흐름도이다.
도 4는 도 3의 방법의 실시 예들의 특정한 동작들 후 패터닝된 피처들의 단면 개략도들의 예들을 도시한다.
도 5a는 Co 옥사이드가 형성된 Co 표면 상에 Mo의 원자 층 증착 (atomic layer deposition; ALD) 전에 텅스텐 헥사플루오라이드 (WF6) 처리를 사용한 코발트 (Co)/몰리브덴 (Mo) 계면에서의 산소 함량 및 텅스텐 헥사플루오라이드 (WF6) 처리를 사용하지 않은 코발트 (Co)/몰리브덴 (Mo) 계면에서의 산소 함량의 비교를 도시한다.
도 5b는 몰리브덴 펜타클로라이드 (MoCl5) 를 사용한 티타늄 나이트라이드 (TiN) 표면의 세정을 도시한다.
도 6은 다양한 동작들을 위해 사용될 수도 있는 프로세스 스테이션의 일 실시 예의 개략적인 예시를 도시한다.
도 7은 복수의 챔버들을 포함하는 프로세싱 시스템의 일 예를 도시한다.
전도성 재료들로 금속 표면 및 유전체 표면을 포함하는 피처들을 충진하는 방법들이 제공된다. 방법들은 유전체 표면들에 거의 또는 전혀 손상을 주지 않고 금속 표면들을 세정하는 것을 포함한다. 세정 후, 피처는 원자 층 증착 (atomic layer deposition; ALD) 프로세스 또는 화학적 기상 증착 (chemical vapor deposition; CVD) 프로세스에서 전도성 재료로 피처를 충진하도록 하나 이상의 반응 물질들에 노출될 수도 있다. 증착은 금속 표면에 대해 선택적이거나 비-선택적일 수도 있다. 일부 실시 예들에서, 전도성 재료가, 개재하는 (interpose) 배리어 층 또는 접착 층 없이 금속 표면 및 유전체 표면과 직접적으로 콘택트하도록, 충진된 피처는 배리어를 갖지 않는다 (barrier-less).
또한 금속 표면 및 유전체 표면을 포함하는 피처들의 금속 표면들을 세정하는 방법들이 제공된다. 방법들은 피처 내에 전도성 재료의 증착 전에 수행될 수도 있다. 일부 실시 예들에서, 전도성 재료가, 개재하는 배리어 층 또는 접착 층 없이 금속 표면 및 유전체 표면과 직접적으로 콘택트하도록, 충진된 피처는 배리어를 갖지 않는다.
도 1은 다양한 실시 예들에 따른 피처 (100) 의 일 예를 도시한다. 피처 (100) 는 하단 표면 (102) 및 하나 이상의 측벽 표면들 (104) 을 포함한다. 하단 표면 (102) 은 금속 콘택트 (106) 의 금속 표면이다. 피처 (100) 는 아래에 놓인 금속 콘택트 (106) 에 전기적 접속을 제공하는 상호 접속부 (108) 를 형성하도록 전도성 재료로 충진된다.
금속 콘택트 (106) 및 이의 표면 (하단 표면 (102)) 은 임의의 적절한 금속, 예컨대 코발트 (Co), 루테늄 (Ru), 구리 (Cu), 텅스텐 (W), 몰리브덴 (Mo), 니켈 (Ni), 이리듐 (Ir), 로듐 (Rh), 탄탈룸 (Ta) 및 티타늄 (Ti) 일 수도 있다. 일부 실시 예들에서, 금속 표면 (102) 은 원소 금속 표면이다. 일부 실시 예들에서, 금속 콘택트 (106) 및 이의 표면 (하단 표면 (102)) 은 티타늄 나이트라이드 (TiN) 표면, 몰리브덴 나이트라이드 (MoNx), 텅스텐 나이트라이드 (WN), 텅스텐 탄소 나이트라이드 (WCxNy), 텅스텐 카바이드 (WCx), 티타늄 알루미늄 카바이드 (TiAlxCy) 또는 탄탈룸 나이트라이드 (TaN) 표면과 같은 금속 화합물일 수 있다. 이들 표면들은 유전체 옥사이드들에 대해 증착 선택도를 나타낼 수도 있다. 하단 표면 (102) 은 도 1의 예에서 아래에 놓인 금속 콘택트 (106) 의 일부이다. 이는 배리어 층 또는 접착 층과 같은 박층이 아니고 하부 층의 주 전도체의 일부일 수도 있다.
하나 이상의 측벽 표면들 (104) 은 유전체 표면들이다. 이러한 표면들은 PEOX (poly(2-에틸-2-옥사졸린)) 및 TEOS (테트라에틸 오르토실리케이트) 옥사이드, 유동성 실리콘계 옥사이드들, 탄소 도핑된 실리콘계 옥사이드들, 등을 포함하는 실리콘계 옥사이드들과 같은 알콕사이드들을 포함한다. 일부 실시 예들에서, 이들 표면들은 피처를 둘러싸는 주 유전체 층 (109) 의 일부이다. 일부 실시 예들에서, 측벽 표면들은 옥사이드들이 아니라 나이트라이드들 (예를 들어, SixNy) 일 수도 있다. 나이트라이드들은 실리콘계 나이트라이드들 또는 실리콘계 옥시나이트라이드들일 수도 있다.
상호 접속부 (108) 는 Mo, Ru, W, Ir, 크롬 (Cr), Co, TiN, 및 다른 전이 금속들 또는 전이 금속들의 화합물들일 수도 있다. 상호 접속부 (108) 는 하나 이상의 측벽 표면들 (104) 의 유전체 재료 및 금속 콘택트 (106) 의 금속 표면과 직접적으로 콘택트한다. 도 1의 예에서, 상호 접속부 (108) 와 금속 콘택트 (106) 사이에 배치된 배리어 층들 또는 접착 층들이 없다. TiN/Ti과 같은 재료들이 상호 접속부 구조체들에서 공통 배리어 층/접착 층이지만, 도 1에 대해 기술된 실시 예에서, 사용된다면, TiN 또는 다른 금속 나이트라이드는 배리어 층이 아니라 금속 콘택트의 주 전도체이다.
상호 접속부 (108) 는 소스/드레인 (S/D) 접속부, MOL (middle of the line) 구조체 또는 BEOL (back end of line) 구조체를 포함하는, 부분적으로 제조된 반도체 디바이스의 임의의 적절한 부분의 일부일 수도 있다. 또한, 상호 접속부로서 지칭되지만, 유전체 내에 임베딩된 (embed) 임의의 전도성 막, 예컨대 금속 라인 등을 포함할 수도 있다.
도 2는 전도성 재료의 증착이 수행될 수도 있는 패터닝된 피처들의 예시적인 실시 예들을 도시한다. 패터닝된 피처는 유전체 층의 패터닝 동작의 결과로서 형성된 비아 또는 트렌치 또는 다른 적절한 피처일 수도 있다. 피처 (210) 는 피처의 하단부로부터 피처 개구부 (214) 로 점진적으로 팽창하는 개방 프로파일을 갖는 패터닝된 피처의 예를 도시한다.
피처 (220) 는 피처의 하단부로부터 피처 개구부 (214) 로 좁아지는 재차 들어간 (re-entrant) 프로파일을 갖는 패터닝된 피처의 예를 도시한다. 재차 들어간 프로파일은 또한 피처 개구부 (214) 에 오버행 (overhang) 을 포함할 수도 있다. 피처 (230) 는 금속 언더컷 (undercut) 프로파일을 갖는 피처를 도시한다. 다양한 구현 예들에 따라, 프로파일은 피처 (230) 의 측벽 베이스 (sidewall base) (218) 아래에 금속 표면 (202) 을 갖는다. 하단 표면 (202) 과 측벽 베이스 (218) 사이에 보이드들이 있을 수도 있다. 상기 프로파일들 각각에서, 하단 표면 (202) 은 상기 기술된 바와 같이 금속 표면이다. 하단 표면 (202) 상에 형성된 금속 옥사이드 (216) 가 있을 수도 있다. 피처 (240) 는 실질적으로 수직 측벽들을 갖는 패터닝된 피처의 일 예를 도시한다. 금속 옥사이드는 원소 금속의 옥사이드 (예를 들어, Cu 표면 상의 구리 옥사이드) 또는 금속 화합물의 옥사이드 (예를 들어, TiN 표면 상의 티타늄 옥시나이트라이드) 일 수도 있다.
도 3은 전도성 재료로 피처를 충진하기 위한 증착 방법 (300) 의 일 예를 도시하는 흐름도이다. 도 4는 도 3의 방법의 실시 예들의 특정한 동작들 후 패터닝된 피처들의 단면 개략도들의 예들을 도시한다. 특히, 도 4는 선택적인 증착 및 비-선택적인 증착의 예들을 도시한다.
도 3에서, 동작 (305) 에서, 충진되지 않은 피처를 포함하는 기판이 제공된다. 상기 나타낸 바와 같이, 피처는 부분적으로 제조된 반도체 디바이스의 일부일 수도 있다. 피처는 상기 기술된 바와 같이 금속 표면 및 유전체 표면을 포함한다. 금속 표면은 공기 또는 또 다른 산화성 분위기에 대한 노출로부터 형성될 수도 있는 금속 옥사이드를 포함한다. 기판은 이하에 더 기술된 바와 같이 프로세싱 챔버에 제공될 수도 있다.
도 4에서, (410) 및 (420) 에서, 하단 표면 (402) 및 측벽 표면 (404), 및 하단 표면 상에 형성된 금속 옥사이드 (416) 를 포함하는 패터닝된 피처들이 도시된다.
다시 도 3을 참조하면, 기판은 동작 (315) 에서 옥사이드를 환원시키기 위해 금속 할라이드에 노출된다. 금속 할라이드는 기판을 하우징하는 챔버에 가스로서 제공되고 챔버 내로 펄싱되거나 연속적으로 흐를 수도 있다. 금속 할라이드는 유전체에 대해 거의 또는 전혀 손상을 주지 않고 피처의 하단 표면 상의 옥사이드를 효과적으로 환원시킬 수 있다. 이는 유전체를 손상시킬 수 있는 다른 할라이드 처리들과는 다르다. 예를 들어, 질소 트리플루오라이드는 유전체를 에칭하고, 피처 임계 치수의 증가를 발생시킨다. 할라이드 화합물들은 암모니아 또는 하이드라진과 같은 다른 환원제들보다 산화된 층을 제거하는데 보다 효과적이다.
일부 실시 예들에서, 금속 할라이드는 불활성 퍼지 가스에 의해 분리된 펄스들로 펄싱된다. 불활성 퍼지 가스의 예들은 아르곤 (Ar) 을 포함한다. 이는 연속적인 플로우에 의한 포화를 방지할 수 있다.
금속 할라이드는 휘발성이거나 기판 온도에서 또는 기판 온도 이하에서 기판으로 전달되기에 충분한 증기압을 갖는 임의의 금속 할라이드이다. 동작 (315) 동안 예시적인 기판 온도들은 100 ℃ 내지 450 ℃ 범위이다. 일부 금속 할라이드들에 대해, 보다 높은 온도들은 유전체 에칭을 발생시킬 수도 있다. 금속 할라이드는 Mo, W, Cr, Ti, Ta, 및 바나듐 (V) 을 포함하는 임의의 적절한 금속, 및 불소 (F), 염소 (Cl), 브롬 (Br), 및 요오드 (I) 를 포함하는 임의의 할라이드를 함유할 수도 있다. 사용될 수도 있는 텅스텐 할라이드들의 예들은 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 펜타클로라이드 (WCl5), 및 텅스텐 헥사브로마이드 (WBr6) 를 포함한다. 사용될 수도 있는 몰리브덴 할라이드들의 예들은 몰리브덴 헥사플루오라이드 (MoF6) 및 몰리브덴 펜타클로라이드 (MoCl5) 를 포함한다. 사용될 수도 있는 니오븀 할라이드들의 예들은 니오븀 펜타클로라이드 (NbCl5), 니오븀 테트라아이오다이드 (NbI4), 및 니오븀 펜타브로마이드 (NbBr5) 를 포함한다. 사용될 수도 있는 탄탈룸 할라이드들의 예들은 탄탈룸 펜타플루오라이드 (TaF5), 탄탈룸 펜타아이오다이드 (TaI5), 및 탄탈룸 펜타클로라이드 (TaCl5) 를 포함한다. 사용될 수도 있는 바나듐 할라이드들의 예들은 바나듐 펜타플루오라이드 (VF5) 를 포함한다. 사용될 수도 있는 크롬 할라이드의 예들은 크롬 펜타플루오라이드 (CrF5) 및 크롬 디아이오다이드 (CrI2) 를 포함한다. 사용될 수도 있는 티타늄 할라이드들의 예들은 티타늄 테트라클로라이드 (TiCl4) 를 포함한다.
금속 할라이드는 아르곤 (Ar), 헬륨 (He), 등과 같은 불활성 가스와 혼합될 수도 있다. 이는 금속 할라이드를 희석하고 환원 레이트를 제어하도록 사용될 수도 있다. 동작 (315) 동안 챔버 압력의 예들은 1 내지 30 Torr의 범위이다. 처리 시간은 2 초 내지 4 분, 또는 2 초 내지 60 초 범위일 수 있다. 일부 실시 예들에서, 처리 시간은 약 2 분 내지 3 분일 수도 있다. 일부 실시 예에서, 1 내지 60 초, 또는 1 내지 10 초의 펄스들이 사용된다.
특정한 금속 할라이드에 대한 노출은 가스 소스, 가스 유입구, 및/또는 챔버에서 형성되는 다른 할라이드들에 대한 노출을 포함할 수도 있다는 것이 이해된다. 예를 들어, WBr6은 텅스텐 펜타브로마이드 (WBr5) 및 텅스텐 테트라브로마이드 (WBr4) 로 분해될 (decompose) 수도 있고, 그리고 WF6는 텅스텐 펜타플루오라이드 (WF5) 및 텅스텐 테트라플루오라이드 (WF4) 로 분해될 수도 있다. 금속 할라이드는 이량체들 (dimers) 및 다른 올리고머들 (oligomers) 을 포함하는 다양한 형태들을 취할 수도 있고; 예를 들어, MoCl5는 이량체 Mo2Cl10을 형성한다. 금속 할라이드들은 산소가 없을 수도 있다. (일부 금속 옥시-할라이드들, 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4) 는 금속 옥사이드를 에칭/환원시킬 수 있지만, 일반적으로 금속 할라이드들보다 보다 덜 효과적이다. 다른 금속 옥시-할라이드들은 ALD 또는 CVD를 참조하여 이하에 열거된다. 특정한 금속 할라이드의 선택은 실리콘 옥사이드 또는 다른 유전체 재료에 대한 금속 옥사이드의 에칭 선택도에 종속된다.
도 4에서, (430) 및 (440) 에서, 금속 옥사이드가 이제 하단 표면으로부터 제거되고 증착 준비가 된, 하단 표면 (402) 및 측벽 표면 (404) 을 포함하는 패터닝된 피처들이 도시된다. 일부 실시 예들에서, 콘택트 자체의 일부는 금속 옥사이드를 제거할 때 우연히 또는 의도적으로, 예를 들어, 종횡비를 증가시키기 위해 제거될 수도 있다. 에칭된 재료의 예시적인 양들은 옥사이드만을 제거하기 위해 5 내지 6 Å, 또는 아래에 놓인 콘택트를 제거하기 위해 최대 20 Å 또는 그 이상의 범위일 수 있다.
(325) 에서, 전도성 재료가 피처들 내로 증착된다. 상기 나타낸 바와 같이, 이는 배리어 층 또는 접착 층 없이 행해진다. 동작 (325) 는 ALD 프로세스, CVD 프로세스, 또는 PVD 프로세스 중 임의의 프로세스를 포함할 수도 있다. ALD 프로세스 및 CVD 프로세스는 플라즈마 강화 (PEALD 또는 PECVD) 또는 열적 ALD 프로세스 또는 CVD 프로세스일 수도 있다. 피처는 유전체 표면 및 금속 표면 모두를 포함하고 증착은 금속 표면에 대해 선택적이거나 비-선택적일 수도 있다. 선택도는 특정한 전구체 및 반응 조건들에 종속될 수 있고, 예들은 이하의 기술에 더 제공된다.
도 4에서, (450) 에서, 선택적인 증착 동안 패터닝된 피처가 도시된다. 충진은 보텀-업 (bottom-up) 이고, 측벽들 상에 거의 또는 전혀 증착되지 않는다. 일부 실시 예들에서, 어느 정도 양의 재료가 측벽들 상에 증착될 수도 있다. (460) 에서, 비-선택적 증착 동안 패터닝된 피처가 도시된다. 충진은 컨포멀하다 (conformal). 충진된 피처들은 (470) 및 (480) 에 도시된다.
이하에 더 기술된 바와 같이, 다른 실시 예들에서, 스퍼터링 및 다른 PVD (physical vapor deposition) 프로세스 또는 도금 프로세스와 같은 다른 방법들이 금속 할라이드 환원 동작 후에 금속을 증착하도록 사용될 수도 있다. 전도성 재료의 증착은 벌크 증착 프로세스이고 벌크 증착 전에 핵 생성 (nucleation) 층의 증착을 포함할 수도 있고 포함하지 않을 수도 있다.
동작 (315) 및 동작 (325) 는 동일한 챔버에서, 또는 공통 진공 하에서 통합될 수도 있고 통합되지 않을 수도 있는, 상이한 챔버들에서 수행될 수도 있다. 일부 실시 예들에서, 이들은 멀티-스테이션 챔버의 상이한 스테이션들에서 수행된다.
상기 나타낸 바와 같이, 일부 실시 예들에서, 동작 (325) 는 CVD 또는 ALD에 의한 벌크 전도성 재료의 증착을 포함한다. 이 기술의 맥락에서, CVD는 반응 물질들이 반응기 내에서 동시에 증기 상으로 존재하고, 그리고 일반적으로 동시에 도입되는 프로세스들을 지칭하는 한편, ALD는 통상적으로 퍼지에 의해 분리된 순차적인 펄스들로 반응 물질들을 도입하는 프로세스들을 지칭한다. 전도성 재료로 피처를 충진하기 위해 ALD 반응 및/또는 CVD 반응에 사용될 수도 있는 예시적인 반응 물질들 및 반응 조건들이 이하에 제공된다.
일부 실시 예들에서, 피처 표면들은 동작 (315) 동안 금속 할라이드로부터 할로겐의 혼입 (incorporation) 에 민감할 수도 있다. 동작 (325) 는 임의의 혼입된 (incorporate) 할로겐을 탈착하는 것을 돕거나 또는 그렇지 않으면 제거하기 위해 상대적으로 고온들을 사용할 수도 있다. 일부 실시 예들에서, 상대적으로 고온들에서 H2와 같은 환원 가스로의 노출은 잔류 할로겐을 제거하기 위해 사용될 수도 있다. 이러한 동작은 동작 (315) 과 동작 (325) 사이에 발생할 수도 있다.
일부 구현 예들에서, 본 명세서에 기술된 방법들은 벌크 전도성 층의 증착 전에 핵 생성 층의 증착을 수반한다. 핵 생성 층은 통상적으로 핵 생성 층 상에 벌크 전도성 재료의 후속 증착을 용이하게 하는 박형의 컨포멀한 층이다. 특정한 구현 예들에서, 핵 생성 층은 ALD 기법들을 사용하여 증착된다. 핵 생성 층 두께는 핵 생성 층 증착 방법뿐만 아니라 벌크 증착의 목표된 품질에 종속될 수 있다. 일반적으로, 핵 생성 층 두께는 고품질, 균일한 벌크 증착을 지지하기에 충분하다. 핵 생성 층들은 벌크 층들보다 보다 높은 저항률을 갖기 때문에, 일반적으로 이보다 보다 두껍지 않다. 예들은 10 Å 내지 100 Å 범위일 수도 있다. 특정한 실시 예들에서, 벌크 전도성 재료는 핵 생성 층의 사용 없이 피처 내에 직접 증착될 수도 있다. 벌크 전도성 재료는 ALD 또는 CVD에 의해 증착될 수도 있다. 입자 사이즈는 핵 생성 층보다 보다 크고 저항률은 보다 작다.
CVD 프로세스 또는 ALD 프로세스에서, 금속-함유 전구체는 금속 또는 금속 화합물 재료를 형성하도록 환원제 또는 다른 반응 물질과 반응할 수도 있다.
텅스텐 또는 텅스텐-함유 재료들의 ALD 및 CVD를 위한 W-함유 전구체들의 예들은 WF6, WCl6, WCl5, 및 텅스텐 헥사카르보닐 (W(CO)6) 을 포함한다. 일부 실시 예들에서, WO2Cl2, WOBr4, WOCl4, 및 WOF4를 포함하는 텅스텐 옥시-할라이드들이 사용될 수도 있다. 메틸시클로펜타디에닐-디카르보닐니트로실-텅스텐 (MDNOW) 및 에틸시클로펜타디에닐-디카르보닐니트로실-텅스텐 (EDNOW) 과 같은 유기-금속 전구체들이 또한 사용될 수도 있다. 일부 실시 예들에서, 비스(tert-부틸이미노) 비스(디메틸아미노) 텅스텐 (W[N(C4H9)]2[N(CH3)2]2) 과 같은 질소-함유 텅스텐-함유 유기-금속 전구체는 텅스텐 또는 텅스텐 나이트라이드 막들을 증착하도록 사용될 수도 있다.
몰리브덴 또는 몰리브덴-함유 재료들의 ALD 또는 CVD를 위한 몰리브덴-함유 전구체들의 예들은 MoF6, MoCl5, 몰리브덴 디클로라이드 다이옥사이드 (MoO2Cl2), 몰리브덴 테트라클로라이드 옥사이드 (MoOCl4), 및 몰리브덴 헥사카르보닐 (Mo(CO)6) 을 포함한다. 다른 예들은 화학식 MoxOxHz의 Mo 옥시할라이드들을 포함하고, H는 할로겐 (불소 (F), 염소 (Cl), 브롬 (Br), 또는 요오드 (I)) 이고, x, y, 및 z는 안정한 분자를 형성할 수 있는, 0보다 보다 큰 임의의 수이다. 이들은 몰리브덴 테트라플루오라이드 옥사이드 (MoOF4), 몰리브덴 디브로마이드 디옥사이드 (MoO2Br2), 및 몰리브덴 옥시아이오다이드들 (MoO2I 및 Mo4O11I) 을 포함한다. 유기-금속 전구체들은 또한 사이클로펜타디에닐 리간드들 (ligands) 을 갖는 Mo 전구체들을 포함하는 예들과 함께 사용될 수도 있다. 추가 예들은 화학식 Mo2Ln의 전구체들을 포함하고, 여기서 L 각각은 아미데이트 (amidate) 리간드, 아미디네이트 (amidinate) 리간드, 및 구아니디네이트 (guanidinate) 리간드로부터 독립적으로 선택되고, 여기서 n은 2 내지 5이다. Mo2Ln 전구체는 복수의 몰리브덴-몰리브덴 결합 (이중 결합 또는 결합 차수가 2 내지 5 인 임의의 복수의 결합) 을 포함한다. 추가 예들은 할라이드-함유 헤테로렙틱 (heteroleptic) 몰리브덴 화합물들 (즉, 상이한 타입들의 리간드들을 갖는 화합물들) 을 포함한다. 이러한 전구체들의 특정한 예들은 몰리브덴, 몰리브덴과 결합을 형성하는 적어도 하나의 할라이드, 및 N, O, 및 S 원소들 중 임의의 원소를 갖는 적어도 하나의 유기 리간드를 포함하는 화합물들이고, 이들 원소들의 원자는 몰리브덴과 결합을 형성한다. 질소 또는 산소 결합을 제공하는 적합한 유기 리간드의 예들은 아미디네이트들, 아미데이트들, 이미노피롤리디네이트들 (iminopyrrolidinates), 디아자디엔들 (diazadienes), 베타-이미노 아미드들 (beta-imino amides), 알파-이미노 알콕사이드들 (alpha-imino alkoxides), 베타-아미노 알콕사이드들 (beta-amino alkoxides), 베타-디케티미네이트들 (beta-diketiminates), 베타-케토이미네이트들 (beta-ketoiminates), 베타-디케토네이트들 (beta-diketonates), 아민들, 및 피라졸레이트들 (pyrazolates) 을 포함한다. 황 결합을 제공하는 적합한 유기 리간드들의 예들은 티오에테르들 (thioethers), 티올레이트들 (thiolates), 디티올렌들 (dithiolenes), 디티올레이트들 (dithiolates), 및 α-이미노 티올렌들 (α-imino thiolenes) 을 포함한다. 이들 리간드들은 치환될 수도 있고 또는 치환되지 않을 수도 있다. 일부 실시 예들에서, 이들 리간드들은 H, 알킬 (alkyl), 플루오로알킬 (fluoroalkyl), 알킬실릴 (alkylsilyl), 알킬아미노 (alkylamino), 및 알콕시 (alkoxy) 치환기들로 구성된 그룹으로부터 독립적으로 선택된 하나 이상의 치환기들을 포함한다. 유기 리간드들은 중성 또는 음이온성 (예를 들어, 일가 음이온 (monoanionic) 또는 이가 음이온 (dianionic)) 일 수 있고, 몰리브덴은 +1, +2, +3, +4, +5, 및 +6과 같은 다양한 산화 상태들에 있을 수 있다.
루테늄 또는 루테늄-함유 재료들의 ALD 또는 CVD를 위한 루테늄-함유 전구체들의 예들은, 산화성 반응들을 위해 사용될 수도 있는, (에틸벤질)(1-에틸-1,4-사이클로헥사디에닐)Ru(0) ((ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru(0)), (1-이소프로필-4-메틸벤질)(1,3-사이클로헥사디에닐)Ru(0) ((1-isopropyl-4-methylbenzyl)(1,3-cyclohexadienyl)Ru(0)), 2,3-디메틸-1,3-부타디에닐)Ru(0)트리카르보닐 (2,3-dimethyl-1,3-butadienyl)Ru(0)tricarbonyl), (1,3-시클로헥사디에닐)Ru(0)트리카르보닐 ((1,3-cyclohexadienyl)Ru(0)tricarbonyl) 및 (시클로펜타디에닐)(에틸)Ru(II)디카르보닐 ((cyclopentadienyl)(ethyl)Ru(II)dicarbonyl) 을 포함한다. 비산화 반응 물질들과 반응하는 루테늄 전구체들의 예들은 비스(5-메틸-2,4-헥산디케토나토)Ru(II)디카르보닐 (bis(5-methyl-2,4-hexanediketonato)Ru(II)dicarbonyl) 및 비스(에틸시클로펜타디에닐)Ru(II) (bis(ethylcyclopentadienyl)Ru(II)) 을 포함한다. 루테늄 전구체의 부가적인 예들은 Ru3(CO)12, (2,4-디메틸펜타디에닐) ((2,4-Dimethylpentadienyl)) (에틸시클로펜타디에닐)루테늄 ((ethylcyclopentadienyl)ruthenium), 트리카르보닐(h4-시클로헥사-1,3-디엔)루테늄 (tricarbonyl(h4-cyclohexa-1,3-diene)ruthenium) 및 유사한 유사체, 및 (η4-2,3-디메틸부타디엔)(트리카르보닐)루테늄 ((η4-2,3-dimethylbutadiene)(tricarbonyl)ruthenium) 을 포함한다.
코발트 또는 코발트-함유 재료들의 ALD 또는 CVD를 위한 Co-함유 전구체의 예는 트리스(2,2,6,6-테트라메틸-3,5-헵탄디오나토)코발트 (tris(2,2,6,6-tetramethyl-3,5-heptanedionato)cobalt), 비스(사이클로펜타디에닐)코발트 (bis(cyclopentadienyl)cobalt), 디코발트 헥사카르보닐 부틸아세틸렌 (dicobalt hexacarbonyl butylacetylene), 디카르보닐 사이클로펜타디에닐 코발트(I) (dicarbonyl cyclopentadienyl cobalt (I)), 코발트 카르보닐, 다양한 코발트 아미디네이트 전구체들, 코발트 디아자디에닐 (diazadienyl) 착체들 (complexes), 코발트 아미디네이트/구아니디네이트 전구체들, 및 이들의 조합들을 포함한다. ALD 또는 CVD를 위한 Ti-함유 전구체들의 예들은 TiCl4 및 테트라키스(디메틸아미노)티타늄 (tetrakis(dimethylamino)titanium; TDMAT) 을 포함한다. 탄탈룸 또는 탄탈룸-함유 재료들의 ALD 또는 CVD를 위한 Ta-함유 전구체들의 예들은 TaF5 및 펜타키스-디메틸아미노 탄탈룸 (pentakis-dimethylamino tantalum; PDMAT) 을 포함한다.
환원제들의 예들은 수소 (H2), 디보란 (B2H6) 및 다른 보란들을 포함하는 붕소-함유 환원제들, 실란 (SiH4) 및 다른 실란들을 포함하는 실리콘-함유 환원제들, 하이드라진들 및 게르만들을 포함할 수 있다. 일부 구현예들에서, 금속-함유 전구체들의 펄스들은 예를 들어, S/W/S/W/B/W, 등의 하나 이상의 환원제들의 펄스들과 교번할 수 있고, W는 텅스텐 함유 전구체를 나타내고, S는 실리콘 함유 전구체를 나타내고, 그리고 B는 붕소 함유 전구체를 나타낸다. 일부 구현 예들에서, 별개의 반응 물질은 사용되지 않을 수도 있고, 예를 들어, 금속-함유 전구체가 열적 분해 또는 플라즈마-보조된 분해를 겪을 수도 있다. 일부 실시 예들에서, H2는 고 순도 막들을 증착하도록 벌크 층 증착을 위한 환원제로서 사용된다.
상기 기술된 바와 같이, 증착의 선택도는 증착될 재료, 전구체들 및 프로세스 조건들에 종속될 수 있다. 일 예에서, 금속 할라이드 전구체들로부터 증착된 몰리브덴은 옥사이드 표면들 상에서 성장하지만 Mo-함유 전구체들, 온도, 및 반응 물질 분압을 제어함으로써 선택적으로 증착될 수도 있다. 몰리브덴 옥시할라이드는 동작 (325) 에서 금속 표면들 상에 선택적으로 증착하도록 사용될 수도 있다. 온도는 선택도, 입자 크기 및 저항에 영향을 미친다. 보다 높은 온도들은 Mo 막의 선택도를 감소시킬 수도 있고 금속-함유 하단 표면 (402) 상에서뿐만 아니라 측벽 표면들 (404) 의 옥사이드 또는 나이트라이드 상에서 성장을 발생시킬 수도 있다. 그러나, 온도가 너무 낮으면, 불순물 레벨이 상승할 수도 있고 입자 크기가 감소될 수도 있어 저항이 상승한다. 기판 온도는 염소-함유 화학 물질을 사용하여 Mo를 선택적으로 증착하기 위해 350 ℃ 내지 600 ℃일 수도 있다. 상기 주지된 바와 같이, 선택도는 온도가 하강됨에 따라 개선될 수 있다. 따라서, 일부 실시 예들에서, 기판 온도는 염소-함유 전구체에 대해 약 350 ℃ 내지 550 ℃, 또는 350 ℃ 내지 450 ℃일 수도 있다. 불소-함유 화학 물질에 대해 기판 온도들은 예를 들어, 150 ℃ 내지 350 ℃보다 낮을 수도 있다.
비-선택적으로 (또는 보다 덜 선택적으로) 증착하기 위해, 측벽 표면들 및 필드 영역들 상에 핵 생성을 허용하도록 온도가 제어될 수 있다. 이는 컨포멀한 성장이 보이드들의 위험 없이 우수한 피처 충진을 얻기 위해 사용될 수 있도록 일단 피처가 충분히 충진되면 적절할 수도 있다. 온도는 적어도 500 ℃일 수 있고 디바이스 구조체의 열적 예산 (thermal budget) 에 의해 허용된다면 800 ℃만큼 높을 수도 있다.
산소-함유 전구체들로부터 순수 금속 막들의 증착은 증착 프로세스 동안 막들 내로 산소의 혼입의 용이성으로 인해 어렵다. 산소가 혼입되면 저항률이 상승한다. 본 명세서에 기술된 방법들 및 장치는 일부 실시 예들에서 1 원자% 미만의 산소를 갖는 순수 금속 막들을 증착하도록 구현될 수도 있다. 금속 옥시-할라이드 전구체에 대한 환원제의 비는 1보다 상당히 크고 증착된 막은 1 원자% 이하의 산소를 함유한다. 적어도 100:1의 몰비가 사용될 수도 있다. 일부 실시 예들에서, 증착된 막은 1E18 원자/㎤ 이하의 할로겐 농도를 갖는다. 1 원자% 이하의 산소를 갖는 순수 막들을 증착하기 위해, 환원제 대 금속 전구체 비는 1보다 상당히 크고, 예를 들어, 적어도 20:1 또는 적어도 50:1이다. 온도들의 예들은 염소-함유 전구체들에 대해 350 ℃ 내지 600 ℃ 및 불소-함유 전구체들에 대해 150 ℃ 내지 500 ℃의 범위일 수도 있다. 챔버 압력의 예들은 1 torr 내지 100 torr의 범위일 수도 있다. 순수한 막들을 얻기 위해 사용된 환원제:전구체 비는 온도가 상승함에 따라 보다 낮을 수도 있다. 일부 실시 예들에서, 염소-함유 전구체들의 온도는 적어도 400 ℃다. 환원제의 분압이 상승함에 따라 환원제:전구체 비를 감소시키기 위해 보다 높은 압력들이 또한 사용될 수도 있다.
상기 나타낸 바와 같이, 일부 실시 예들에서, 상대적으로 높은 증착 온도 (예를 들어, 500 ℃ 초과) 는 금속 할라이드 처리 후 임의의 잔류 불소 또는 다른 할로겐을 제거하는데 유용할 수도 있다. 이에 따라, 일부 실시 예들에서, 기판 온도는 동작 (315) 와 동작 (325) 사이에 적어도 50 ℃, 100 ℃, 또는 150 ℃만큼 상승된다.
상기 기술에서, 유전체 표면을 포함하는 피처의 금속 표면은 금속 할라이드에 노출된다. 다른 실시 예들에서, 임의의 금속-함유 표면은 금속 표면 상에 형성된 옥사이드의 제거를 위해 상기 기술된 금속 할라이드들에 노출될 수도 있다. 예를 들어, 도 2에 도시된 바와 같은 피처는 적어도 유전체 측벽 표면들을 코팅하는 얇은 배리어 층 및/또는 접착 층을 가질 수도 있다. 금속 할라이드 처리는 배리어 층 및/또는 접착 층을 세정하는데 사용될 수도 있다.
도 5a는 Co 옥사이드가 형성된 Co 표면 상에 Mo의 ALD 전에 텅스텐 헥사플루오라이드 (WF6) 처리를 사용한 코발트 (Co)/몰리브덴 (Mo) 계면에서의 산소 함량 및 텅스텐 헥사플루오라이드 (WF6) 처리를 사용하지 않은 코발트 (Co)/몰리브덴 (Mo) 계면에서 산소 함량의 비교를 도시한다. 그래프들로부터 알 수 있는 바와 같이, 산소 함량은 계면에서 한 자릿수만큼 감소된다. 다양한 실시 예들에 따라, 계면에서 잔류 산소는 1E20 원자/㎤ 이하일 수도 있다.
도 5b는 퍼지들에 의해 분리된 MoCl5의 펄스들을 사용한 TiN 표면의 에칭을 도시한다. 알 수 있는 바와 같이, 에칭된 재료의 양은 펄스/퍼지 사이클들의 수와 선형으로 관련되어, 에칭된 양의 디지털 제어를 허용한다. 도 5b의 예에서, 티타늄 옥시나이트라이드 및 아래에 놓인 티타늄 나이트라이드 모두가 에칭된다.
장치
상기 나타낸 바와 같이, 도 3의 동작 (315) 및 동작 (325) 는 동일하거나 상이한 챔버들에서 및 동일하거나 상이한 스테이션들에서 수행될 수도 있다. 도 6은 동작 (315) 및/또는 동작 (325) 에 사용될 수도 있는 프로세스 스테이션 (600) 의 일 실시 예의 개략적인 예시를 도시한다. 프로세스 스테이션 (600) 은 분배 샤워헤드 (606) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (601a) 과 유체로 연통한다. 반응 물질 전달 시스템 (601a) 은 샤워헤드 (606) 로의 전달을 위해 프로세스 가스들 (예컨대 금속 할라이드 환원 처리를 위한 금속 할라이드 가스 및 불활성 가스 또는 증착을 위한 금속 전구체-함유 가스 및 수소-함유 가스) 을 블렌딩 및/또는 컨디셔닝하기 위한 혼합 용기 (604) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (620) 은 혼합 용기 (604) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
도 6의 실시 예는 혼합 용기 (604) 로 공급될 프로세스 고체들 (solids) 에 대한 기화 지점 (605) 을 포함한다. 또 다른 시나리오에서, 기화 프로세스 고체들은 샤워헤드 (606) 로 직접 공급될 수도 있다. 기화는 승화 (sublimation) 일 수 있거나 또는 고체에서 액체로 증기로 될 수 있다. WF6 및 MoF6를 제외하고, 금속 할라이드들은 일반적으로 실온에서 고체이다.
일 예로서, 도 6의 실시 예는 혼합 용기 (604) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (603) 을 포함한다. 일부 실시 예들에서, 기화 지점 (603) 은 가열된 기화기일 수도 있다. 일부 구현 예들에서, 액체 전구체 또는 액체 반응 물질은 액체 주입기 (미도시) 에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기 (604) 의 업스트림 (upstream) 의 캐리어 가스 스트림 (stream) 내로 주입할 수도 있다. 일 실시 예에서, 액체 주입기는 보다 높은 압력으로부터 보다 낮은 압력으로 액체를 플래싱 (flash) 함으로써 반응 물질을 기화할 수도 있다. 또 다른 예에서, 액체 주입기는 가열된 전달 파이프 내에서 나중에 기화되는 분산된 마이크로액적들 (microdroplets) 로 액체를 원자화할 (atomize) 수도 있다. 보다 작은 액적들이 보다 큰 액적들보다 보다 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다. 보다 빠른 기화는 기화 지점 (603) 으로부터 다운스트림 파이핑의 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (604) 에 직접 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (606) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (603) 의 업스트림에 LFC (liquid flow controller) 가 기화 및 프로세스 챔버 (602) 로의 전달을 위해 액체의 대량 플로우를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량계 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 밸브가 MFM과 전기적으로 통신하는 PID (Proportional-Integral-Derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, 이는 LFC 및 PID 제어기의 센스 튜브를 디스에이블함으로써 (disable) 수행될 수도 있다.
샤워헤드 (606) 는 기판 (612) 을 향해 프로세스 가스들을 분배한다. 도 6에 도시된 실시 예에서, 기판 (612) 은 샤워헤드 (606) 밑에 위치되고 페데스탈 (608) 상에 놓인 것으로 도시된다. 샤워헤드 (606) 는 임의의 적합한 형상을 가질 수도 있고 그리고 기판 (612) 에 프로세스 가스들을 분배하기 위한 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다.
일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨에 기판 (612) 을 노출시키도록 상승되거나 하강될 수도 있다. 일부 실시 예들에서, 페데스탈 (608) 은 히터 (610) 를 통해 온도 제어될 수도 있다. 페데스탈 (608) 은 다양한 개시된 실시 예들을 수행하기 위한 동작들 동안 약 150 ℃ 내지 약 600 ℃와 같은 임의의 적합한 온도로 설정될 수도 있다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기 (650) 에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다. 프로세스 페이즈의 종료 시, 페데스탈 (608) 은 페데스탈 (608) 로부터 기판 (612) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
일부 구현 예들에서, 샤워헤드 (606) 의 포지션은 기판 (612) 과 샤워헤드 (606) 사이의 볼륨을 가변시키도록 페데스탈 (608) 에 대해 조정될 수도 있다. 또한, 페데스탈 (608) 및/또는 샤워헤드 (606) 의 수직 위치는 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (608) 은 기판 (612) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들 (650) 에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
PECVD 또는 PEALD를 위해 플라즈마가 사용될 수도 있는 일부 실시 예들에서, 샤워헤드 (606) 및 페데스탈 (608) 은 플라즈마에 전력을 공급하기 위해 무선 주파수 (radio frequency; RF) 전력 공급부 (614) 및 매칭 네트워크 (616) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (614) 및 매칭 네트워크 (616) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 유사하게, RF 전력 공급부 (614) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (614) 는 고 주파수 RF 전력 소스 및 저 주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 0 ㎑ 내지 900 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고 주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓, 또는 약 13.56 ㎒보다 크거나, 27 ㎒보다 크거나, 80 ㎒보다 크거나, 60 ㎒보다 큰 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 또는 연속적으로 조절될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인-시츄 (in-situ) 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인-시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 제어기 (650) 에 대한 인스트럭션들은 IOC (input/output control) 시퀀싱 인스트럭션들을 통해 제공될 수도 있다. 일 예에서, 프로세스 페이즈에 대한 조건들을 설정하기 위한 인스트럭션들은 프로세스 레시피의 대응하는 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 프로세스 페이즈에 대한 모든 인스트럭션들이 그 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 반응기 파라미터들을 설정하기 위한 인스트럭션들은 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 금속 할라이드 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 캐리어 가스 (예컨대 아르곤) 의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 금속 할라이드 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다.
ALD에 대해, 제 1 레시피 페이즈는 제 1 반응 물질 가스 (예를 들어, 금속 전구체 가스) 의 플로우 레이트를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 및 제 1 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속하는 레시피 페이즈는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 변조하기 위한 인스트럭션들, 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 H2와 같은, 제 2 반응 물질 가스를 조절하기 위한 인스트럭션들, 캐리어 또는 퍼지 가스의 플로우 레이트를 조절하기 위한 인스트럭션들, 플라즈마를 점화하기 위한 인스트럭션들, 및 제 3 레시피 페이즈를 위한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 4, 후속하는 레시피 페이즈는 불활성 가스 및/또는 반응 물질 가스의 플로우 레이트를 조절하거나 중단하기 위한 인스트럭션들, 및 캐리어 가스 또는 퍼지 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 및 제 4 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
또한, 일부 실시 예들에서, 프로세스 스테이션 (600) 에 대한 압력 제어가 버터플라이 밸브 (618) 에 의해 제공될 수도 있다. 도 6의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (618) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (600) 의 압력 제어는 또한 프로세스 스테이션 (600) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
상기 기술된 바와 같이, 동작 (315) 및 동작 (325) 은 단일 또는 멀티-스테이션 챔버의 단일 스테이션, 멀티-스테이션 챔버의 상이한 스테이션들, 또는 상이한 챔버들에서 수행될 수도 있다. 상이한 챔버들에서 수행된다면, 금속 할라이드 처리 및 금속 옥사이드의 제거 후 금속의 산화를 방지하도록 공통 진공 분위기 하에서 통합될 수도 있다. 일부 실시 예들에서, 이들은 적어도 상대적으로 짧은 시간 동안 산화를 방지하기 위해 패시베이션 효과를 제공하는 금속 할라이드 처리와 통합되지 않을 수도 있다.
도 7은 복수의 챔버들을 포함하는 프로세싱 시스템의 일 예를 도시한다. 시스템 (700) 은 이송 모듈 (703) 을 포함한다. 이송 모듈 (703) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린, 진공 분위기를 제공한다. 실시 예들에 따라 ALD 및 CVD를 수행할 수 있는 멀티-스테이션 반응기 (709) 가 이송 모듈 (703) 상에 장착된다. 일부 실시 예들에서, 반응기 (709) 는 또한 ALD 또는 CVD 전에 금속 할라이드 노출을 수행한다.
반응기 (709) 는 개시된 실시 예들에 따른 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (711, 713, 715, 및 717) 을 포함할 수도 있다. 예를 들어, 반응기 (709) 는 스테이션 (711) 이 본 명세서에 기술된 금속 할라이드 환원 처리를 수행하고, 그리고 스테이션들 (713) 은 ALD에 의한 핵 생성 층 증착을 수행하고, 그리고 스테이션 (715) 및 스테이션 (717) 은 ALD 또는 CVD에 의한 벌크 층 증착을 수행하도록 구성될 수도 있다. 2 개 이상의 스테이션들이 예를 들어, 2 개 내지 6 개로, 멀티-스테이션 반응기 내에 포함될 수도 있고, 동작들은 적절히 분배된다. 예를 들어, 2-스테이션 반응기는 제 1 스테이션에서 금속 할라이드에 기판을 노출시키고 이어서 제 2 스테이션에서 전도성 재료를 증착하도록 구성될 수도 있다. 도 6에 대해 상기 기술된 바와 같이, 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 (dispersion) 플레이트를 포함할 수도 있다.
또한 이송 모듈 (703) 상에는 하나 이상의 단일 또는 멀티-스테이션 모듈들 (707) 이 장착될 수도 있다. 일부 실시 예들에서, 금속 할라이드 노출은 모듈 (707) 에서 수행될 수도 있고, 그 후 기판은 전도성 재료의 증착을 위해 진공 하에 또 다른 모듈 (예를 들어, 또 다른 모듈 (707) 또는 반응기 (709)) 로 이송된다. 모듈 (707) 은 증착 전에 Ar 스퍼터링 세정 및/또는 H2 플라즈마 세정과 같은 세정을 수행하는 사전 세정 (preclean) 모듈일 수도 있다. 일부 실시 예들에서, 금속 할라이드 노출은 스퍼터링 및/또는 플라즈마 세정 전 또는 후에 이러한 사전 세정 모듈에서 수행된다.
시스템 (700) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (701) 을 포함한다. 대기 이송 챔버 (719) 의 대기 로봇 (미도시) 이 소스 모듈들 (701) 로부터 로드 록들 (721) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (703) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 는 로드 록 (721) 으로부터 이송 모듈 (703) 상에 장착된 모듈들 사이에 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (729) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (729) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (729) 는 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (729) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (729) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (729) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리 언어 (assembly language), C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있고, 레시피의 형태로 사용자에게 제공된다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (729) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 개시된 실시 예들에 따른 증착 프로세스들을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (729) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (729) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, 일부 시스템들에서 RF (무선 주파수) 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 포지션 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (digital signal processors; DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 층들 중 하나 이상, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기 (729) 는, 일부 구현 예들에서, 시스템에 포함되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (729) 는 웨이퍼 프로세싱의 원격 액세스를 허용할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 챔버 또는 모듈, 원자 층 증착 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
제어기 (729) 는 다양한 프로그램들을 포함할 수도 있다. 기판 포지셔닝 프로그램이 기판을 페데스탈 또는 척 상으로 로딩하도록 그리고 가스 유입구 및/또는 타깃과 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하도록 사용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성, 플로우 레이트들, 펄스 시간들을 제어하기 위한, 그리고 선택 가능하게 챔버 내 압력을 안정화하기 위해 증착 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브 (throttle valve) 를 조절함으로써 챔버의 압력을 제어하기 위한 코드를 포함할 수도 있다. 히터 제어 프로그램은 기판을 가열하도록 사용되는 가열 유닛으로 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼 척으로의 헬륨과 같은 열 전달 가스의 전달을 제어할 수도 있다.
증착 동안 모니터링될 수도 있는 챔버 센서들의 예들은 질량 유량 제어기들, 마노미터들 (manometers) 과 같은 압력 센서들, 및 페데스탈 또는 척에 위치된 써모커플들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들은 목표된 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
전술한 바는 단일 또는 멀티-챔버 반도체 프로세싱 툴의 개시된 실시 예들의 구현 예를 기술한다. 본 명세서에 기술된 장치 및 프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들, 등의 제조 또는 제작을 위해 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래픽 패터닝은 통상적으로 단계 각각이 다수의 가능한 툴들과 함께 제공된, 이하의 단계들: (1) 스핀온 (spin-on) 툴 또는 스프레이온 (spray-on) 툴을 사용하여 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 단계; (2) 핫 플레이트 또는 노 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 단계; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 가시광선 또는 UV 또는 x-선 광에 포토레지스트를 노출시키는 단계; (4) 습식 벤치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 레지스트를 패터닝하도록 레지스트를 현상하는 단계; (5) 건식 또는 플라즈마 보조 에칭 툴을 사용함으로써 아래에 놓인 막 또는 워크피스 내로 레지스트 패턴을 전사하는 단계; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거하는 단계 중 일부 또는 전부를 포함한다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 실시 예들의 프로세스들, 시스템들 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 이에 따라, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 상세들로 제한되지 않을 것이다.

Claims (20)

  1. 기판 상에 피처를 제공하는 단계로서, 상기 피처는 금속 표면 상에 형성된 금속 옥사이드의 층을 갖는 금속 표면 및 유전체 표면을 포함하는, 상기 피처를 제공하는 단계; 및
    상기 금속 표면으로부터 상기 금속 옥사이드의 층을 제거하기 위해 상기 피처를 금속 할라이드에 노출시키는 단계를 포함하는, 방법.
  2. 제 1 항에 있어서,
    전도성 재료로 상기 피처를 충진하는 단계를 더 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 전도성 재료는 개재된 (interpose) 층 없이 상기 금속 표면 및 상기 유전체 표면과 직접적으로 콘택트하는, 방법.
  4. 제 2 항에 있어서,
    상기 전도성 재료로 상기 피처를 충진하는 단계는 벌크 전도성 재료를 증착하기 전에 상기 전도성 재료의 핵 생성 (nucleation) 층을 증착하는 단계를 포함하는, 방법.
  5. 제 2 항에 있어서,
    상기 전도성 재료로 상기 피처를 충진하는 단계는 핵 생성 층을 증착하는 단계 없이 벌크 전도성 재료를 증착하는 단계를 포함하는, 방법.
  6. 제 1 항에 있어서,
    상기 피처를 충진하는 단계는 벌크 전도성 재료를 증착하기 위해, 플라즈마 강화 프로세스 또는 열적 프로세스를 포함하는, 원자 층 증착 (atomic layer deposition) 프로세스 또는 화학적 기상 증착 (chemical vapor deposition) 프로세스를 포함하는, 방법.
  7. 제 6 항에 있어서,
    상기 벌크 전도성 재료의 증착은 상기 유전체 표면에 대해 상기 금속 표면에 선택적인, 방법.
  8. 제 6 항에 있어서,
    상기 벌크 전도성 재료의 증착은 상기 금속 표면 및 상기 유전체 표면에 비-선택적인, 방법.
  9. 제 2 항에 있어서,
    상기 금속 할라이드에 상기 피처를 노출시키는 단계 및 상기 전도성 재료로 상기 피처를 충진하는 단계는 동일한 챔버 내에서 수행되는, 방법.
  10. 제 2 항에 있어서,
    상기 금속 할라이드에 상기 피처를 노출시키는 단계 및 상기 전도성 재료로 상기 피처를 충진하는 단계는 동일한 챔버의 상이한 스테이션들에서 수행되는, 방법.
  11. 제 2 항에 있어서,
    상기 금속 할라이드에 상기 피처를 노출시키는 단계 및 상기 전도성 재료로 상기 피처를 충진하는 단계는 상이한 챔버들에서 수행되는, 방법.
  12. 제 1 항에 있어서,
    상기 전도성 재료는 몰리브덴 (Mo), 루테늄 (Ru), 텅스텐 (W), 이리듐 (Ir), 크롬 (Cr), 코발트 (Co), 및 티타늄 나이트라이드 (TiN) 로부터 선택되는, 방법.
  13. 제 1 항에 있어서,
    상기 금속 표면은 티타늄 나이트라이드 (TiN) 표면, 몰리브덴 나이트라이드 (MoNx) 표면, 텅스텐 나이트라이드 (WN) 표면, 텅스텐 탄소 나이트라이드 (WCxNy) 표면, 텅스텐 카바이드 (WCx) 표면, 티타늄 알루미늄 카바이드 (TiAlxCy) 표면, 또는 탄탈룸 나이트라이드 (TaN) 표면 중 하나인, 방법.
  14. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드의 상기 금속은, Mo, W, Cr, Ti, Ta 및 바나듐 (V) 중 하나인, 방법.
  15. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드는 텅스텐 헥사플루오라이드 (WF6), 텅스텐 헥사클로라이드 (WCl6), 텅스텐 펜타클로라이드 (WCl5), 텅스텐 헥사브로마이드 (WBr6) 중 하나인, 방법.
  16. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드는 몰리브덴 헥사플루오라이드 (MoF6) 및 몰리브덴 펜타클로라이드 (MoCl5) 중 하나인, 방법.
  17. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드는 니오븀 펜타클로라이드 (NbCl5) 및 니오븀 펜타브로마이드 (NbBr5) 중 하나인, 방법.
  18. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드는 탄탈룸 펜타플루오라이드 (TaF5) 및 탄탈룸 펜타클로라이드 (TaCl5) 중 하나인, 방법.
  19. 제 1 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 금속 할라이드는 바나듐 펜타플루오라이드 (VF5), 크롬 펜타플루오라이드 (CrF5), 및 티타늄 테트라클로라이드 (TiCl4) 중 하나인, 방법.
  20. 제 1 항에 있어서,
    상기 금속 옥사이드의 층을 제거한 후 잔류 할로겐을 제거하기 위해 환원 처리를 수행하는 단계를 더 포함하는, 방법.
KR1020227044028A 2020-05-22 2021-05-21 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들 KR20230027036A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062704694P 2020-05-22 2020-05-22
US62/704,694 2020-05-22
PCT/US2021/033564 WO2021237032A1 (en) 2020-05-22 2021-05-21 Low resistivity contacts and interconnects

Publications (1)

Publication Number Publication Date
KR20230027036A true KR20230027036A (ko) 2023-02-27

Family

ID=78708051

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227044028A KR20230027036A (ko) 2020-05-22 2021-05-21 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들

Country Status (6)

Country Link
US (1) US20230326790A1 (ko)
JP (1) JP2023527774A (ko)
KR (1) KR20230027036A (ko)
CN (1) CN115668480A (ko)
TW (1) TW202209566A (ko)
WO (1) WO2021237032A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113366144B (zh) 2019-01-28 2023-07-07 朗姆研究公司 金属膜的沉积
US20230343643A1 (en) * 2022-04-25 2023-10-26 Applied Materials, Inc. Gradient oxidation and etch for pvd metal as bottom liner in bottom up gap fill
WO2023215135A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Molybdenum halides in memory applications
CN116153861B (zh) * 2023-04-19 2023-07-11 武汉楚兴技术有限公司 一种半导体结构及制备方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030043201A (ko) * 2001-11-27 2003-06-02 주식회사 하이닉스반도체 반도체 소자의 콘택 플러그 형성방법
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
JP7366019B2 (ja) * 2017-12-14 2023-10-20 アプライド マテリアルズ インコーポレイテッド エッチング残留物の少ない金属酸化物のエッチング方法
KR20190104902A (ko) * 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system

Also Published As

Publication number Publication date
TW202209566A (zh) 2022-03-01
WO2021237032A1 (en) 2021-11-25
CN115668480A (zh) 2023-01-31
JP2023527774A (ja) 2023-06-30
US20230326790A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US20230326790A1 (en) Low resistivity contacts and interconnects
KR20220129105A (ko) 텅스텐을 위한 몰리브덴 템플릿들
US20230260834A1 (en) Metal oxide diffusion barriers
US20240047269A1 (en) Molybdenum deposition in features
JP2024514605A (ja) モリブデンの堆積
WO2021035254A1 (en) Reducing line bending during metal fill process
KR20230104071A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
TW202338134A (zh) 金屬填充中的傾斜襯墊
WO2023038905A1 (en) Process gas ramp during semiconductor processing
KR20230155949A (ko) 금속 충진 프로세스 동안 라인 벤딩 감소

Legal Events

Date Code Title Description
A201 Request for examination