CN115943487A - 低电阻率触点和互连件 - Google Patents

低电阻率触点和互连件 Download PDF

Info

Publication number
CN115943487A
CN115943487A CN202180046398.0A CN202180046398A CN115943487A CN 115943487 A CN115943487 A CN 115943487A CN 202180046398 A CN202180046398 A CN 202180046398A CN 115943487 A CN115943487 A CN 115943487A
Authority
CN
China
Prior art keywords
features
layer
molybdenum
deposition
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180046398.0A
Other languages
English (en)
Inventor
照健·史蒂文·黎
罗郑硕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115943487A publication Critical patent/CN115943487A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

金属化方案涉及钼(Mo)的原子层沉积(ALD),并且在一些实施例中,涉及没有阻挡层的特征中Mo的ALD。在一些实施例中,ALD Mo膜的沉积之后可以是Mo膜的化学气相沉积(CVD)或物理气相沉积(PVD)。在一些实施例中,CVD或PVD Mo膜是金属化堆叠的部分。在其它实施例中,CVD或PVD Mo膜沉积为牺牲表面覆盖层。在一些实施例中,ALD Mo膜的沉积之后可以是例如钨(W)的另一种金属的CVD或PVD。在一些实施例中,CVD或PVD W膜是金属化堆叠的部分。在其它实施例中,CVD或PVD W膜沉积为牺牲表面覆盖层。

Description

低电阻率触点和互连件
相关申请的交叉引用
PCT请求形式作为本申请的一部分与本说明书同时提交。本申请要求如在同时提交的PCT申请表中确定的权益或优先权的每个申请的全文出于所有目的以引用的方式并入本文中。
背景技术
本文提供的背景描述是为了总体上呈现本公开内容的目的。当前提出的发明人的工作在此背景技术部分中描述的程度上以及在提交时并未具有作为现有技术的资格的描述的方面既不明确地也不隐含地被承认作为针对本公开的现有技术。
金属沉积是许多半导体制造工艺的组成部分。这些材料可用于水平互连件、相邻金属层之间的通孔以及金属层与装置之间的触点。然而,随着装置的缩小和工业中使用更复杂的图案化方案,低电阻率金属膜的沉积成为一个挑战。
发明内容
本公开的一个方面涉及一种方法,其包含:通过原子层沉积(ALD)在包含多个特征的结构中沉积钼(Mo)主体层,以至少部分地用Mo填充所述多个特征;以及通过化学气相沉积(CVD)或物理气相沉积(PVD)在所述Mo主体层上沉积钨(W)主体层。
在一些实施例中,所述多个特征包含具有第一临界尺寸的第一组一个或多个特征和具有第二临界尺寸的第二组一个或多个特征,所述第一临界尺寸小于所述第二临界尺寸,并且其中通过沉积所述Mo主体层来完成所述第一组的所述特征的填充并且通过沉积所述W主体层来完成所述第二组的所述特征的填充。在一些实施例中,通过所述W主体层来完成所述多个特征中的至少一些的所述填充。在一些实施例中,所述W主体层仅沉积在所述多个特征的顶部上方而不沉积在所述多个特征内。在一些实施例中,所述方法还包含去除所有所述W主体层。在一些实施例中,所述多个特征在所述特征中的任何Mo沉积之前包含氧化物表面。在一些此类实施例中,在所述多个特征中形成Mo,而在所形成的Mo与所述氧化物表面之间没有设置阻挡层。
在一些实施例中,所述方法还包含在沉积所述Mo主体层之前沉积成核层。在一些此类实施例中,沉积所述成核层包含形成氮化钼或氮氧化钼层。在一些此类实施例中,所述方法还包含将所述氮化钼或氮氧化钼层转换成钼。
在一些实施例中,所述Mo主体层和所述W主体层沉积在同一腔室中。在一些实施例中,所述Mo主体层和所述W主体层沉积在同一腔室的不同工位中。在一些实施例中,所述Mo主体层和所述W主体层沉积在不同腔室中。在一些此类实施例中,所述不同腔室耦合到共同真空环境,并且在其它此类实施例中,所述不同腔室不耦合到共同真空环境。
在一些实施例中,所述方法还包含在沉积所述W主体层之前,用金属卤化物处理沉积的Mo主体层的表面。
在一些实施例中,通过原子层沉积(ALD)沉积Mo主体层包含将所述结构暴露于Mo前体和共反应物的交替脉冲。在一些此类实施例中,所述Mo前体是卤化钼或卤氧化钼。在一些此类实施例中,所述Mo前体是以下各项中的一种:六氟化钼(MoF6)、六氯化钼(MoCl5)、二氯二氧化物钼(MoO2Cl2)、四氯氧化钼(MoOCl4)、六羰基钼(Mo(CO)6)、(MoOF4)、二溴二氧化钼(MoO2Br2)、MoO2I和Mo4O11I。在一些实施例中,所述共反应物是氢(H2)。在一些实施例中,所述Mo前体是有机金属前体。
本公开的另一方面涉及一种方法,包含:将包含第一组特征的结构提供到腔室;通过原子层沉积(ALD)在包含第一组特征的结构中沉积钼(Mo)主体层,以用Mo部分地填充特征;以及将包含部分填充有Mo的所述特征的所述结构转移出所述腔室。在一些实施例中,通过原子层沉积(ALD)沉积Mo主体层包含将所述结构暴露于Mo前体和共反应物的交替脉冲。在一些此类实施例中,所述Mo前体是卤化钼或卤氧化钼。在一些此类实施例中,所述Mo前体是以下各项中的一种:六氟化钼(MoF6)、六氯化钼(MoCl5)、二氯二氧化物钼(MoO2Cl2)、四氯氧化钼(MoOCl4)、六羰基钼(Mo(CO)6)、(MoOF4)、二溴二氧化钼(MoO2Br2)、MoO2I和Mo4O11I。在一些实施例中,所述共反应物是氢(H2)。在一些实施例中,所述Mo前体是有机金属前体。
在一些实施例中,所述方法还包含在沉积所述Mo主体层之前沉积成核层。在一些此类实施例中,沉积所述成核层包含形成氮化钼或氮氧化钼层。在一些此类实施例中,所述方法还包含将所述氮化钼或氮氧化钼层转换成钼。
本公开的又另一方面涉及一种方法,包含:将包含第一组特征的结构提供到腔室,其中所述第一组特征至少部分地填充有钼(Mo);以及在所述Mo上沉积钨(W)主体层。
在一些实施例中,所述结构包含多个特征,所述多个特征包含具有第一临界尺寸的第一组一个或多个特征和具有第二临界尺寸的第二组一个或多个特征,所述第一临界尺寸小于所述第二临界尺寸,并且其中所述第一组的所述特征完全填充有Mo并且通过沉积所述W主体层来完成所述第二组的所述特征的填充。
在一些实施例中,通过所述W主体层来完成所述第一组特征中的至少一些的所述填充。在一些实施例中,所述W主体层仅沉积在所述第一组特征的顶部上方而不沉积在所述第一组特征内。
在一些实施例中,所述方法还包含去除所有所述W主体层。在一些实施例中,在所述多个特征中设置Mo,而在所形成的Mo与氧化物表面之间没有设置阻挡层。
在下文参考附图进一步描述本公开的这些和其它方面。
附图说明
图1A是具有待用导电材料填充的不同大小的特征的结构的横截面的示意性示例。
图1B是示出钼(Mo)膜原子层沉积(ALD)之后的图1a结构的示意性示例。
图1C是示出钨(W)膜化学气相沉积(CVD)之后的图1b结构的示意性示例。
图1D是示出化学机械平坦化(CMP)之后的图1c结构的示意性示例。
图2是其中ALD Mo膜完全填充较小特征且部分填充较大特征且CVD Mo膜填充较大特征的其余部分的结构的示意性示例。
图3A是对Mo膜进行ALD以完全填充结构特征之后的图1a结构的示意性示例。
图3B是W表面覆盖膜的CVD之后的图1b结构的示意性示例。
图3C是示出化学机械平坦化(CMP)之后的图3b结构的示意性示例。
图4是示出形成Mo膜的ALD方法的示例中某些操作的流程图。
图5和6是示出沉积Mo的方法的示例中某些操作的流程图。
图7是示出在W的CVD沉积之前用金属卤化物处理Mo的方法的示例中某些操作的流程图。
图8描绘可用于ALD和/或CVD的示例工艺工位的示意图。
图9示出包含多个腔室的处理系统的示例。
具体实施方式
本文提供用于逻辑和存储器应用的低电阻金属化堆叠结构以及相关制造方法。方法涉及钼(Mo)的原子层沉积(ALD),并且在一些实施例中,涉及没有阻挡层的特征中Mo的ALD。在一些实施例中,ALD Mo膜的沉积之后可以是Mo膜的化学气相沉积(CVD)或物理气相沉积(PVD)。在一些实施例中,CVD或PVD Mo膜是金属化堆叠的部分。在其它实施例中,CVD或PVD Mo膜沉积为牺牲表面覆盖层。在一些实施例中,ALD Mo膜的沉积之后可以是例如钨(W)的另一种金属的CVD或PVD。在一些实施例中,CVD或PVD W膜是金属化堆叠的部分。在其它实施例中,CVD或PVD W膜沉积为牺牲表面覆盖层。金属化可以在任何适当的环境中进行,包含线路中间(MOL)和线路后端(BEOL)金属化。
根据各种实施例,可以实现一个或多个优点。在一些实施例中,低电阻率膜可以直接沉积在蚀刻的电介质中,而没有扩散阻挡层或其它介入膜。这可以降低电阻率。在一些实施例中,所述工艺是高效且高度可扩展的,使用相对快速的CVD或PVD工艺来填充大的特征和/或表面覆盖层。
本公开的一个方面涉及用导电材料填充衬底上的特征。在一些实施例中,结构包含具有不同大小的特征。图1a示出这种结构的示例,其包含蚀刻在介电层109中的小特征102和较大特征104、106和108。每个特征102、104、106和108具有底部表面和侧壁表面。
在一些实施例中,特征的底部表面可以是金属表面,例如钴(Co)、钌(Ru)、铜(Cu)、W、Mo、镍(Ni)、铱(Ir)、铑(Rh)、钽(Ta)和钛(Ti)。在一些实施例中,底部表面是元素金属表面。在一些实施例中,底部表面可以是金属化合物,例如氮化钛(TiN)表面、氮化钼(MoNx)、氮化钨(WN)、氮化碳钨(WCxNy)、碳化钨(WCx)、碳化钛铝(TiAlxCy)或氮化钽(TaN)表面。底部表面可以是位于下面的金属触点、电极或其它导电组件(未示出)的一部分。其可以是位于下面的层的主导体的一部分,而不是例如阻挡层或粘附层等薄层。
在一些实施例中,侧壁表面可以是介电表面。此类表面包含醇盐,例如聚(2-乙基-2-恶唑啉)(PEOX)和硅基氧化物,包含原硅酸四乙酯(TEOS)氧化物、可流动硅基氧化物、碳掺杂硅基氧化物等。在一些实施例中,这些表面是围绕特征的主介电层109的一部分。在一些实施例中,侧壁表面可以是氮化物(例如,SixNy)而不是氧化物。氮化物可以是硅基氮化物或硅基氮氧化物。特征102、104、106和108的表面在特征之间可以相同或不同。
图1b示出Mo膜111的ALD沉积之后的结构。较小特征102由ALD Mo膜111完全填充,而较大特征104、106和108由ALD Mo膜111部分填充。下文进一步描述钼的ALD。
图1c示出W膜113的CVD沉积之后的结构。W膜113完成较大特征104、106和108的特征填充。图1d示出化学机械平坦化(CMP)之后的结构。如可见,W膜113形成较大特征104、106和108中的每一个中的导电组件(例如,触点、互连件、线等)的部分。较小特征102仅填充有Mo膜。
图1a-1d中所示的方法和所得金属化方案具有各种优点,包含:ALD Mo膜111是可直接沉积在电介质上的低电阻率膜,以及W的CVD是快速且可扩展的工艺。因此,整个堆叠具有低电阻率并且可以高效地制造。在替代实施例中,可以使用溅射或其它PVD工艺代替CVD来沉积W。
图2示出根据另一实施例的结构的示例。在图2中,ALD Mo膜111完全填充较小特征102,并且部分填充较大特征104、106和108。CVD Mo膜215填充较大特征104、106和108的其余部分。Mo膜215形成较大特征104、106和108中的每一个中的导电组件的部分。在替代实施例中,可以使用溅射或其它PVD工艺代替CVD来沉积Mo。
在图1a-1d和图2中的示例中,结构包含完全由ALD Mo填充的较小特征。本文描述的方法也可以通过仅包含部分由ALD Mo填充的特征的结构来实施。然后,如上文所描述,通过CVD或PVD W或CVD或PVD Mo完成特征填充。
本公开的另一方面涉及包含用ALD Mo填充特征和沉积牺牲表面覆盖层的方法。图3a-3c示出这种方法期间的结构的示例。首先,在图3a中,用ALD Mo膜111填充如图1a所示的结构。在图3a的示例中,所有特征都由ALD Mo膜111完全填充。在ALD填充工艺之后,在特征102、104、106和108上沉积一定量的ALD Mo膜。也可以在特征之间的结构上沉积ALD Mo膜。在图3b中,通过CVD在填充特征上沉积W膜113。与图1d中的W膜不同,图3b中的W膜113是纯牺牲膜。因此,在CMP之后,在特征102、104、106和108中只有ALD Mo膜111,如图3c所示。在替代实施例中,在牺牲膜处可以使用PVD W、CVD Mo或PVD Mo代替CVD W。
在本说明书的上下文中,CVD是指反应物同时在反应器中以气相存在并且通常同时引入的工艺,而ALD是指以顺序脉冲引入反应物且通常通过吹扫分离的工艺。可用于ALD和/或CVD反应以用导电材料填充特征的示例反应物和反应条件如下所示。
在一些实施例中,ALD依赖于将一种或多种反应物吸附到衬底表面,且依赖于吸附层与所要材料的后续化学转化。因为ALD使用在衬底表面上发生的顺序反应,这些反应在时间上分离,并且通常受到吸附反应物的量的限制,所以它提供了具有极好的台阶覆盖率的薄保形层。在以下描述中,含钼反应物被称为Mo前体并且与共反应物反应。
如下文进一步描述,在一些实施例中,Mo前体与还原剂共反应物反应以形成纯Mo膜。根据各种实施例,每个ALD循环可以从Mo前体或共反应物任一者的表面上的脉冲和吸附开始,然后是另一者的脉冲。在其它实施例中,Mo前体(例如,有机金属Mo前体)可与若干反应物顺序反应。另外,在其它实施例中,ALD工艺可涉及在没有共反应物的情况下分解Mo前体。
图4示出形成Mo膜的ALD方法的示例。首先,在操作405中,将Mo前体脉冲送入包含具有待填充特征的衬底的腔室中。下文提供Mo前体的示例。可以将前体以汽化形式引入例如氩气(Ar)、氦气(He)或氮气(N2)等惰性气体流中。在脉冲送入Mo前体之后,可以进行任选的吹扫415。可以使用氩气或任何惰性气体吹扫腔室中留在气相中的任何Mo前体。可以通过使惰性气体在固定压力下流动从而降低腔室的压力并且在发起另一次气体暴露之前对腔室重新加压来进行吹扫。吹扫可进行约0.25秒至约30秒、约0.25秒至约20秒、约0.25秒至约5秒、或约0.5秒至约3秒之间的持续时间。
在操作425中,使衬底暴露于共反应物。如上文所指示,共反应物可以是还原剂以还原Mo前体并形成元素Mo。在其它实施例中,与Mo前体反应以形成元素Mo的任何合适的一种或多种共反应物。反应物可以是含氢反应物。在一些实施例中,含氢反应物可以是热(非等离子体)氢气(H2)。
在基于等离子体的工艺中,可使用由H2产生的远程或原位等离子体。可以在435处执行任选的吹扫,然后重复操作405-435,直到在操作445中膜完全生长。根据各种实施例,这可能是当如上文关于图1a-3所描述部分或全部填充特征时。
钼沉积期间的衬底温度可在300℃至750℃之间,并且在特定实施例中,在450℃至550℃之间。衬底温度将取决于热预算和沉积化学物质。热预算取决于应用;虽然高沉积温度可能不是存储器应用的问题,但它可能超过逻辑应用的热预算。
图4中沉积的ALD Mo层形成了上文参考图1-3所描述特征中的全部或部分主体导电材料。在一些实施例中,其可以沉积在通过单独的ALD工艺形成的Mo成核层上。成核层是可用于支持主体沉积的薄保形层。在一些实施例中,使用含硼还原剂(例如,B2H6)或含硅还原剂(例如,SiH4)中的一种或多种作为共反应物来沉积Mo成核层。例如,可以使用一个或多个S/Mo循环或Mo/S循环来沉积Mo成核层。S/Mo是指硅烷的脉冲,然后是含Mo前体的脉冲。在另一示例中,可以使用一个或多个B/Mo循环或Mo/B循环来沉积其上沉积主体Mo层的Mo成核层。B/Mo和S/Mo循环(或Mo/B和/或Mo/S)都可以用于沉积Mo成核层,例如x(B/Mo)+y(S/Mo),其中x和y是整数。下文给出含B还原剂和含S还原剂的示例。对于Mo成核层的沉积,在一些实施例中,含Mo前体可以是非含氧前体,例如六氟化钼(MoF6)或六氯化钼(MoCl6)。含氧前体中的氧气可与含硅或含硼还原剂反应以形成MoSixOy或MoBxOy,它们是不纯的高电阻率膜。含氧前体可在氧气掺入最小化的情况下使用。在一些实施例中,H2可用作Mo成核层沉积的还原气体,而不是含硼或含硅的还原气体。沉积Mo成核层的示例厚度范围为
Figure BDA0004025992170000081
Figure BDA0004025992170000082
Figure BDA0004025992170000083
此范围下端的膜可能不是连续的;然而,只要它们能够帮助发起连续的主体Mo生长,厚度就足够了。
在一些实施例中,在成核或主体Mo层沉积期间的还原剂脉冲可以在比Mo前体脉冲更低的衬底温度下进行。例如,B2H6或SiH4(或其它含硼还原剂或含硅还原剂)脉冲可以在低于300℃的温度下执行,Mo脉冲在高于300℃的压力下执行。
在一些实施例中,Mo层的ALD形成可由还原剂层引发。图5中的流程图示出这种工艺的示例。在操作502中,将衬底暴露于还原剂气体以形成还原剂层。在一些实施例中,还原剂气体可以是硅烷、硼烷,或硅烷和乙硼烷的混合物。下文给出还原剂的另外的示例。在一些实施方案中,还原剂层可以包含硅或含硅材料、磷或含磷材料、锗或含锗材料、能够还原Mo前体的硼或含硼材料及其组合。根据各种实施例,可以或可以不在背景中运行氢气。(虽然氢气可以还原钨前体,但它在具有足够量的例如硅烷和乙硼烷等强还原剂的气体混合物中不起还原剂的作用。)在一些实施例中,还原剂气体是包含少量例如乙硼烷等含硼气体与另一种还原剂的混合物。添加少量含硼气体可以极大地影响其它还原剂的分解和粘附系数。应注意,可以执行将衬底顺序地暴露于两种还原剂,例如硅烷和乙硼烷。然而,使气体混合物流动可以促进添加非常少量的少数气体,例如至少100:1比例的硅烷与乙硼烷。在一些实施例中,可以使载气流动。在一些实施例中,可以在操作502期间使载气,例如氮气(N2)、氩气(Ar)、氦气(He)或其它惰性气体流动。
在一些实施例中,还原剂层可以包含元素硅(Si)、元素硼(B)、元素锗(Ge)或其混合物。例如,还原剂层可以包含元素Si和B。这与吸附的硅烷或乙硼烷分子不同,并且可以涉及还原剂气体中化合物的分解。可以调整B的量以实现还原剂层的高沉积速率但具有低电阻率。在一些实施例中,还原剂层可具有例如5%至80%的B,例如,或5%至50%的B、5%至30%的B、或5%至20%的B,余量基本上由Si组成,且在一些情况下由H组成。存在氢原子,例如SiHx、BHy、GeHz或其混合物,其中x,y和z可以独立地在0与小于对应还原剂化合物的化学计量当量的数字之间。在一些实施例中,在还原剂层的厚度上,组合物可以变化。例如,还原剂层可以在还原剂层的底部为20%的B并且在层的顶部为0%的B。还原剂层的总厚度可以在
Figure BDA0004025992170000091
Figure BDA0004025992170000092
之间,并且在一些实施例中,在
Figure BDA0004025992170000093
Figure BDA0004025992170000094
之间或
Figure BDA0004025992170000095
Figure BDA0004025992170000096
之间。还原剂层保形地符合特征。
操作502期间的衬底温度可维持在膜保形的温度T1。如果温度过高,膜可能不符合位于下面的结构的形貌。在一些实施例中,实现了大于90%或95%的台阶覆盖率。对于硅烷、乙硼烷和硅烷/乙硼烷混合物,在300℃时保形性极佳,并且在400℃或更高温度下可能降解。因此,在一些实施例中,操作502期间的温度为至多350℃,或甚至至多325℃,至多315℃,或至多300℃。在一些实施例中,使用低于300℃的温度。例如,温度可能低至200℃。
可以在任何合适的持续时间内执行操作502。在一些示例中,示例持续时间包含约0.25秒至约30秒、约0.25秒至约20秒、约0.25秒至约5秒、或约0.5秒至约3秒。
在操作504中,任选地吹扫腔室以去除未吸附到衬底表面的过量还原剂。可以通过使惰性气体在固定压力下流动从而降低腔室的压力并且在发起另一次气体暴露之前对腔室重新加压来进行吹扫。示例惰性气体包含氮气(N2)、氩气(Ar)、氦气(He)及其混合物。吹扫可进行约0.25秒至约30秒、约0.25秒至约20秒、约0.25秒至约5秒、或约0.5秒至约3秒之间的持续时间。
在操作506中,在衬底温度T2下将衬底暴露于Mo前体。使用含氧前体可引起杂质掺入和更高的电阻率。然而,如果加入氧气,则可以使用非常薄的、可能不连续的还原剂层以获得可接受的电阻率。在一些实施例中,可以在操作506期间使载气,例如氮气(N2)、氩气(Ar)、氦气(He)或其它惰性气体流动。温度示例是500℃至700℃。
可以在任何合适的持续时间内执行操作506。在一些实施例中,其可涉及Mo前体的浸泡,并且在一些实施例中,其可涉及Mo前体脉冲序列。根据各种实施例,可以或可以不在H2存在的情况下执行操作506。如果使用H2,则在一些实施例中,可以ALD型模式施加H2和含Mo前体。例如:
H2的脉冲
氩气吹扫
背景中含或不含H2的含Mo前体的脉冲
氩气吹扫
重复
衬底温度T2足够高,以使含Mo前体与还原剂层反应以形成元素Mo。整个还原剂层转换为Mo。在一些实施例中,温度至少为450℃,并且可至少为550℃,以获得100%或接近100%的转换率。所得到的特征现在衬有Mo的保形膜。其可以在
Figure BDA0004025992170000111
Figure BDA0004025992170000112
之间,且在一些实施例中,在
Figure BDA0004025992170000113
Figure BDA0004025992170000114
之间或
Figure BDA0004025992170000115
Figure BDA0004025992170000116
之间。通常,其厚度与还原剂层的厚度大致相同。在一些实施例中,由于转换期间的体积膨胀,其可比还原剂层厚高达5%。可以在操作508中吹扫腔室。
然后可执行参考图4所描述的ALD工艺。图5中描述的工艺可用于将Mo直接沉积在氧化物介电表面或包含例如氮化钛(TiN)的阻挡层的表面上。
在一些实施例中,可通过首先沉积金属氮化物或金属氮氧化物膜将ALD Mo膜直接沉积在氧化物或TiN阻挡表面上,在后续处理期间将金属氮化物或金属氮氧化物膜转换为纯金属膜。图6是示出沉积钼方法中操作的工艺流程图。在操作602中,通过ALD在结构上形成保形成核层。如上文所论述,这可以涉及将结构暴露于顺序脉冲Mo前体和还原剂的循环,在脉冲之间进行任选的吹扫。可以重复这些循环,直到在衬底上形成期望厚度的成核层。如上文所描述,前体和还原剂的顺序可以颠倒,使得序列可以由还原剂剂量开始,然后是含金属前体剂量。
在一些实施例中,还原剂是氨(NH3)或其它含氮还原剂,例如肼(N2H4)。NH3在电介质上的化学吸附比氢气(H2)在电介质上的化学吸附更有利。在一些实施例中,选择还原剂和前体,以使它们在没有还原剂解离的情况下反应。NH3与金属氯氧化物和金属氯化物反应而不解离。这与例如使用H2作为还原剂的来自金属氯氧化物的ALD形成对比;H2在表面上解离以形成吸附的原子氢,这导致在介电表面上金属的初始成核期间反应性物质的浓度非常低,并且表面覆盖率低。通过使用NH3和金属氯氧化物或金属氯化物前体,在沉积温度比相同金属前体的H2还原所使用的沉积温度低数百度时,成核延迟减少或消除。
在一些实施例中,还原剂可以是含硼或含硅还原剂,例如B2H6或SiH4。这些还原剂可与金属氯化物前体一起使用;然而,对于金属氯氧化物,B2H6或SiH4将与ALD工艺期间作为副产物形成的水反应,并形成固体B2O3和SiO2,它们是绝缘的并将保留在膜中,从而增加电阻率。与B2H6和SiH4 ALD工艺相比,NH3的使用也提高了在包含Al2O3在内的某些表面上的粘附性。所得成核层通常不是纯元素膜,而是金属氮化物或金属氮氧化物膜。在一些实施例中,可能存在来自沉积的残余氯或氟,特别是如果在低温下进行沉积时。在一些实施例中,残余氯或氟不超过痕量。在一些实施例中,成核层是非晶层。膜中的杂质(例如,氧、NH3、氯或其它卤素)有助于非晶微结构的生长。在一些实施例中,沉积的成核层是非晶金属氮氧化物层或非晶金属氮化物层。非晶特征对随后沉积的导体中的大晶粒生长进行模板化。氮化物或氮氧化物相对于氧化物表面的表面能比氧化物表面上金属的表面能更有利,有利于在电介质上形成连续且光滑的膜。这允许形成薄的连续层。沉积时成核层的示例厚度范围为
Figure BDA0004025992170000121
取决于温度,这可能是例如约5-50个ALD循环。
如下文所描述,在后续处理期间,成核层可随着厚度的减小而转换为纯(或不太纯)的元素金属膜。沉积成核层的表面取决于具体应用。在一些实施例中,成核层直接沉积在介电(例如,氧化硅、氧化铝、氮化硅等)表面上。在一些实施例中,成核层直接沉积在氮化钛或其它表面上。如下文进一步讨论的,通过执行操作602,可以在任何表面上执行后续的元素金属沉积。
沉积成核层之后,可以执行任选的操作604。在操作604中,执行钼前体和还原剂的脉冲的低温ALD循环。
“低”温是指操作604(如果执行)中的温度低于后续操作606。示例温度可以低于500℃、低于550℃、低于450℃、低于400℃或低于350℃。在此操作中,还原剂不同于操作602中的还原剂,并且具体示例可以是氢(H2)。具体地,H2可导致沉积具有比成核层中明显更少杂质的元素膜。在一些实施例中,温度可以与操作602中使用的温度相同。Mo前体也可以是与操作602中使用的相同或不同的前体。在一些实施例中,使用相同的前体,仅改变还原剂。在一些实施例中,操作604可促进氮化钼或氮氧化钼成核层转换为元素金属膜。根据各种实施例,操作604可以或可以不沉积主导体的可观量的膜。
在另外任选的操作606中,升高衬底温度。在执行操作604的一些实施例中,还执行操作606。在其它实施例中,可以仅执行操作606。例如,如果成核层沉积在相对低温(例如,低于400℃)下进行,则可以在操作606中将温度升高到将执行主导体沉积的较高温度。在一些实施例中,温度可高于500℃,并且在一些实施例中,温度高于600℃。在一些实施例中,可使用较低温度(例如,在400℃与500℃之间,包含端点)进行主体沉积。取决于先前操作的温度,可以升高也可以不升高温度。
然后,方法可以进行到操作608(从操作602、604或606中的任何一个),其中通过ALD沉积主体Mo层。如在操作604中(如果执行),可以将H2用作还原剂。
在操作604-608中的一个或多个操作期间,将成核层转换为元素Mo层。这也可以被表征为去除杂质,即任何非金属成分。成核层可以具有比随后沉积的元素Mo层更大的杂质,但是它们被充分去除,使得堆叠电阻率与不包含成核层的堆叠相同或类似。厚度也将减小;例如,沉积时
Figure BDA0004025992170000131
的膜可为堆叠贡献约
Figure BDA0004025992170000132
的金属。
根据各种实施例,可采用以下中的一种或多种促进成核层转换为元素Mo膜:1)在比沉积成核层的温度更高的温度(例如,550℃)下沉积主体Mo层,2)执行上文参考操作604描述的较低温度ALD H2/金属前体循环,以及3)主体Mo层的原位沉积,使得成核层在主体沉积之前不暴露于空气或以其它方式被氧化。特别是氯氧化钼相对容易转换为元素金属。所得转换成核层和纯金属层可以各自表征为具有少于1%的原子杂质。
在一些实施例中,沉积Mo的特征具有介电表面和金属表面。例如,可以在介电层中蚀刻特征以提供与位于下面的导体的触点。在此类实施例中,可以将Mo选择性地或非选择性地沉积到金属表面。选择性沉积是指相对于介电表面优先选择在例如Co、W或Cu表面等金属表面上沉积。可以将其量化为沉积速率的比率或在一定数目的沉积循环之后的沉积厚度的比率。对于具有底部金属表面的特征,选择性沉积产生自下而上的填充。非选择性沉积产生保形填充。为了选择性地沉积Mo,可以使用卤氧化钼,例如,MoxOxHalz,其中Hal是卤素(氟(F)、氯(Cl)、溴(Br)或碘(I)),并且x、y和z是可以形成稳定分子的大于零的任何数字。还原剂与卤氧化钼反应生成元素钼。在一些实施例中,还原剂是热氢或等离子体氢(H2)。温度影响选择性、晶粒大小和电阻。较高温度可能降低Mo膜的选择性,并导致介电表面的氧化物或氮化物以及含金属底部表面上的生长。然而,如果温度太低,杂质含量可能增加并且晶粒大小可能减小,从而增加电阻。衬底温度可在350℃与600℃之间(包含350℃和600℃),以使用含氯化学物质选择性沉积钼。随着温度降低,选择性提高。因此,在一些实施例中,对于含氯前体,衬底温度可以在约350℃与550℃之间,或350℃与450℃之间。含氟化学物质的基底温度可能更低,例如,150℃至350℃。对于非选择性沉积可以使用较高温度。
在一些实施例中,特征中的Mo的ALD填充可以涉及一个或多个抑制和/或蚀刻操作以调整填充。例如,可使用含氮化学物质抑制钼成核。在一些实施例中,钼表面暴露于N2等离子体或氨气,这可用于选择性地抑制特征顶部的进一步钼成核,以便于在特征底部处沉积和自下而上填充。可使用含卤素化学物质优先蚀刻在特征顶部沉积的钼,以促进在特征底部处沉积和自下而上填充。抑制和蚀刻操作可用于防止在填充特征中形成空隙和/或接缝。
用于钼材料ALD的Mo前体的示例包含例如MoF6和MoCl6的卤化钼、例如二氯二氧化物钼(MoO2Cl2)和四氯氧化钼(MoOCl4)的卤氧化钼,六羰基钼(Mo(CO)6)。式MoxOxHalz的其它卤氧化钼,其中Hal是卤素(氟(F)、氯(Cl)、溴(Br)或碘(I)),并且x、y和z是可以形成稳定分子的大于零的任何数字。这些包含四氟化氧化钼(MoOF4)、二溴二氧化钼(MoO2Br2)和碘氧化钼MoO2I和Mo4O11I。
在某些实施例中,有机金属前体也可与包含具有环戊二烯基配体的Mo前体的示例一起使用。另外的示例包含式Mo2Ln的前体,其中每个L独立地选自酰胺化物配体、脒基配体和胍基金属配体,其中n为2-5。Mo2Ln前体包含多钼钼键(例如,双键或键序为2-5的任何多键)。另外的示例包含含卤化物的混配钼化合物(即,具有不同类型的配体的化合物)。这种前体的具体示例是包含钼、与钼形成键的至少一种卤化物、以及具有N、O和S元素中的任何一种的至少一种有机配体的化合物,其中这些元素中的任意一种的原子与钼形成键。提供氮或氧键合的合适有机配体的示例包含脒盐、酰胺盐、亚氨基吡咯烷酸盐、二氮二烯、β-亚氨基酰胺、α-亚氨基醇盐、β-氨基醇盐,β-二酮亚胺盐、β-二酮氨酸盐、胺和吡唑盐。提供硫键合的合适的有机配体的示例包含硫醚、硫醇盐、二硫醚、二硫盐和α-亚氨基硫醚。这些配体可以被取代或未被取代。在一些实施例中,这些配体包含独立地选自由H、烷基、氟烷基、烷基硅烷基、烷基氨基和烷氧基取代基组成的群组的一个或多个取代基。有机配体可以是中性或阴离子型(例如,单阴离子型或双离子型),并且钼可以是多种氧化态,例如+1、+2、+3、+4、+5和+6。
如上所述,许多Mo前体与还原剂反应以形成Mo膜。还原剂的示例可以包含H2、含硼还原剂(包含乙硼烷(B2H6)和其它硼烷)、含硅还原剂(包含硅烷(SiH4)和其它硅烷)、肼和锗烷。硅烷的示例包含二硅烷(Si2H6),并且硼烷的示例包含BnHn+4、BnHn+6、BnHn+8、BnHm,其中n是1至10的整数,并且m是不同于m的整数。还可以使用其它含硼化合物,例如烷基硼烷、烷基硼、氨基硼烷(CH3)2NB(CH2)2、碳硼烷(例如,C2BnHn+2)。
在一些实施方案中,可以不使用单独的反应物,例如,含金属前体可以进行热分解或等离子体辅助分解。在一些实施例中,H2用作主体层沉积的还原剂,以沉积高纯度膜。
在一些实施例中,钼的ALD之后是CVD工艺。上文描述的Mo前体和共反应物可用于Mo的CVD。在此类工艺中,反应物同时在反应器中以气相存在。反应物通常(但不一定)同时引入反应器。在一个示例中,使MoF6和H2都流入反应器中进行CVD反应以形成Mo。
在一些实施例中,Mo的ALD之后是CVD工艺以沉积W。用于钨的CVD的含W前体的示例包含六羰基钨(W(CO)6)和卤化钨,例如六氟化钨(WF6)、六氯化钨(WCl6)和五氯化钨(WCl5)。在一些实施例中,可以使用卤氧化钨,包含WO2Cl2、WOBr4、WOCl4和WOF4。也可以使用有机金属前体,例如MDNOW(甲基环戊二烯基二羰基亚硝基钨)和EDNOW(乙基环戊二烯基二羰基亚硝基钨)。Mo或W的PVD可以通过靶材料的溅射沉积来执行。
在一些实施例中,在CVD Mo沉积之前,在ALD Mo层上沉积Mo成核层。在一些实施例中,CVD Mo主体层直接沉积在ALD Mo主体层上,而没有介入成核层。在如上文所描述的一些实施例中,可以通过ALD工艺沉积成核层。
在一些实施例中,在CVD W沉积之前,在ALD Mo层上沉积W成核层。在一些实施例中,CVD W主体层直接沉积在ALD W主体层上,而没有介入成核层。可以使用上文描述的W前体和还原剂通过ALD工艺沉积成核层。
在一些实施例中,在Mo或W的CVD或PVD沉积之前,对ALD Mo层进行处理。图7示出根据某些实施例的工艺,其中在CVD沉积之前,用金属卤化物处理ALD Mo膜。在图7中,在操作705,提供包含ALD Mo膜的衬底。如上文所指示,特征可以是部分制造的半导体装置的部分。在一些实施例中,衬底包含Mo和介电表面。例如,参考图1b,在一些实施例中,介电层109的表面可以以较大的特征(未示出)暴露。
Mo膜的表面可以包含暴露于空气或其它氧化环境而形成的氧化物。可以将衬底提供给处理室,如下文进一步描述。在操作715中,将Mo膜暴露于金属卤化物。将金属卤化物作为气体提供给容纳衬底的腔室,并且可以将其脉冲送入或连续地流入腔室。金属卤化物可以有效地减少特征的底部表面上的任何氧化物,而对衬底上的介电表面几乎没有损坏。这与其它卤化物处理不同,后者会损坏电介质。例如,三氟化氮蚀刻电介质,从而使得特征临界尺寸增加。卤化物化合物比例如氨或肼的其它还原剂更有效地去除氧化层。
金属卤化物是挥发性的或具有足够的蒸气压以在衬底温度或低于衬底温度下输送至衬底的任何金属卤化物。操作715期间的示例衬底温度范围为100℃至450℃,且在一些实施例中为350℃至450℃。对于一些金属卤化物,较高温度可能产生电介质蚀刻。金属卤化物可以包含任何合适的金属,包含Mo、W、铬(Cr)、钛(Ti)、钽(Ta)和钒(V),以及任何卤化物,包含氟F、Cl、Br和I。可以使用的卤化钨的示例包含WF6、WCl6、五氯化钨WCl5和六溴化钨WBr6。可以使用的卤化钼的示例包含MoF6和MoCl6。可以使用的卤化铌的示例包含五氯化铌(NbCl5)、四碘化铌(NbI4)和五溴化铌(NbBr5)。可以使用的卤化钽的示例包含五氟化钽(TaF5)、五碘化钽(TaI5)和五氯化钽(TaCl5)。可以使用的卤化钒的示例包含五氟化钒(VF5)。可以使用的卤化铬的示例包含五氟化铬(CrF5)和二碘化铬(CrI2)。可以使用的卤化钛的示例包含四氯化钛(TiCl4)。
金属卤化物可与例如氩气(Ar)、氦气(He)等惰性气体混合。这可用于稀释金属卤化物并控制还原速率。操作315期间的腔室压力的示例范围为1至30托。处理时间的范围可以是2秒至4分钟,或2秒至60秒。在一些实施例中,处理时间可以是约2分钟至3分钟。
应理解,暴露于特定金属卤化物可以包含暴露于气体源、气体入口和/或腔室中形成的其它卤化物。例如,WBr6可分解为五溴化钨(WBr5)和四溴化钨(WBr4),并且WF6可分解为五氟化钨(WF5)和四氟化钨(WF4)。金属卤化物可以采取各种形式,包含二聚体和其它低聚物;例如,MoCl5形成二聚体Mo2Cl10。金属卤化物可以是无氧的。(一些金属卤氧化物,四氯氧化钼(MoOCl4)可以蚀刻/还原金属氧化物,然而,它们通常不如金属卤化物有效。上文参考ALD或CVD沉积列出了其它金属卤氧化物。)特定金属卤化物的选择取决于金属氧化物对氧化硅或其它介电材料的蚀刻选择性。在725,在Mo膜上沉积W。这可以在没有成核层的情况下完成。操作725可涉及CVD或PVD工艺。
虽然图7示出在Mo上沉积W的示例,但ALD Mo层的金属卤化物处理可以在根据集成方案沉积任何导电材料之前进行。
操作715和725可以在同一腔室或可以或可以不在共同真空下集成的不同腔室中执行。在一些实施例中,这些操作在多工位腔室的不同工位中执行。
在一些实施例中,在操作715期间,特征表面可能容易从金属卤化物中引入卤素。操作725可以使用相对高温来帮助解吸或以其它方式去除任何引入的卤素。在一些实施例中,在相对高温下暴露于还原气体(如H2)可用于去除残余卤素。此类操作可以在操作715与725之间进行。
根据各种实施例,ALD Mo膜和随后沉积的CVD膜可以沉积在相同或不同的腔室中。下文提供沉积ALD Mo和/或CVD Mo或CVD W的设备的更多描述。PVD沉积通常在与ALD Mo分离的腔室中进行。在ALD Mo膜和CVD Mo或CVD W膜在同一腔室中或在共同真空下的不同腔室中沉积的实施例中,可以在ALD Mo沉积之后执行CVD Mo或W沉积,而无需介入金属卤化物处理。
设备
如上文所指示,ALD和CVD操作可以在相同或不同的腔室中以及在相同或不同的工位中执行。图8描绘可用于ALD和/或CVD的工艺工位800的示例的示意图。工艺工位800与反应物输送系统801a流体连通,用于将工艺气体输送至分配喷淋头806。反应剂输送系统801a包含混合器皿804,用于搅拌和/或调节工艺气体(例如,用于沉积的含金属前体气体和含氢气体)以输送至喷淋头806。一个或多个混合器皿入口阀820可以控制将工艺气体引入混合器皿804。
图8的实施例包含汽化点805,用于将工艺固体供应到混合器皿804。在另一情况下,汽化工艺固体可以直接供应到喷淋头806。汽化可以是升华或从固体到液体再到蒸汽。除WF6和MoF6外,金属卤化物在室温下通常为固体。
例如,图8的实施例包含汽化点803,用于汽化供应到混合器皿804的液体反应物。在一些实施例中,汽化点803可以是加热的汽化器。在一些实施例中,液体前体或液体反应物可在液体注射器(未示出)处汽化。例如,液体注射器可以将液体反应物的脉冲注入到混合器皿804上游的载气流中。在一个实施例中,液体注射器可以通过将液体从较高压力闪蒸至较低压力来汽化反应物。在另一示例中,液体注射器可以将液体雾化成分散的微滴,随后在加热的输送管道中汽化微滴。较小的液滴可以比较大的液滴更快地汽化,从而减少液体注入与完全汽化之间的延迟。更快的汽化可以减少汽化点803下游的管道长度。在一种情况下,液体注射器可以直接安装到混合器皿804。在另一情况下,液体注射器可以直接安装到喷淋头606。
在一些实施例中,可在汽化点803上游设置液体流量控制器(LFC),用于控制用于汽化并输送至工艺腔室802的液体质量流量。例如,LFC可以包含位于LFC下游的热质量流量计(MFM)。然后可以响应于与MFM电连通的比例-积分-微分(PID)控制器提供的反馈控制信号来调节LFC的柱塞阀。然而,使用反馈控制来稳定液体流量可能需要一秒钟或更长时间。这可能延长液体反应物的配量时间。因此,在一些实施例中,LFC可以在反馈控制模式与直接控制模式之间动态切换。在一些实施例中,这可以通过禁用LFC和PID控制器的感测管来执行。
喷淋头806朝向衬底812分配工艺气体。在图8所示的实施例中,衬底812位于喷淋头806的下方,并且被示为搁置在基座808上。喷淋头806可以具有任何合适的形状,并且可以具有用于将工艺气体分配到衬底812的任何合适数量和布置的端口。
在一些实施例中,可升高或降低基座808,以将衬底812暴露在衬底812与喷淋头806之间的空间中。在一些实施例中,可以经由加热器810对基座808进行温度控制。基座808可以设置为任何合适的温度,例如在用于执行各种所公开实施例的操作期间在大约150℃与大约600℃之间。应了解,在一些实施例中,可以通过适当的计算机控制器850以编程方式调节基座高度。在工艺阶段结束时,可在另一衬底转移阶段期间降低基座808,以允许从基座808去除衬底812。
在一些实施例中,可以相对于基座808调节喷淋头806的位置,以改变衬底812与喷淋头806之间的体积。此外,应了解,在本公开的范围内,可以通过任何合适的机构改变基座808和/或喷淋头806的竖直位置。在一些实施例中,基座808可以包含用于旋转衬底812的定向的旋转轴。应了解,在一些实施例中,可以通过一个或多个适当的计算机控制器850以编程方式执行这些示例调节中的一个或多个。
在等离子体可用于PECVD或PEALD的一些实施例中,喷淋头806和基座808与射频(RF)电源814和匹配网络816电连通,用于为等离子体供电。在一些实施例中,可以通过控制工艺工位压力、气体浓度、RF源功率、RF源频率和等离子体功率脉冲定时中的一个或多个来控制等离子体能量。例如,RF电源814和匹配网络816可以以任何合适的功率操作,以形成具有所需自由基种类组合物的等离子体。同样,RF电源814可以提供任何合适频率的RF功率。在一些实施例中,RF电源814可以被配置成彼此独立地控制高频和低频RF电源。示例低频RF频率可以包含但不限于0kHz与900kHz之间的频率。示例高频RF频率可以包含但不限于1.8MHz与2.45GHz之间的频率,或大于约13.56MHz,或大于27MHz,或大于80MHz,或小于60MHz。应了解,可以离散地或连续地调制任何合适的参数,从而为表面反应提供等离子体能量。
在一些实施例中,可以通过一个或多个等离子体监测器就地监测等离子体。在一种情况下,可以通过一个或多个电压、电流传感器(例如,VI探针)监测等离子体功率。在另一种情况下,可以通过一个或多个光学发射光谱学传感器(OES)测量等离子体密度和/或工艺气体浓度。在一些实施例中,可以基于来自此类原位等离子体监测器的测量结果以编程方式调节一个或多个等离子体参数。例如,可以在反馈回路中使用OES传感器,用于提供等离子体功率的编程控制。应了解,在一些实施例中,可以使用其它监测器来监测等离子体和其它工艺特性。此类监测器可以包含但不限于红外(IR)监测器、声学监测器和压力传感器。
在一些实施例中,可以经由输入/输出控制(IOC)排序指令提供控制器850的指令。在一个示例中,用于设置工艺阶段的条件的指令可以包含在工艺配方的对应配方阶段中。在一些情况下,可以顺序地布置工艺配方阶段,使得用于工艺阶段的所有指令与所述工艺阶段同时执行。在一些实施例中,用于设置一个或多个反应器参数的指令可以包含在配方阶段中。
例如,对于ALD沉积,第一配方阶段可以包含用于调制第一反应气体(例如,Mo前体气体)的流速的指令、用于调制载气或吹扫气体的流速的指令以及用于第一配方阶段的时间延迟指令。第二后续配方阶段可以包含用于调制或停止反应气体(例如,H2)的流速的指令,以及用于调制载气或吹扫气体的流速的指令和用于第二配方阶段的时间延迟指令。应了解,在本公开的范围内,可以以任何合适的方式进一步细分和/或迭代这些配方阶段。
此外,在一些实施例中,可以通过蝶阀818提供工艺工位800的压力控制。如图8的实施例所示,蝶阀818限制由下游真空泵(未示出)提供的真空。然而,在一些实施例中,也可以通过改变引入到工艺工位800中的一种或多种气体的流速来调节工艺工位800的压力控制。
如上文所描述,可以在单工位腔室或多工位腔室的单个工位中、多工位腔室的不同工位中或在不同腔室中执行ALD Mo和后续CVD操作。如果在不同腔室中执行,这些腔室可以在共同真空环境下集成以防止ALD Mo沉积的氧化。类似地,金属卤化物处理(如果执行)可以在与后续CVD处理相同或不同的腔室中执行。它们也可以处于共同真空下,以防止在金属卤化物处理和金属氧化物去除之后发生氧化。在一些实施例中,它们可以不与金属卤化物处理集成,从而至少在相对短的时间内提供钝化效果以防止氧化。
图9示出包含多个腔室的处理系统的示例。系统900包含转移模块903。转移模块903提供了清洁的真空环境,以最大限度地减少当被处理的衬底在各个反应器模块之间移动时衬底的污染风险。根据实施例,安装在转移模块903上的是能够执行ALD和CVD的多工位反应器909。在一些实施例中,反应器909也在CVD之前执行金属卤化物暴露。成核层沉积(如果进行)可以在与随后的主体层沉积相同或不同的工位或腔室中执行。
反应器909可以包含多个工位911、913、915和917,它们可以根据所公开的实施例顺序地执行操作。例如,反应器909可以被配置成使得工位911执行ALD Mo沉积,工位911执行如关于图7所描述的金属卤化物还原处理,并且工位915和917执行主体层沉积CVD。在另一示例中,反应器909可以被配置成使得工位911执行如关于图6所描述的ALD成核层沉积,工位913执行主体Mo的ALD沉积,并且工位915和917执行CVD。
多工位反应器中可以包含两个或更多个工位,例如2至6个,其中操作适当地分布。例如,两工位反应器可以被配置成在第一工位中使衬底暴露于金属卤化物,然后在第二工位中进行CVD沉积。如上文关于图8所描述,工位可以包含加热基座或衬底支撑、一个或多个气体入口或喷淋头或分散板。
转移模块903上还可以安装一个或多个单工位模块或多工位模块907。在一些实施例中,可以在模块907中执行ALD,之后在真空下将衬底转移到另一模块(例如,另一模块907或反应器909)以进行CVD或PVD沉积。
系统900还包含一个或多个晶片源模块901,在处理之前和之后存储晶片。大气转移腔室919中的大气机器人(未示出)可以首先将晶片从源模块901移到装载锁921。转移模块903中的晶片转移装置(通常为机器人臂单元)将晶片从装载锁921移动到转移模块903上安装的模块之间。
在一些实施例中,Mo的ALD沉积在第一腔室中执行,第一腔室可以是类似系统900的系统的一部分,而W或其它导电材料的CVD或PVD沉积在另一腔室中执行,所述另一腔室可以不耦合到共同转移模块,而是另一系统的部分。在这些情况下,可以将衬底提供给另一系统的源模块,用于任选的金属卤化物处理和CVD沉积或PVD沉积。
在各种实施例中,系统控制器929用于在沉积期间控制工艺条件。控制器929通常将包含一个或多个存储器装置和一个或多个处理器。处理器可以包含CPU或计算机、模拟和/或数字输入/输出连接件、步进式电机控制器板等。
控制器929可控制沉积设备的所有活动。系统控制器929执行系统控制软件,包含用于控制特定工艺的定时、气体混合、腔室压力、腔室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和其它参数的指令集。在一些实施例中,可以采用存储在与控制器929相关联的存储器装置上的其它计算机程序。
通常会有与控制器929相关联的用户界面。用户界面可以包含显示屏、设备和/或工艺条件的图形软件显示器以及例如指向装置、键盘、触摸屏、麦克风等的用户输入装置。
系统控制逻辑可以以任何合适的方式配置。通常,可以在硬件和/或软件中设计或配置逻辑。用于控制驱动电路的指令可以被硬编码或作为软件提供。指令可以通过“编程”来提供。这种编程被理解为包含任何形式的逻辑,包含数字信号处理器中的硬编码逻辑、专用集成电路和具有实施为硬件的特定算法的其它装置。编程还被理解为包含可以在通用处理器上执行的软件或固件指令。可以任何合适的计算机可读编程语言编码系统控制软件。
可按任何常规计算机可读编程语言编写用于控制含锗还原剂脉冲、氢气流和含钨前体脉冲以及工艺序列中的其它工艺的计算机程序代码:例如汇编语言、C、C++、Pascal、Fortran等。由处理器执行编译的目标代码或脚本以执行程序中标识的任务。还如所指示,程序代码可以是硬编码的。
控制器参数与过程相关,例如过程气体组成和流速、温度、压力、冷却气体压力、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可以使用用户界面输入。
用于监测过程的信号可以由系统控制器929的模拟和/或数字输入连接提供。用于控制过程的信号在沉积设备的模拟和数字输出连接上输出。
系统软件可以以许多方式设计或配置。例如,根据所公开的实施例,可以编写各种室组件子例程或控制对象以控制执行沉积过程所需的室组件的操作。用于此目的的程序或程序部分的实例包含衬底定位代码、过程气体控制代码、压力控制代码和加热器控制代码。
在一些实施方案中,控制器929是可以是上述实例的一部分的系统的一部分。此类系统可包含半导体处理设备,包含一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或专有处理组件(晶片底座、气体流动系统等)。这些系统可与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。所述电子器件可以称为“控制器”,它可以控制系统的各个组件或子部分。取决于处理要求和/或系统类型,控制器929可以被编程为控制本文公开的任何过程,包含处理气体的递送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、一些系统中的射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体递送设置、位置和操作设置、晶片传入和传出工具以及连接到特定系统或与特定系统介接的其它传送工具和/或预真空锁。
广义地说,控制器可以被定义为具有各种集成电路、逻辑、存储器和/或软件的电子器件,其接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等。集成电路可包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器,或执行程序指令(例如软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传达给控制器的指令,其定义用于在半导体晶片上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施例中,操作参数可以是过程工程师定义的配方的一部分,以在晶片的一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造期间完成一个或多个处理步骤。
在一些实施方案中,控制器929可以是计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统,或者以其它方式联网到系统,或其组合。例如,控制器929可以位于“云”中,或者位于制造厂主计算机系统的全部或一部分中,这可以允许远程访问晶片处理。计算机可以允许远程访问系统以监测制造操作的当前进度,检查过去制造操作的历史,检查来自多个制造操作的趋势或性能度量,改变当前处理的参数,设置处理步骤以跟随当前处理,或开始新的过程。在一些实例中,远程计算机(例如服务器)可以通过网络向系统提供过程配方,网络可包含本地网络或因特网。远程计算机可包含用户界面,所述用户界面能够输入或编程参数和/或设置,然后将其从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,所述指令指定在一个或多个操作期间要执行的每个处理步骤的参数。参数可以特定于要执行的过程的类型以及控制器被配置为与之介接或控制的工具的类型。因此,如上文所描述,控制器可以是分布式的,例如通过包含一个或多个离散控制器,这些离散控制器联网在一起并朝着共同的目的工作,例如本文所述的过程和控制。用于这种目的的分布式控制器的实例将是室上的一个或多个集成电路,所述集成电路与远程定位(例如在平台级或作为远程计算机的一部分)的组合控制室上的过程的一个或多个集成电路通信。
不受限制,示例系统可包含等离子体蚀刻室或模块、沉积室或模块,旋转冲洗室或模块、金属电镀室或模块、洁净室或模块、斜面边缘蚀刻室或模块、PVD室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块,以及可以关联或用于半导体晶片的制造和/或生产的任何其它半导体处理系统。
如上文所提到,取决于将由工具执行的一个或多个过程步骤,控制器可与其它工具电路或模块、其它工具组件、群集工具、其它工具接口、邻近工具、相邻工具、位于整个工厂的工具、主计算机、另一控制器或用于材料传输的将晶片容器带到半导体制造工厂中的工具位置和/或负载端口和从半导体制造工厂中的工具位置和/或负载端口带出的工具中的一个或多个通信。
控制器929可包含各种程序。衬底定位程序可包含用于控制室组件的程序代码,所述室组件用于将衬底负载到底座或卡盘上,并控制衬底和例如气体入口和/或目标的室其它部分之间的间隔。过程气体控制程序可包含用于控制气体组成、流速、脉冲时间的代码,以及可选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包含用于通过调节例如室的排气系统中的节流阀来控制室中的压力的代码。加热器控制程序可包含用于控制到用于加热衬底的加热单元的电流的代码。替代地,加热器控制程序可以控制传热气体例如氦气到晶片卡盘的递送。
可在沉积期间监测的室传感器的实例包含质量流量控制器、压力传感器(如压力计)和位于底座或卡盘中的热电偶。适当编程的反馈和控制算法可以与来自这些传感器的数据一起使用,以保持期望的工艺条件。
前面描述了在单室或多室半导体处理工具中实施所公开的实施例。本文描述的设备和工艺可以与光刻图案化工具或工艺结合使用,例如,用于制造或生产半导体装置、显示器、LED、光伏面板等。通常但不一定,但此类工具/工艺将在公共制造设施中一起使用或进行。膜的光刻图案化通常包含以下步骤中的一些或全部,每个步骤都提供了许多可能的工具:(1)使用旋涂或喷涂工具在工件(即衬底)上涂覆光致抗蚀剂;(2)使用热板或炉或UV固化工具固化光致抗蚀剂;(3)用例如晶片步进器的工具将光致抗蚀剂暴露于可见光或UV光或x射线光;(4)显影所述抗蚀剂以选择性地去除抗蚀剂,从而使用例如湿台的工具对其进行图案化;(5)通过使用干式或等离子体辅助蚀刻工具将所述抗蚀剂图案转移到下面的膜或工件中;以及(6)使用例如RF或微波等离子体抗蚀剂剥离器的工具去除抗蚀剂。
结论
尽管出于清楚理解的目的已经在一些细节上描述了前述实施例,但是显而易见的是,可以在所附权利要求的范围内实施某些更改和修改。应该注意的是,存在许多实施本实施例的过程、系统和设备的替代方式。因此,本实施例被认为是说明性的而非限制性的,并且实施例并不限于文中给出的具体内容。

Claims (22)

1.一种方法,其包括:
通过原子层沉积(ALD)在包含多个特征的结构中沉积钼(Mo)主体层,以至少部分地用Mo填充所述多个特征;以及
通过化学气相沉积(CVD)或物理气相沉积(PVD)在所述Mo主体层上沉积钨(W)主体层。
2.根据权利要求1所述的方法,其中所述多个特征包含具有第一临界尺寸的第一组一个或多个特征和具有第二临界尺寸的第二组一个或多个特征,所述第一临界尺寸小于所述第二临界尺寸,并且其中通过沉积所述Mo主体层来完成所述第一组的所述特征的填充并且通过沉积所述W主体层来完成所述第二组的所述特征的填充。
3.根据权利要求1所述的方法,其中通过所述W主体层来完成所述多个特征中的至少一些的所述填充。
4.根据权利要求1所述的方法,其中所述W主体层仅沉积在所述多个特征的顶部上方而不沉积在所述多个特征内。
5.根据权利要求4所述的方法,还包括去除所有所述W主体层。
6.根据权利要求1所述的方法,其中所述多个特征在所述特征中的任何Mo沉积之前包括氧化物表面。
7.根据权利要求6所述的方法,其中在所述多个特征中形成Mo,而在所形成的Mo与所述氧化物表面之间没有设置阻挡层。
8.根据权利要求1所述的方法,还包括在沉积所述Mo主体层之前沉积成核层。
9.根据权利要求8所述的方法,其中沉积所述成核层包括形成氮化钼或氮氧化钼层。
10.根据权利要求9所述的方法,还包括将所述氮化钼或氮氧化钼层转换成钼。
11.根据权利要求1所述的方法,其中所述Mo主体层和所述W主体层沉积在同一腔室中。
12.根据权利要求11所述的方法,其中所述Mo主体层和所述W主体层沉积在同一腔室的不同工位中。
13.根据权利要求1所述的方法,其中所述Mo主体层和所述W主体层沉积在不同腔室中。
14.根据权利要求13所述的方法,其中所述不同腔室耦合到共同真空环境。
15.根据权利要求14所述的方法,其中所述不同腔室不耦合到共同真空环境。
16.根据权利要求1所述的方法,还包括在沉积所述W主体层之前,用金属卤化物处理沉积的Mo主体层的表面。
17.根据权利要求1所述的方法,其中通过原子层沉积(ALD)沉积Mo主体层包括将所述结构暴露于Mo前体和共反应物的交替脉冲。
18.根据权利要求17所述的方法,其中所述Mo前体是卤化钼或卤氧化钼。
19.根据权利要求18所述的方法,其中所述Mo前体是以下各项中的一种:六氟化钼(MoF6)、六氯化钼(MoCl5)、二氯二氧化物钼(MoO2Cl2)、四氯氧化钼(MoOCl4)、六羰基钼(Mo(CO)6)、(MoOF4)、二溴二氧化钼(MoO2Br2)、MoO2I和Mo4O11I。
20.根据权利要求1所述的方法,其中所述Mo前体是有机金属前体。
21.一种方法,其包括:
将包含第一组特征的结构提供到腔室;
通过原子层沉积(ALD)在包含第一组特征的结构中沉积钼(Mo)主体层,以用Mo部分地填充特征;以及
将包含部分填充有Mo的所述特征的所述结构转移出所述腔室。
22.一种方法,其包括:
将包含第一组特征的结构提供到腔室,其中所述第一组特征至少部分地填充有钼(Mo);以及
在所述Mo上沉积钨(W)主体层。
CN202180046398.0A 2020-11-19 2021-11-04 低电阻率触点和互连件 Pending CN115943487A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198880P 2020-11-19 2020-11-19
US63/198,880 2020-11-19
PCT/US2021/058099 WO2022108762A1 (en) 2020-11-19 2021-11-04 Low resistivity contacts and interconnects

Publications (1)

Publication Number Publication Date
CN115943487A true CN115943487A (zh) 2023-04-07

Family

ID=81709671

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180046398.0A Pending CN115943487A (zh) 2020-11-19 2021-11-04 低电阻率触点和互连件

Country Status (5)

Country Link
JP (1) JP2023550331A (zh)
KR (1) KR20230104071A (zh)
CN (1) CN115943487A (zh)
TW (1) TW202239998A (zh)
WO (1) WO2022108762A1 (zh)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090304914A1 (en) * 2006-08-30 2009-12-10 Lam Research Corporation Self assembled monolayer for improving adhesion between copper and barrier layer
JP2015177006A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法
US20160309596A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Methods for forming cobalt interconnects
US9837350B2 (en) * 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
TWI839906B (zh) * 2017-08-30 2024-04-21 荷蘭商Asm Ip私人控股有限公司 層形成方法
WO2020028587A1 (en) * 2018-07-31 2020-02-06 Lam Research Corporation Multi-layer feature fill

Also Published As

Publication number Publication date
TW202239998A (zh) 2022-10-16
WO2022108762A1 (en) 2022-05-27
JP2023550331A (ja) 2023-12-01
KR20230104071A (ko) 2023-07-07

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
US10731250B2 (en) Depositing ruthenium layers in interconnect metallization
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN112513323A (zh) 纯金属膜的沉积
US20220328317A1 (en) Molybdenum deposition
US20230326790A1 (en) Low resistivity contacts and interconnects
CN109791914B (zh) 用于互连结构的复合介电界面层
CN113169056A (zh) 用于钨的钼模板
CN113366144A (zh) 金属膜的沉积
CN109216205B (zh) 氮化钨阻挡层沉积
JP2024514605A (ja) モリブデンの堆積
CN115943487A (zh) 低电阻率触点和互连件
US20240234208A1 (en) Void free low stress fill
CN118318294A (zh) 金属填充中的梯度衬垫
WO2023114106A1 (en) Large grain tungsten growth in features

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination