CN114667600A - 钼填充 - Google Patents

钼填充 Download PDF

Info

Publication number
CN114667600A
CN114667600A CN202080072306.1A CN202080072306A CN114667600A CN 114667600 A CN114667600 A CN 114667600A CN 202080072306 A CN202080072306 A CN 202080072306A CN 114667600 A CN114667600 A CN 114667600A
Authority
CN
China
Prior art keywords
layer
molybdenum
precursor
features
feature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080072306.1A
Other languages
English (en)
Inventor
劳伦斯·施洛斯
施卢蒂·维维克·托姆贝尔
闫仲伯
帕特里克·A·范克利蒙布特
约瑟亚·科林斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN114667600A publication Critical patent/CN114667600A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

用钼(Mo)填充特征的方法的实施方案包括在包含有开口和内部的特征中沉积第一Mo层,并非保形地处理第一层,使得开口附近的区域比内部的区域被优先处理。在一些实施方案中,第二Mo层沉积于经处理的第一层上。用Mo填充特征的方法的实施方案包括控制Mo前体通量,以在保形与非保形填充之间转变。

Description

钼填充
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
材料(包括含钨材料)的沉积是许多半导体制造处理的不可缺的部分。这些材料可用于水平互连、相邻金属层之间的通孔、以及金属层与设备之间的接触。在沉积的示例中,可使用WF6通过化学气相沉积(CVD)处理将钨层沉积在氮化钛(TiN)阻挡层上以形成TiN/W双层。然而,随着设备收缩及产业中采用更复杂的图案化方案,薄钨膜的沉积成为一项挑战。特征尺寸及膜厚度上持续的减小对TiN/W膜堆叠件带来诸多挑战。这些包括较薄膜的高电阻率和TiN阻挡性能的劣化。在复杂的高深宽比结构(诸如3D NAND结构)中进行沉积特别具挑战性。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本公开的一个方面涉及一种方法,其包括:提供衬底,其包括一或更多个特征,所述一或更多个特征中的每一个具有至少一个开口以及能通过所述至少一个开口流体进入的内部区域;在所述一或更多个特征中沉积第一钼层;以及非保形地处理所述第一层,使得所述处理相对于所述第一层的更远地位于所述特征的所述内部区域中的部位而优先施加在所述第一层的位于所述一或更多个特征的所述至少一个开口附近的部位处。
在一些实施方案中,非保形地处理所述第一层包括非保形地抑制所述第一层。在一些实施方案中,非保形地处理所述第一层包括非保形地蚀刻所述第一层。
在一些实施方案中,处理所述第一层是在沉积所述第一钼层期间执行。在一些这样的实施方案中,所述方法包括在使得前体通量或浓度较接近所述一或更多个特征的所述至少一个开口的条件下,将所述一或更多个特征暴露于钼前体。在一些这样的实施方案中,所述钼前体为氧卤化钼。在一些这样的实施方案中,所述氧卤化钼在所述一或更多个特征的所述一或更多开口附近因蚀刻反应而具有比在所述内部区域中更低的生长速率。在一些这样的实施方案中,所述氧卤化钼在所述一或更多个特征的所述一或更多开口附近因抑制处理而具有比在所述内部区域中更低的生长速率。
在一些实施方案中,处理所述第一层在沉积所述第一钼层之后执行。
在一些实施方案中,处理所述第一层包括将其暴露于抑制化学物质。在一些实施方案中,所述抑制化学物质为含氮化学物质。在一些实施方案中,处理所述第一层包括在将其暴露于含氮化学物质之后,将其暴露于氢气与钼前体中的一者或更多者。
在一些实施方案中,处理所述第一层包括将其暴露于蚀刻化学物质。在一些这样的实施方案中,所述蚀刻化学物质为含卤素化学物质。在一些这样的实施方案中,处理所述第一层包括在将其暴露于含卤素化学物质之后,清扫容纳所述衬底的室。
在一些实施方案中,处理所述第一层包括将其暴露于含氮化合物。在一些实施方案中,处理所述第一层包括将其暴露于含卤素化合物。在一些实施方案中,所述处理所述第一层包括将其暴露于氨或三氟化氮。在一些实施方案中,处理所述第一层为非等离子体的热处理。在一些实施方案中,处理所述第一层为等离子体处理。
在上述方法中的任一种中,沉积所述第一钼层可以包括原子层沉积处理,其使用H2作为用于氧卤化钼或卤化钼前体的还原剂。
在上述方法中的任一种中,所述方法可以进一步包括:在非保形地处理所述第一层之后,进一步包括沉积第二钼层。在一些这样的实施方案中,沉积所述第二层包括原子层沉积处理,其使用H2作为用于氧卤化钼或卤化钼前体的还原剂。
根据多种实施方案,所述衬底包括具有多个侧壁的3-D结构,其中所述一或更多个特征的所述一或更多个开口为所述侧壁中的开口。根据多种实施方案,所述一或更多个特征具有封闭端。
本公开的另一方面涉及一种方法,其包括:使用钼(Mo)前体,在第一Mo前体流速、Mo前体分压以及Mo前体投配时间下在特征中沉积保形Mo层;以及增加Mo前体流速、Mo前体分压以及Mo前体投配时间中的至少一者,以增加所述特征开口处的Mo前体通量,因而在所述保形Mo层上沉积第二Mo层。在一些这样的实施方案中,所述Mo前体为氧氯化钼。
本公开的另一方面涉及一种装置,其包括:处理室,其具有各自配置成保持衬底的一或更多个站;一或更多个处理气体入口,其被配置成耦合至氢(H2)气体源、钼前体气体源、惰性清扫气体源以及处理气体源;以及用于控制所述装置中的操作的控制器,其包括机器可读指令以用于:(a)通过所述一或更多处理气体入口,使H2与钼前体脉冲交替进入所述处理室中,以在衬底上沉积第一钼层;(b)在(a)之后,使处理气体进入以处理所述第一钼层;以及(c)在(b)之后,通过所述一或更多个处理气体入口,使H2与钼前体脉冲交替进入所述处理室中,以在衬底上沉积第二钼层。
本公开的还有的另一方面涉及一种装置,其包括:处理室,其具有各自配置成保持衬底的一或更多个站;一或更多个处理气体入口,其被配置成耦合至氢(H2)气体源、钼前体气体源、惰性清扫气体源以及处理气体源;以及用于控制所述装置中的操作的控制器,其包括机器可读指令以用于:(a)通过所述一或更多处理气体入口,使H2与钼前体进入所述处理室中,以在衬底上沉积第一钼层;以及(b)在(a)之后,改变Mo前体流速或投配时间中的一或更多者,以在衬底上沉积第二钼层。
这些以及其他方面在下文参考附图进一步描述。
附图说明
图1A及1B为根据多种实施方案的包括钼(Mo)的材料堆叠件的示意性示例。
图2A-2H为根据所公开的实施方案可将钼沉积至其中的多种结构的示意性示例。
图3为示出根据多种实施方案的用钼填充结构的操作的流程图。
图4示出了执行用钼填充3D NAND结构的处理的示例。
图5示出执行以钼填充3D NAND结构的处理的另一示例。
图6A为示出根据多种实施方案的处理钼膜的操作的处理图。
图6B示出了包括较窄且较密特征以及较宽且较不密特征的结构的示意性示例,以及可如何通过控制钼前体通量来改变钼膜的台阶覆盖率。
图6C为示出根据多种实施方案的用钼填充结构的操作的处理图。
图6D为示出沉积的钼厚度与钼前体流速的函数关系图。
图7为可用于实施本文所述方法的装置的示例。
图8为示出形成钼膜的ALD方法中的操作的处理图。
图9为示出使用还原剂层形成钼膜的操作的处理图。
图10为可用于实施本文所述方法的装置的示例。
具体实施方案
在以下的描述中,阐述了许多具体细节以提供对所呈现的实施方案的充分理解。所公开的实施方案可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,未详细描述公知的处理操作,以避免不必要地使所公开的实施方案难以理解。虽然将结合具体实施方案描述所公开的实施方案,但应当理解的是其并不旨在限制所公开的实施方案。
在以下的描述中,阐述了许多具体细节以提供对所呈现的实施方案的充分理解。所公开的实施方案可以在没有这些具体细节中的一些或全部的情况下实施。在其他实例中,未详细描述公知的处理操作,以避免不必要地使所公开的实施方案难以理解。虽然将结合具体实施方案描述所公开的实施方案,但应当理解的是其并不旨在限制所公开的实施方案。
本文提供的是用钼(Mo)填充特征的方法,其可用于逻辑和存储器应用。图1A和1B是根据各种实施方案的包含Mo的材料堆叠件的示意性示例。图1A和1B示出了特定堆叠件中的材料的顺序,并且可以与任何适当的架构和应用一起使用,如下面关于图2A-2J进一步描述的。在图1A的示例中,衬底102在其上沉积有Mo层108。衬底102可以是硅或其他半导体晶片,例如200mm晶片、300mm晶片或450mm晶片,其包括具有沉积在其上的一或多个材料(例如介电材料、导电材料或半导体材料)层的晶片。所述方法还可以应用于在诸如玻璃、塑料等的其他衬底上形成金属化堆叠结构。
在图1A中,介电层104在衬底102上。介电层104可以直接沉积在衬底102的半导体(例如,Si)表面上,或者可以存在任何数量的中间层。介电层的示例包括经掺杂和未经掺杂的氧化硅、氮化硅和氧化铝层,具体示例包括经掺杂或未经掺杂的SiO2层和Al2O3层。另外,在图1A中,扩散阻挡层106设置在Mo层108和介电层104之间。扩散阻挡层的示例包括氮化钛(TiN)、钛/氮化钛(Ti/TiN)、氮化钨(WN)和氮碳化钨(WCN)。扩散阻挡层的进一步示例为多成分含钼膜,如下文进一步所述的。Mo层108为该结构的主要导体。在一些实施方案中,Mo层108可包括在不同条件下沉积的多个主体层。Mo层108可包括或可不包括Mo成核层。此外,在一些实施方案中,可将Mo层108沉积在包括另一材料的生长起始层(例如钨(W)或含W生长起始层)上。
图1B示出了材料堆叠件的另一示例。在此示例中,堆叠件包括衬底102、介电层104,且Mo层108直接沉积在介电层104上而无中间扩散阻挡层。Mo层108如相对于图1A所述。通过使用具有比W更低电子平均自由径的Mo作为主导体,可得到较低电阻率薄膜。
尽管图1A和1B示出了金属化堆叠件的示例,但所述方法和所得堆叠件并不限于此。例如,在一些实施方案中,Mo可在具有或不具有起始层下直接沉积在Si或其他半导体衬底上。图1A及1B说明特定堆叠件中材料顺序的示例,并可与任何适当的架构及应用一起使用,如下相对于图2A-2J进一步所述的。
本文所述的方法在衬底(可容纳在室中)上执行。衬底可为硅或其他半导体晶片,例如200mm晶片、300mm晶片或450mm晶片,其包括具有一或更多层材料(例如介电、导电或半导电材料)沉积其上的晶片。这些方法不限于半导体衬底,并且可以执行于用钼填充任何特征。
衬底可具有例如通孔或接触孔之类的特征,其可通过狭窄和/或内凹开口、特征内收缩部、以及高深宽比中的一或更多者来表征。特征可形成于一或更多上述层中。例如,特征可至少部分地形成于介电层中。在一些实施方案中,特征可具有至少约2:1、至少约4:1、至少约6:1、至少约10:1、至少约25:1或更高的深宽比。特征的一示例为半导体衬底或衬底上的层中的孔或通孔。
图2A描述了包括硅衬底202中的Mo掩埋字线(bWL)208的DRAM架构的示意性示例。所述Mo bWL是在硅衬底202中蚀刻的沟槽中形成的。沟槽的衬里是保形阻挡层206和绝缘层204,绝缘层204被设置在所述保形阻挡层206和硅衬底202之间。在图2的示例中,绝缘层204可以是栅极氧化物层,其由高k介电材料(例如氧化硅或氮化硅材料)形成。在本文所公开的一些实施方案中,保形阻挡层是TiN或含钨层,例如WN或WCN。在一些实施方案中,其TiN用作阻挡层,保形阻挡层206与Mo bWL208之间可存在保形含钨生长起始层。可替代地,Mo bWL208可直接沉积在TiN或其他扩散阻挡层上。在一些实施方案中,不存在层204和206中的一或两者。
图2A中所示的bWL结构为包含有Mo填充层的架构的示例。在bWL的制造期间,Mo被沉积至由硅衬底202中蚀刻凹部所定义的特征中,而该蚀刻凹部保形地衬有层206和204(如果存在的话)。
图2B-2H为根据所公开的实施方案可在其中沉积Mo的诸多结构的额外示意性示例。图2B示出将用Mo填充的垂直特征201的横截面绘图示例。该特征可包括衬底202中的特征孔205。孔205或其他特征可具有接近开口的尺寸,如介于约10nm至500nm之间(例如介于约25nm至约300nm之间)的开口直径或线宽。特征孔205可称为未填充特征或简称特征。特征201以及任何特征可部分地通过延伸穿过特征长度的轴线218来表征,其竖直定向特征具有竖直轴,而水平定向特征则具有水平轴。
在一些实施方案中,特征为3D NAND结构中的字线特征。例如,衬底可包括具有任意数量的字线(例如50至150)的字线结构,其具有至少
Figure GDA0003658154390000071
深的竖直通道。另一示例为衬底或层中的沟槽。特征可具任何深度。在多种实施方案中,特征可具有底层,例如阻挡层或粘附层。底层的非限定示例包括介电层及导电层,例如,氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物以及金属层。
图2C示出了具有内凹轮廓的特征201的示例。内凹轮廓为从特征底部、封闭端或内部朝特征开口变窄的轮廓。根据多种实施方案,轮廓可逐渐变窄和/或在特征开口处包括突部。图2C示出了后者的示例,其底层213对特征孔105的侧壁或内表面形成衬底。底层213可以是例如扩散阻挡层、粘附层、成核层、其组合、或任何其他可应用材料。底层的非限定示例可包括介电层和导电层,例如,氧化硅、氮化硅、碳化硅、金属氧化物、金属氮化物、金属碳化物以及金属层。在特定实施方案中,底层可以是钛、氮化钛、氮化钨、铝化钛、钨和钼中的一或更多者。在一些实施方案中,底层为无钨。在一些实施方案中,底层为无钼。底层213形成突部215,使得底层213在特征201开口附近比在特征201内部更厚。
在一些实施方案中,可填充在特征内具有一或更多个收缩部的特征。图2D示出了具有收缩部的多种填充特征的视图的示例。图2D中的每一示例(a)、(b)及(c)在特征内的中点处包括收缩部209。收缩部209的宽度可例如介于约15nm至20nm之间。收缩部会在特征中使用常规技术沉积钨或钼期间导致夹断(pinch off),且在一部分特征被填充之前,沉积的金属会阻止进一步沉积超过收缩部,从而导致特征中出现孔隙。示例(b)在特征开口处进一步包括衬底/阻挡突部215。这种突部也可能是潜在的夹断点。示例(c)包括比示例(b)中突部215更远离场区域的收缩部212。
亦可填充例如3-D存储器结构中的水平特征。图2E示出了包含收缩部251的水平特征250的示例。例如,水平特征250可以是3DNAND(也称为竖直NAND或VNAND)结构中的字线。在一些实施方案中,收缩部可能是由于在3D NAND或其他结构中存在柱。图2F呈现3-D NAND结构210(形成于硅衬底202上)的横截面侧视图,其具有VNAND堆叠件(左225和右226)、中央竖直结构230、以及在中央竖直结构230的相对侧壁240上具有开口222的多个堆叠件水平特征220。注意,图2F显示了所展示的3-D NAND结构210的两个”堆叠件”,其共同形成”沟槽状”中央竖直结构230,然而,在某些实施方案中,可能有两个以上的”堆叠件”按顺序排列并在空间上相互平行,每一相邻”堆叠件”对之间的间隙形成中央竖直结构230,如同图2F中明确示出的那样。在此实施方案中,水平特征220为3-D存储器字线特征,其可从中央竖直结构230通过开口222而流体进入。虽然未在图中明确指出,但图2F中所示的3-D NAND堆叠件225和226(即,左3-D NAND堆叠件225和右3-D NAND堆叠件226)两者中存在的水平特征220也可通过额外3-D NAND堆叠件所形成的类似竖直结构(在最左侧和最右侧,但未示出)而从堆叠件的另一侧(分别为最左侧和最右侧)来进入。换言之,每一3-DNAND堆叠件225、226含有字线特征堆叠件,字线特征可穿过中央竖直结构230从3-D NAND堆叠件的两侧而流体进入。在图2F中示意性示出的特定示例中,每一3-D NAND堆叠件包含6对堆叠的字线,但在其他实施方案中,3-D NAND存储器布局可含有任意数量的竖直堆叠的字线对。
3-D NAND堆叠件中的字线特征通常通过以下方式形成:沉积交替的氧化硅和氮化硅层的堆叠件,然后选择性地去除氮化物层,从而留下氧化物层的堆叠件,在它们之间具有间隙。这些间隙是字线特征。只要有可用的形成字线的技术,以及可用于成功地完成竖直特征的(基本上)无空隙填充的任何字线的技术,就可以在这种3-D NAND结构中竖直堆叠任意数量的字线。因此,例如,VNAND堆叠件可包括介于2个至256个之间的水平字线特征,或介于8至128个之间的水平字线特征,或介于16至64个之间的水平字线特征等等(所列范围理解为包括所述端点)。
图2G示出了在图2F的侧视图中示出的相同3-D NAND结构210的截面顶视图,其中截面通过如在图2F中的水平虚线所示的水平部分260截取。图2G的截面示出了几排柱255,其在图2F中示出为从半导体衬底202的基部竖直延伸到3-D NAND堆叠件210的顶部。在一些实施方案中,这些柱255由多晶硅材料制成并且对3-D NAND结构210在结构上和功能上是重要的。在一些实施方案中,这样的多晶硅柱可以用作在柱内形成的堆叠存储器单元的栅电极。图2G的顶视图示出了柱255在字线特征220的开口222中形成收缩部,即字线特征220从中央竖直结构230经由开口222的流体可进入性(如图2G中的箭头所示)被柱255抑制。在一些实施方案中,相邻的多晶硅柱之间的水平间隙的尺寸在约1至20nm之间。流体可进入性的降低增加了用材料均匀填充字线特征220的难度。在图2H、2I和2J中进一步示出了字线特征220的结构以及由于存在柱255而用钼材料均匀地填充它们的挑战。
图2H展示了类似于图2F所示的穿过3-D NAND结构的竖直切口,但是这里集中于单对字线特征220,并且另外示意性地示出了导致在填充的字线特征220中的空隙275的形成的填充处理。图2I还示意性地示出了空隙275,但是在该图中通过水平切过柱255而示出,类似于图2G中展示的水平切口。图2J示出了在收缩部形成柱255周围的钼材料的累积,该累积导致开口222的夹断,使得在空隙275的区域中不能沉积额外的钼材料。根据图2H和2I,显而易见,无空隙的钼填充依赖于钼在柱255周围的累积沉积导致开口222被夹断并防止进一步的前体迁移到字线特征220中之前,有足够数量的沉积前体向下迁移通过竖直结构230,穿过开口222,经过收缩柱255,并进入字线特征220的最远范围。类似地,图2J显示了从上方横截面观察的单个字线特征220,并且示出了钼材料的一般保形沉积如何由于以下事实而开始夹断字线特征220的内部:柱255的较大宽度起到了部分阻塞和/或收缩和/或限制原本将是穿过字线特征220的开放路径的作用。(应注意,图2J中的示例可以理解为图2I中所示的柱收缩部的结构的3D特征的2D渲染,从而说明了将在平面图而不是横截面图中看到的收缩部。)
三维结构可能需要更长时间和/或更集中地暴露于前体,以使得能填充最内部和最底部的区域。当使用卤化钼和/或氧卤化钼前体时,三维结构可能特别具有挑战性,因为它们倾向于蚀刻,而更长且更集中的暴露使得作为结构的一部分会经受更多的蚀刻。
以下描述了水平方向和竖直方向的特征填充的示例。应当注意,在至少大多数情况下,这些示例适用于水平方向或竖直方向的特征。此外,还应当注意,在下面的描述中,术语“横向”可以用于指代大体上正交于特征轴的方向,术语“竖直”可以指代大体上沿特征轴的方向。
特征内的材料的分布可通过其台阶覆盖率来表征。为了该描述的目的,将“台阶覆盖率”定义为两厚度的比率,即,特征内的材料的厚度除以开口附近的材料的厚度。为了本文目的,术语“特征内部”代表沿特征轴线位于特征中点附近的特征的中间部分,例如,从特征开口沿特征深度测得的距离约25%至75%之间的区域,或者在某些实施方案中约40%至60%,或者位于从开口沿特征轴线测得的距离约75%至95%之间的特征端部。术语“在特征的开口附近”或“在特征开口附近”代表特征的顶部,其位于开口边缘或代表开口边缘的其他元件的25%内(在一些实施方案中,在10%内)。保形膜将具有约100%的台阶覆盖率。超过100%的台阶覆盖率可例如通过在特征中间或底部附近比在特征开口处用更多材料填充特征来实现。
图3为示出根据多种实施方案用钼填充结构的操作的处理图。首先,在操作302中,将钼(Mo)膜沉积于结构中。该操作可称为沉积1。在许多实施方案中,操作302为大致保形沉积,其在结构的暴露表面形成衬里。例如,在3D NAND结构(例如图2F所示)中,Mo膜在字线特征220形成衬里。根据多种实施方案,使用ALD处理沉积Mo膜,以达到良好保形性。Mo ALD处理的进一步描述在下文提供。在一些实施方案中,在操作302之后,特征未被Mo封闭,而是充分开放以使得进一步的反应气体在随后的沉积中进入特征。
接下来,在操作304中,对沉积膜进行非保形处理。本文中的非保形处理是指,比起在特征内部,优先在特征的一个或多个开口处或附近进行处理。对于3D NAND结构,该处理可以是竖直方向上保形,使得底部字线特征处理程度与顶部字线特征大致相同,而非保形则是,字线特征的内部未受到处理或受处理的程度显著小于特征开口。
成核抑制作用抑制处理表面上的后续钼成核。其可涉及以下一者或更多者:抑制膜的沉积、处理物质与Mo膜的反应以形成化合物膜(如MO2N)、以及抑制物质的吸附。在后续沉积操作期间,相对于未被抑制或被抑处理度较小的部分,底层膜的被抑制部分上有成核延迟。蚀刻去除处理表面上的沉积膜。这可包括使蚀刻剂物质与钼膜反应以形成气态副产物,其接着被去除。可执行其他蚀刻方法,包括原子层蚀刻。操作304可以是等离子体或非等离子体操作。如果是非等离子体操作,其可以是纯热式的或由一些其他能量(例如UV)活化。
氮充当抑制物质,而卤素(例如,氟和氯)物质充当蚀刻剂。为了进行纯抑制处理,操作304可包括将Mo膜暴露于不含卤素的含氮化学物质。为了执行纯蚀刻处理,操作304可包括将Mo膜暴露于不含氮的含卤素化学物质。可在一些实施方案中使用其他抑制化学物质(例如,含氧化学物质)。使膜暴露于含氮且含卤素的化学物质(例如,三氟化氮(NF3)或氨/氟(NH3/F2))可同时抑制并蚀刻。
根据多种实施方案,可以在同一处理室或不同处理室中执行操作302。如果在同一室中执行,其可以在单站或多站室中执行。在一些实施方案中,在多站室中,可以在第一站中执行操作302,并在第二站中执行操作304。如下进一步讨论,操作304可以是连续流或脉冲处理。在后一实例中,可依次脉冲化不同气体以调节该处理。
在操作304之后,在操作306中执行第二沉积。该第二沉积可通过ALD或CVD处理来执行。ALD处理在下文相对于图8进一步描述,且通常包含用于表面介导反应的反应物的交替脉冲。在CVD方法中,通常使反应物流入,使其以气相存在于反应室中。CVD可包含气相反应。为了沉积至3D NAND结构中,可使用ALD处理以在整个结构中实现良好台阶覆盖率。气体因该处理的作用而更易到达特征内部。在蚀刻处理之后,沉积于特征入口附近的膜被去除,从而对气体提供更多空间以到达特征内部并且防止夹断。在一些实施方案中,可去除足够的Mo膜,使得底层表面全部或部分暴露,从而增加这些区域处的成核延迟。在抑制处理之后,提高成核延迟,从而实现由内向外的填充处理。在一些实施方案中,操作306(其可称为沉积2处理)可完成结构的填充。在其他实施方案中,可执行再一次额外处理/沉积操作。为了调整字线中的侧向非保形性,可调控压力及处理气体流速。较高的室压力和较低的处理气体流速(和/或浓度)促进字线特征的开口处的处理,其多于字线特征内部的处理。因此,在一些实施方案中,室压强的示例范围为3托(Torr)至40托。
为了实现侧向非保形性但具有从上到下的均匀性,可使用装料容器来输送气体。这些在下文相对于图7进行描述,且可能对深结构(如,7-10微米深)特别有用。在一些实施方案中,可同时执行沉积和非保形处理。例如,图3中的操作302和304可同时执行。根据多种实施方案,可执行后续沉积(例如,沉积2),或者操作302和304可能足以填充特征。如上所述,可使用卤化钼和氧卤化钼作为蚀刻剂气体。在一些实施方案中,可使用这些作为沉积和蚀刻剂气体。通过适当地控制特征内的沉积反应物的浓度,可在各个阶段控制特征中沉积和蚀刻的量。在特定示例中,可使用氧氯化钼(MoOxCly,其中x和y是使稳定化合物形成的大于0的数字)作为Mo沉积气体和蚀刻剂气体,其对特征内部有净沉积,而对特征开口有较低沉积或净蚀刻。如下相对于图6D进一步所述,总生长速率对表面处的前体通量或浓度敏感。在一些实施方案中,较高的MoOxCly通量可导致更多的蚀刻。并且,在高MoOxCly通量下,特征内部的前体浓度比开口附近或场区域上低,从而允许从下向上的生长。前体投配时间、前体流速、室压强、前体分压可用于调节前体通量。
也可在Mo沉积期间非保形地执行抑制处理。例如,Mo前体如果未完全还原,则可抑制沉积。在一示例中,在高MOO2Cl2通量下,特征开口处的MOO2Cl2浓度比特征内部高。如果H2共反应物流量足够低,以致于MOO2Cl2无法在特征开口处全部还原,则其可充当抑制剂。
图4示出了执行用钼填充3D NAND结构的处理的示例。在图4中,示出了3D NAND结构的单个字线的横截面图。(如图2J的示例中,图4中的字线特征示出了可在平面图中而非横截面图中看到的柱收缩部,以说明该收缩部。)在470,在沉积1处理之后示出字线特征。示出了底层406;这可以例如是阻挡层。保形Mo膜405对特征表面形成衬底。在一些实施方案中,保形Mo膜405直接沉积于介电表面上,例如氧化铝或氧化硅表面。Mo层405可为成核层、成核和主体层、或主体层。接下来,在471将特征暴露于抑制化学物质以抑制部位465。在此示例中,穿过柱收缩部451的部位465被抑制,而452处的内部表面未被抑制。抑制可包括,例如暴露于NH3气体,或暴露于由气体(例如N2、H2、混合气体(forming gas)、NH3、O2、CH4等)产生的远程或直接(原位)等离子体。接下来,执行处理以根据抑制轮廓选择性地沉积钼:主体Mo 408优先沉积于Mo层405的未被抑制部位上,从而在472填充收缩部后方的难以填充的区域。在此示例中,继续进行主体沉积,在473用主体Mo 408填充特征的其余处。
在一些实施方案中,通过使用Mo前体(其可在沉积期间于特征开口处作为抑制剂),可在如上所讨论的操作中执行471及472所示的序列。
图5示出了执行用钼填充3D NAND结构的处理的另一示例。在图5的示例中,示出了底层506;这可以是例如阻挡层。保形Mo膜505对特征表面形成衬底。在一些实施方案中,保形Mo膜505直接沉积于介电表面上,例如氧化铝或氧化硅表面上。Mo层505可以是成核层、成核和主体层、或主体层。在此之后在571进行非保形蚀刻(具有高选择性以保护底层506(如果存在的话))。例如,对于TiN底层可进行具有高Mo:TiN选择性的非保形蚀刻。非保形蚀刻的结果为,保形Mo层505于特征的内部552中完好无损,而在特征开口522处则变薄或完全去除。接下来,在572,将主体Mo 508沉积于Mo层405的其余部位上,使得收缩部后方的难以填充的区域被填充。在该示例中,继续进行主体沉积,在573用主体Mo508填充特征的其余处。在一些实施方案中,可重复沉积-蚀刻-沉积操作以填充特征。根据多种实施方案,每一后续沉积操作可包括或可不包括成核层的沉积。在一些实施方案中,该处理也可以包括抑制作用。
在一些实施方案中,可通过使用Mo前体(其可在沉积期间在特征开口处作为蚀刻剂),在如上所讨论的操作中执行571和572所示的序列。
在一些实施方案中,在图4和图5的示例中,沉积1和沉积2各自用于沉积主体Mo层,沉积1用于沉积保形层,而沉积2用于填充特征。
在一些实施方案中,保形Mo层可被表征为低电阻率,且在一些实施方案中为低应力和/或低氟。由于未填充字线特征(除了成核层之外,如果沉积的话),因此可以使用相对快的沉积技术。在一些实施方案中,这包括含钼前体与氢(H2)或其他还原剂的交替脉冲,以在ALD处理中沉积第一钨层。清扫操作可隔开脉冲。对于沉积可使用相对短的脉冲时间以增加产量。
可使用第二组条件来沉积第二主体层。如同第一主体层,第二主体层可以是低电阻率层,且在一些实施方案中可以是低应力和/或低氟层。在一些实施方案中,操作306包括相对于操作302增加的脉冲时间以及增加的清扫时间。在特定实施方案中,可增加含Mo前体脉冲时间。增加脉冲和/或清扫时间可促进反应物扩散至字线中。在一些实施方案中,从操作302至操作306也可改变温度;例如,较高温度可用于加快反应时间。在一些实施方案中,较低温度可用于使反应物得以在反应之前扩散至字线特征中。在一些实施方案中,第二组条件可包括流速的变化。例如,可提高含钼前体和/或还原剂的流速。
可以在不同条件下沉积第三主体Mo层。该层可被表征为覆盖层,其在后续步骤中被去除并且可沉积于侧壁上,例如图2F的3D NAND结构中的侧壁240。该层可被表征为低粗糙度。当要去除钼时,可容许较高电阻率和/或氟浓度。第三组条件可包括以下任一者:更快的时序(如果以比操作405更短的脉冲时间使用ALD的话)、使用CVD代替ALD、以及在流入一或更多种反应物气体期间或之间引入氮(N2)。
图6A为示出了根据多种实施方案处理Mo膜的操作的处理图。首先,在操作602中,将表面暴露于含卤素和/或氮的化学物质。在操作602中,氮为抑制化学物质;除了氮以外还可使用其他抑制化学物质或适当地用其代替氮。含氟和氯的化学物质被用于蚀刻。操作602可以是连续流或脉冲操作,且可以是等离子体或热的非等离子体操作。也可施加其他活化能。
用于抑制的示例性含氮气体包括NF3、NH3、氮(N2)和肼(N2H4)。可使用其他含氮气体,但在许多实施方案中则避免含氧化合物(例如,NO2)。气体可通过非等离子体的热处理流入室中,或者可用于在远程或原位等离子体产生器中产生等离子体。在一些实施方案中,较少的反应性气体(例如,N2)可能需要等离子体活化以实现有效抑制。
用于蚀刻的含卤素气体的示例包括NF3、F2、氟化氢(HF)、氯(Cl2)、三氟化氯(ClF3)和其他含Cl或F气体。也可使用卤化钼和氧卤化钼气体。如果无还原剂与其反应,则这些将蚀刻膜。
接下来,在操作604中,可以使用非卤素气体进行清扫。可使用惰性气体,例如Ar或He。也可使用N2。该清扫为非等离子体处理,其可去除表面的氯或氟物质。在一些实施方案中(例如,在操作602中衬底未暴露于氯或氟物质中),可省略操作604。
接下来,在操作606中,可以将表面暴露于表面形貌处理气体。已发现,抑制处理会导致“粗糙”表面,其会不利地影响沉积2中沉积的膜的质量。表面形貌处理气体可以为钼前体、还原剂(如H2)、或两者。
在一些实施方案中,重复操作602-606一或更多次。例如,每一操作可被执行为多循环脉冲序列中的一个脉冲。在可替代实施方案中,操作602可被执行为多个脉冲循环,而操作604与606中的一或两者仅在完成多个循环时才执行。操作604和606的顺序在一些实施方案中可颠倒。在一些实施方案中,钼特征填充可通过控制前体通量来执行,以控制所得填充的台阶覆盖率。图6B示出了包括较窄且较密特征610与较宽且较不密特征620的结构的示意性示例,以及可如何通过适当地控制Mo前体通量来修改Mo膜635的台阶覆盖率。(由于通量是每单位面积的流速,因此可通过增加或减少进入室的流速来增加或减少通量)。在相对低的前体通量下,台阶覆盖率低,且与特征内部640处相比,有更多的Mo沉积于特征的顶部附近和场区域630上。
随着前体通量增加,沉积变得具有保形性,有大致相同的Mo量保形地沉积于整个特征中。随着增加前体通量所观察到的台阶覆盖率增加可能是由于从质量传输受限的生长转变成表面反应受限的生长。
在更高的前体通量下,在较窄且较密的特征610中,生长变得超保形。可如较宽且较不密的特征620中所见到的,观察到从下向上的生长,其在特征620底部显现Mo膜635,但在这些特征的侧壁上并无大量沉积。
在一些实施方案中,可以在Mo特征填充期间改变前体通量。例如,在Mo填充的第一阶段中,可使用保形生长条件,并抑制场区域中的生长。在后续阶段中,前体通量增加且具超保形以及从下向上的生长。可有利地使用多步骤处理来填充变化尺寸的特征。图6C为示出根据多种实施方案用铝填充结构的操作的处理图。在图6C的处理中,Mo的保形层沉积于特征中(652)。可使用CVD或ALD。接着,在操作654中,前体通量增加,以转变成从下向上的填充。为了增加前体通量,可增加以下一或更多者:Mo前体分压、Mo前体流速以及Mo前体投配时间。可以在操作656中完成填充。在一些实施方案中,操作656为操作654中条件的延续。在其他实施方案中,一旦特征被填充到某一点,即可减少前体通量。
Mo生长速率对表面处的前体通量和前体浓度敏感。在一些实施方案中,在高前体通量条件下观察到从下向上的生长,其中特征内部更深处的前体浓度低于场区域和特征顶部附近的前体浓度。这是由于较高的前体通量比起沉积反应更偏于蚀刻,从而导致较低的生长速率。含氯的Mo前体例如会导致沉积和蚀刻反应:
MoOxCly+H2→Mo(s)+HCl+H2O(Mo主体沉积,通过低氯化物)
Mo+Cl2→MoCly(Mo蚀刻)
Mo+MoCly→MozCly(Mo蚀刻)
如果存在底层,如W底层,则可以在某些条件下对其进行蚀刻:
W+Cl2→WCly(W蚀刻)
W+WCly→WzCly(W蚀刻)
以上所给出的反应是高Mo前体条件下可能发生的反应示例。图6D为示出沉积钼厚度与钼前体流速的函数关系图。图6D示出了使用MoOCl4的高前体通量条件下Mo的净沉积会如何减少。(图6D中的数据来自Mo的CVD沉积;然而,使用ALD观察到相同趋势。较低与较高环境温度相差10℃。)示出了三个沉积状态:不良的台阶覆盖率、保形生长、以及从下向上的生长。从图6D可以看出,在高前体流速下,净沉积减少。这会导致从下向上的填充,其特征顶部的前体浓度较高(导致较低沉积速率)而特征内则较低(导致较高沉积速率)。如上所述,在如图4和5所示的侧向定向特征的情况下,从下向上的填充(即,非保形填充)是由内而外的填充,其特征是从内向外填充。
所述方法可以使用多个装料容器来实施。图7中示意性地示出一示例性装置,其中3个气体源(处理气体1、处理气体2以及清扫气体)连接至装料容器。在一些实施方案中,一或更多个气体源可连接至多个装料容器。该装置包括气体歧管系统,其提供管线装料至各个气体分布管线。歧管通过带阀装料容器提供处理气体和清扫气体至沉积室。打开或关闭各个阀以提供管线装料,即以给分布管线加压。
使用装料容器可达成输送处理气体输至高深宽比结构的底部,例如,输送至3DNAND结构的底部字线。加压气体流穿过喷头并到达待处理的晶片或其他工件。
处理序列的示例包括:
示例1:一或更多个(NF3+Ar/清扫/H2+Ar)循环,其中+代表气体同时流入室,而“/”代表时间间隔。
示例2:一或更多个(NH3+Ar/H2+Ar)循环
示例3:一或更多个(NH3+Ar/Mo前体+H2+Ar)循环
示例4:一或更多个(NH3+Ar/Mo前体+Ar)循环
Mo沉积
为了以上述方法沉积钼,可使用含钼前体,其包括六氟化钼(MOF6)、五氯化钼(MOCl5)、二氯二氧化钼(MOO2Cl2)、四氯氧化钼(MOOCl4)以及六羰基钼(MO(CO)6)。含Mo前体可为卤化物前体,其包括MOF6与MOCl5、以及具有可形成稳定分子的两个或更多卤素的混合卤化物前体。混合卤化物前体的一示例为MoClxBry,其中x和y为可形成稳定分子的大于0的任何数字。有机金属前体包括Mo(X)m(L)n前体,其中每一X为卤素,其独立选自由F、Cl、Br和I所组成的群组;每一L为有机配位基,其包括选自由N、O及S所组成的群组的元素,且其中m选自1-4,而n选自1-3,如美国临时专利申请No.62/816,648中所公开的。还可以使用有机金属前体,例如硅基环戊二烯基钼(molybdenum silylcyclopentadienyl)以及硅基烯丙基钼(molybdenum silylallyl)络合物。
Mo膜的沉积
图8示出了形成Mo膜的ALD方法的示例。根据图8的方法可用于例如图3的操作302与306中的一或两者中以及图6C的任何操作中。首先,在操作805中,脉冲化输送Mo前体。在脉冲化输送Mo前体之后,可进行可选的清扫815。可使用氩或任何惰性气体清扫室中任何未吸附的前体。将衬底暴露于共反应物825,其可以是还原剂以还原Mo前体、或其他共反应物以与Mo前体反应而形成元素Mo。反应物可以是含氢反应物。在一些实施方案中,含氢反应物可以是热(非等离子体)氢(H2)。对于基于等离子体的处理,可使用从H2产生的远程或原位等离子体。可在835执行可选的清扫,接着重复操作805-835,直到膜完全生长。这可以是衬在特征上的保形膜,例如保形Mo膜405或505,或是填充所有或一些特征的主体层,例如主体Mo408或508。
在一些实施方案中,图中的操作302包括Mo成核层的沉积,或者作为保形层,或者作为其上沉积主体Mo的保形层的一部分。
在一些实施方案中,使用含硼还原剂(如B2H6)或含硅还原剂(如,SiH4)中的一或更多者作为共反应剂来沉积Mo成核层。例如,可采用一或更多个S/Mo循环(其中S/Mo是指硅烷脉冲接着是含Mo前体脉冲),以沉积其上沉积主体Mo层的Mo成核层。在另一示例中,可采用一或更多个B/Mo循环(其中B/Mo是指乙硼烷脉冲接着是含Mo前体脉冲),以沉积其上沉积主体Mo层的Mo成核层。B/Mo与S/Mo循环两者都可用于沉积Mo成核层,例如x(B/Mo)+y(S/Mo),其中x和y为整数。下文给出了含B和S还原剂的示例。为了沉积Mo成核层,在一些实施方案中,含Mo前体可以是不含氧的前体,如MOF6或MOCl5。含氧前体中的氧可以与含硅或硼还原剂反应,以形成MoSixOy或MoBxOy,其为不纯的高电阻率膜。含氧前体可在氧掺入最少的情况下使用。在一些实施方案中,可使用H2作为还原气体,以取代含硼或含硅还原气体。Mo成核层的沉积的示例性厚度范围为
Figure GDA0003658154390000181
Figure GDA0003658154390000182
该范围下限的膜可以是不连续的;然而,只要其可帮助引发连续主体Mo生长,则该厚度就可能足够了。在一些实施方案中,还原剂脉冲可在比Mo前体脉冲更低的衬底温度下施行。例如,可在低于300℃的温度下执行B2H6或SiH4(或其他含硼或硅还原剂)脉冲,而在大于300℃的温度下执行Mo脉冲。
保形沉积也可通过如图9所示的处理直接在例如电介质和TiN表面的表面上执行。其也可用于含W的初始表面或Mo成核层上。在操作902中,衬底暴露于还原剂气体以形成还原剂层。在一些实施方案中,还原剂气体可以是硅烷、硼烷或硅烷与乙硼烷的混合物。硅烷的示例包括SiH4及Si2H6,而硼烷的示例包括乙硼烷(B2H6),以及BnHn+4、BnHn+6、BnHn+8、BnHm,其中n为1至10的整数,m为不同于n的整数。也可使用其他含硼化合物,如烷基硼烷、烷基硼、氨基硼烷(CH3)2NB(CH2)2、碳硼烷(如C2BnHn+2)。在一些实施方案中,还原剂层可包括能够还原钨前体的硅或含硅材料、磷或含磷材料、锗或含锗材料、硼或含硼材料及其组合。可用于形成这些层的进一步示例性还原剂气体包括PH3、SiH2Cl2及GeH4。根据多种实施方案,可在该背景中流入或不流入氢。(尽管氢会还原钨前体,但在具有足够量的较强还原剂(例如硅烷和乙硼烷)的气体混合物中,氢不会作为还原剂。)
在一些实施方案中,还原剂气体为包含少量含硼气体(例如乙硼烷)与另一还原剂的混合物。添加少量含硼气体会极大地影响另一还原剂的分解和粘附系数。应注意,可以将衬底按顺序暴露于两还原剂,例如硅烷和乙硼烷。然而,流入气体混合物可有助于添加非常少量的少数气体,如至少100:1比例的硅烷比乙硼烷。在一些实施方案中,可流入载气。在一些实施方案中,可以在操作902期间流入载气,例如氮(N2)、氩(Ar)、氦(He)或其他惰性气体。
在一些实施方案中,还原剂层可包括元素硅(Si)、元素硼(B)、元素锗(Ge)或其混合物。例如,还原剂层可包括Si和B。可调整B量以实现还原剂层的高沉积速率但具低电阻率。在一些实施方案中,还原剂层可以具有例如介于5%与80%之间的B,或介于5%与50%之间的B、介于5%与30%之间、或介于5%与20%之间的B,余量基本上由Si组成,于一些示例中则由H组成。存在氢原子,例如SiHx、BHy、GeHz或其混合物,其中x、y和z可独立地介于0与小于对应还原剂化合物的化学计量当量的数字之间。
在一些实施方案中,可通过还原剂层的厚度而改变组成。例如,还原剂层在还原剂层的底部可为20%B,而在层的顶部为0%B。还原剂层的总厚度可介于在
Figure GDA0003658154390000191
Figure GDA0003658154390000192
之间,且在一些实施方案中介于
Figure GDA0003658154390000193
Figure GDA0003658154390000194
之间、介于
Figure GDA0003658154390000195
Figure GDA0003658154390000196
之间。还原剂层保形地对该特征形成衬里。
操作902期间的衬底温度可保持于温度T1,以使膜保形。如果温度太高,则膜可能不与底层结构的形貌保形。在一些实施方案中,达到大于90%或95%的台阶覆盖率。对于硅烷、乙硼烷及硅烷/乙硼烷混合物,保形性在300℃时极佳,并在400℃或更高温度下可能变差。因此,在一些实施方案中,操作902期间的温度最高为350℃、或者甚至最高为325℃、最高为315℃、或者最高为300℃。在一些实施方案中,使用小于300℃的温度。例如,温度可能低至200℃。
操作902可执行任何合适的持续时间。在一些示例中,示例性持续时间包括介于约0.25秒与大30秒之间、约0.25秒与约20秒之间、约0.25秒与大5秒之间、或约0.5秒与约3秒之间。
在操作904中,可选地清扫室以去除未吸附至衬底表面的过量还原剂。可通过在固定压强下流入惰性气体来执行清扫,因此在开始另一气体暴露之前降低室的压强并重新给室加压。示例性的惰性气体包括氮(N2)、氩(Ar)、氦(He)及其混合物。清扫可进行介于约0.25秒与约30秒之间、约0.25秒与约20秒之间、约0.25秒与约5秒、或约0.5秒与约3秒之间的持续时间。
在操作906中,在衬底温度T2下将衬底暴露于含Mo前体。含钼化合物的示例在上文给出,并包括氯化物和氧氯化物。使用含氧前体会导致杂质掺入以及较高电阻率。然而,如果掺入氧,则可使用非常薄且可能不连续的还原剂层以实现可接受的电阻率。在一些实施方案中,可在操作906期间流入载气,例如氮(N2)、氩(Ar)、氦(He)或其他惰性气体。温度的示例为500℃至700℃。
操作906可执行任何合适的持续时间。在一些实施方案中,其可能包括含钼前体的浸入,且在一些实施方案中包括含钼前体脉冲的序列。根据多种实施方案,在H2存在下可执行或可不执行操作906。如果使用H2,在一些实施方案中,其与含Mo前体可用ALD型方式施加。例如:
H2脉冲
氩清扫
背景中有或没有H2的含Mo前体的脉冲
氩清扫
重复
衬底温度T2足够高,以使含Mo前体与还原剂层反应以形成元素Mo。整个还原剂层转化为Mo。在一些实施方案中,温度为至少450℃,且可为至少550℃,以获得等于或接近100%的转化率。所得的特征现衬有Mo的保形膜。其可介于
Figure GDA0003658154390000211
Figure GDA0003658154390000212
之间,且在一些实施方案中介于
Figure GDA0003658154390000213
Figure GDA0003658154390000214
之间、或
Figure GDA0003658154390000215
Figure GDA0003658154390000216
之间。一般而言,其厚度将与还原剂层大约相同。在一些实施方案中,由于转化期间的体积膨胀,其可比还原剂层厚多达5%。
尽管下文描述集中于钼特征填充,但本发明的方面也可实施于用其他材料填充特征。例如,图6中所述的处理序列可以使用钨、钴或含钌材料的特征填充处理来实施。
装置
任何合适的室均可用于实施所公开的实施方式。示例性沉积装置包括多种系统,例如
Figure GDA0003658154390000217
Figure GDA0003658154390000218
Max,其可从加州弗里蒙特的Lam Research Corp.获得,或多种其他市售的处理系统中的任何一种。
在一些实施方式中,第一沉积可以在第一站处执行,该第一站是位于单个沉积室内的两个、五个或甚至更多个沉积站中的一个。因此,例如,氢(H2)和氧氯化钼(MoO2Cl2)可以使用在半导体衬底的表面产生局部气氛的单独的气体供给系统以交替的脉冲引入到在第一站处的该衬底表面。另一站可用于处理,而第三和/或第四站用于后续ALD主体填充。
图10是根据实施方案的适于进行沉积处理的处理系统的示意图。系统1000包括转移模块1003。转移模块1003提供清洁、加压的环境以最小化被处理的衬底在各种反应器模块之间移动时其被污染的风险。根据多种实施方案,能够执行ALD、处理和CVD的多站式反应器1109安装在传输模块1003上。多站式反应器1009可以包括多个站1011、1013、1015和1017,其可以根据所公开的实施方案顺序地执行操作。。例如,多站反应器1009可配置成使得站1011使用含氯的钼前体及含硼或硅还原剂以进行钼成核层沉积,站1013使用H2作为还原剂以进行保形层的ALD钼主体沉积,站1015执行处理操作,而站1017可执行主体ALD填充。
站可以包括加热基座或衬底支撑件、一个或多个气体入口或喷头或分散板。沉积站的实例在图7中示出,如上文所述。
回到图10,也可以安装在所述传送模块503上的是一个或更多个单或多站式模块1007,其能进行等离子体或化学(非等离子体)预清洗、其他沉积操作或者蚀刻操作。该模块也可用于多种处理,以例如制备用于沉积处理的衬底。所述系统1000还包括一个或更多个晶片源模块1001,在处理之前和之后晶片被存储在晶片源模块1001。大气转移室1019中的大气机械手(未示出)可以首先将晶片从源模块1001移动到装载锁1021。传送模块1003中的晶片转移装置(通常为机械手臂单元)将晶片从装载锁1021移动到安装在传送模块1003上的模块上以及将晶片在这些模块之间移动。
在多种实施方式中,采用系统控制器1029控制沉积过程中的处理条件。所述控制器1029将通常包括一个或更多个存储器器件和一个或更多个处理器。所述处理器可包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
所述控制器1029可控制所有沉积装置的活动。所述系统控制器1029运行系统控制软件,所述系统控制软件包括用于控制定时、气体混合、室压力、室温度、晶片温度、射频(RF)功率电平、晶片卡盘或基座位置和特定处理的其他参数的指令集。在一些实施方式中,可以使用存储在与控制器1029相关的存储器器件上的其他计算机程序。
通常,将有与控制器1029相关联的用户界面。用户界面可包括显示屏,所述装置和/或处理条件的图形软件显示器和用户输入装置,例如定点装置、键盘、触摸屏、麦克风等。
系统控制逻辑可以任何合适的方式进行配置。一般情况下,所述逻辑可被设计或配置在硬件和/或软件中。用于控制驱动电路的指令可被硬编码或作为软件提供。所述指令可通过“编程”提供。这样的编程被理解为包括任何形式的逻辑,该逻辑包括数字信号处理器、专用集成电路以及具有作为硬件实施的具体算法的其他装置中的硬编码逻辑。编程也被理解为包括可在通用处理器上执行的软件或固件指令。系统控制软件可以以任何合适的计算机可读编程语言编码。
用于控制处理序列中的含锗还原剂脉冲、氢气流、和含钨前体脉冲以及其他处理的计算机程序代码可以任何常规的计算机可读编程语言:例如,汇编语言、C、C++、Pascal、Fortran或其它写入。由处理器执行编译后的目标代码或脚本以进行程序中识别的任务。还如所指示的,程序代码可以是硬编码的。
控制器参数涉及处理条件,诸如例如处理气体组成和流率、温度、压力、冷却气体压强、衬底温度和室壁温度。这些参数以配方的形式提供给用户,并且可利用用户界面输入。
用于监控处理的信号可以通过系统控制器1029的模拟和/或数字输入连接来提供。用于控制处理的信号通过沉积装置1000的模拟和数字输出连接件输出。
所述系统软件可以许多不同的方式进行设计或配置。例如,可以写入多个室组件子程序或控制目标以控制根据公开的实施方式执行沉积处理所需要的室组件的操作。用于此目的的程序或程序段的示例包括衬底定位代码、处理气体控制代码、压力控制代码、和加热器控制代码。
在一些实施方案中,控制器1029是系统的一部分,该系统可以是上述实施例的一部分。这样的系统包括半导体处理装置,半导体处理装置包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子部分。根据处理要求和/或系统的类型的不同,控制器1029可以被编程,以控制本发明所公开的处理中的任何一些,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、在一些系统中的射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、等离子体脉冲频率设置、流体输送设置、位置和操作设置、晶片的进出工具和其他转移工具和/或连接到特定系统的或与该系统接口的加载锁的传送。
从广义上讲,控制器可以被定义为接收指令、发出指令、控制操作、使能清洁操作、使能终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器或系统的指令,不同的设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片进行特定处理的操作参数。在一些实施方案中,所述操作参数可以是由处理工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或裸芯片的制造过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,控制器1029可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器1029可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,这些参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、组合工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
控制器1029可以包括不同的程序。衬底定位程序可包括用于控制室组件的程序代码,所述室组件用于将衬底加载到基座或卡盘上并控制衬底和室的其他部件例如气体入口和/或靶之间的间隔。处理气体控制程序可包括用于控制气体组成、流率、脉冲时间以及任选地用于在沉积之前使气体流入室以稳定室中的压力的代码。压力控制程序可包括用于通过调节例如室中的排气系统中的节流阀而控制室中的压力的代码。加热器控制程序可包括用于控制用于加热衬底的加热单元的电流的代码。或者,所述加热器控制程序可控制传热气体例如氦气向晶片卡盘的输送。
可在沉积过程中被监控的室传感器的示例包括质量流量控制器、压力传感器例如压力计和位于基座或卡盘中的热电偶。经适当编程的反馈和控制算法可与来自这些传感器的数据一起用于维持所需的处理条件。
上述内容描述了在单室或多室半导体加工工具中实施的本发明的实施方案。本文描述的设备和处理可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。
结论
虽然为了清楚理解的目的,已经在一定程度上详细描述了上述实施方案,但显而易见的是,某些变化和修改可在所附权利要求的范围内实施。应当注意,有实现本发明的实施方案的处理、系统、和设备的许多替代方式。因此,本发明的实施方案应被认为是说明性的而不是限制性的,并且这些实施方案并不受限于这里给出的细节。

Claims (29)

1.一种方法,其包括:
提供衬底,其包括一或更多个特征,所述一或更多个特征中的每一个具有至少一个开口以及能通过所述至少一个开口流体进入的内部区域;
在所述一或更多个特征中沉积第一钼层;以及
非保形地处理所述第一层,使得所述处理相对于所述第一层的更远地位于所述特征的所述内部区域中的部位而优先施加在所述第一层的位于所述一或更多个特征的所述至少一个开口附近的部位处。
2.根据权利要求1所述的方法,其中非保形地处理所述第一层包括非保形地抑制所述第一层。
3.根据权利要求1所述的方法,其中非保形地处理所述第一层包括非保形地蚀刻所述第一层。
4.根据权利要求2或3所述的方法,其中处理所述第一层是在沉积所述第一钼层期间执行。
5.根据权利要求4所述的方法,其中所述方法包括在使得前体通量或浓度较接近所述一或更多个特征的所述至少一个开口的条件下,将所述一或更多个特征暴露于钼前体。
6.根据权利要求5所述的方法,其中所述钼前体为氧卤化钼。
7.根据权利要求6所述的方法,其中所述氧卤化钼在所述一或更多个特征的所述一或更多开口附近因蚀刻反应而具有比在所述内部区域中更低的生长速率。
8.根据权利要求6所述的方法,其中所述氧卤化钼在所述一或更多个特征的所述一或更多开口附近因抑制处理而具有比在所述内部区域中更低的生长速率。
9.根据权利要求2或3所述的方法,其中处理所述第一层在沉积所述第一钼层之后执行。
10.根据权利要求9所述的方法,其中处理所述第一层包括将其暴露于抑制化学物质。
11.根据权利要求10所述的方法,其中所述抑制化学物质为含氮化学物质。
12.根据权利要求11所述的方法,其中处理所述第一层包括在将其暴露于含氮化学物质之后,将其暴露于氢气与钼前体中的一者或更多者。
13.根据权利要求9所述的方法,其中处理所述第一层包括将其暴露于蚀刻化学物质。
14.根据权利要求13所述的方法,其中所述蚀刻化学物质为含卤素化学物质。
15.根据权利要求14所述的方法,其中处理所述第一层包括在将其暴露于含卤素化学物质之后,清扫容纳所述衬底的室。
16.根据权利要求1-9中任一项所述的方法,其中处理所述第一层包括将其暴露于含氮化合物。
17.根据权利要求1-9中任一项所述的方法,其中处理所述第一层包括将其暴露于含卤素化合物。
18.根据权利要求1-9中任一项所述的方法,其中所述处理所述第一层包括将其暴露于氨或三氟化氮。
19.根据权利要求1-18中任一项所述的方法,其中处理所述第一层为非等离子体的热处理。
20.根据权利要求1-18中任一项所述的方法,其中处理所述第一层为等离子体处理。
21.根据权利要求1-20中任一项所述的方法,其中沉积所述第一钼层包括原子层沉积处理,其使用H2作为用于氧卤化钼或卤化钼前体的还原剂。
22.根据权利要求1-21中任一项所述的方法,其进一步包括:在非保形地处理所述第一层之后,进一步包括沉积第二钼层。
23.根据权利要求22所述的方法,其中沉积所述第二层包括原子层沉积处理,其使用H2作为用于氧卤化钼或卤化钼前体的还原剂。
24.根据权利要求1-23中任一项所述的方法,其中所述衬底包括具有多个侧壁的3-D结构,其中所述一或更多个特征的所述一或更多个开口为所述侧壁中的开口。
25.根据权利要求1-23中任一项所述的方法,其中所述一或更多个特征具有封闭端。
26.一种方法,其包括:
(a)使用钼(Mo)前体,在第一Mo前体流速、Mo前体分压以及Mo前体投配时间下在特征中沉积保形Mo层;以及
(b)增加Mo前体流速、Mo前体分压以及Mo前体投配时间中的至少一者,以增加所述特征开口处的Mo前体通量,因而在所述保形Mo层上沉积第二Mo层。
27.根据权利要求26所述的方法,其中所述Mo前体为氧氯化钼。
28.一种装置,其包括:
处理室,其具有各自配置成保持衬底的一或更多个站;
一或更多个处理气体入口,其被配置成耦合至氢(H2)气体源、钼前体气体源、惰性清扫气体源以及处理气体源;以及
用于控制所述装置中的操作的控制器,其包括机器可读指令以用于:
(a)通过所述一或更多处理气体入口,使H2与钼前体脉冲交替进入所述处理室中,以在衬底上沉积第一钼层;
(b)在(a)之后,使处理气体进入以处理所述第一钼层;以及
(c)在(b)之后,通过所述一或更多个处理气体入口,使H2与钼前体脉冲交替进入所述处理室中,以在衬底上沉积第二钼层。
29.一种装置,其包括:
处理室,其具有各自配置成保持衬底的一或更多个站;
一或更多个处理气体入口,其被配置成耦合至氢(H2)气体源、钼前体气体源、惰性清扫气体源以及处理气体源;以及
用于控制所述装置中的操作的控制器,其包括机器可读指令以用于:
(a)通过所述一或更多处理气体入口,使H2与钼前体进入所述处理室中,以在衬底上沉积第一钼层;
(b)在(a)之后,改变Mo前体流速或投配时间中的一或更多者,以在衬底上沉积第二钼层。
CN202080072306.1A 2019-10-15 2020-10-14 钼填充 Pending CN114667600A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962915492P 2019-10-15 2019-10-15
US62/915,492 2019-10-15
PCT/US2020/055596 WO2021076636A1 (en) 2019-10-15 2020-10-14 Molybdenum fill

Publications (1)

Publication Number Publication Date
CN114667600A true CN114667600A (zh) 2022-06-24

Family

ID=75538123

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080072306.1A Pending CN114667600A (zh) 2019-10-15 2020-10-14 钼填充

Country Status (6)

Country Link
US (1) US20220375792A1 (zh)
JP (2) JP2022551965A (zh)
KR (1) KR20220082023A (zh)
CN (1) CN114667600A (zh)
TW (1) TW202129049A (zh)
WO (1) WO2021076636A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
CN113557320A (zh) 2019-03-11 2021-10-26 朗姆研究公司 用于沉积含钼膜的前体
TW202303708A (zh) * 2021-06-01 2023-01-16 美商應用材料股份有限公司 降低沉積速率的方法
WO2023114648A1 (en) * 2021-12-15 2023-06-22 Lam Research Corporation Low temperature molybdenum deposition assisted by silicon-containing reactants
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes
WO2023205184A1 (en) * 2022-04-19 2023-10-26 Lam Research Corporation Molybdenum integration and void-free fill
WO2023205284A1 (en) * 2022-04-20 2023-10-26 Lam Research Corporation Lateral gap fill
WO2023219745A1 (en) * 2022-05-10 2023-11-16 Applied Materials, Inc. Method of forming multi-tier tungsten features

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9653353B2 (en) * 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
KR102291990B1 (ko) * 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US9443865B2 (en) * 2014-12-18 2016-09-13 Sandisk Technologies Llc Fabricating 3D NAND memory having monolithic crystalline silicon vertical NAND channel
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US20180142345A1 (en) * 2016-11-23 2018-05-24 Entegris, Inc. Low temperature molybdenum film deposition utilizing boron nucleation layers
KR102572271B1 (ko) * 2017-04-10 2023-08-28 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들

Also Published As

Publication number Publication date
TW202129049A (zh) 2021-08-01
JP2023113892A (ja) 2023-08-16
JP2022551965A (ja) 2022-12-14
US20220375792A1 (en) 2022-11-24
WO2021076636A1 (en) 2021-04-22
KR20220082023A (ko) 2022-06-16

Similar Documents

Publication Publication Date Title
US20230290680A1 (en) Self-limiting growth
US11355345B2 (en) Method for preventing line bending during metal fill process
US10777453B2 (en) Low resistivity films containing molybdenum
US10529722B2 (en) Tungsten for wordline applications
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
CN114667600A (zh) 钼填充
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
US9548228B2 (en) Void free tungsten fill in different sized features
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR20160140448A (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
US20230122846A1 (en) Feature fill with nucleation inhibition
US20220349048A1 (en) Reducing line bending during metal fill process
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充
KR20240011601A (ko) 고 종횡비 3-d nand 아키텍처 내 텅스텐 워드 라인 충진
KR20240052872A (ko) 반도체 프로세싱 동안 프로세스 가스 램핑

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination