TW202412178A - 鉬整合及無孔隙填充 - Google Patents

鉬整合及無孔隙填充 Download PDF

Info

Publication number
TW202412178A
TW202412178A TW112114336A TW112114336A TW202412178A TW 202412178 A TW202412178 A TW 202412178A TW 112114336 A TW112114336 A TW 112114336A TW 112114336 A TW112114336 A TW 112114336A TW 202412178 A TW202412178 A TW 202412178A
Authority
TW
Taiwan
Prior art keywords
feature
molybdenum
layer
deposition
sidewall
Prior art date
Application number
TW112114336A
Other languages
English (en)
Inventor
馬修 伯特倫 愛德華 格里菲斯
謝曜聰
大衛 約瑟夫 曼迪亞
希瓦難陀 克里希那 卡那卡沙巴怕希
照健 黎
林志民
黃朔罡
羅正錫
阿里亞 沙夫法胡德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202412178A publication Critical patent/TW202412178A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文提供用鉬(Mo)填充特徵部的方法,其可用於邏輯和記憶體應用。方法涉及在特徵部填充之前處理特徵部的表面。在一些實施例中,方法涉及藉由曝露於鹵化鉬來處理特徵部的表面。在一些實施例中,方法涉及藉由選擇性氧化、氮化、或鹵化來處理特徵部的表面。提供執行方法的設備。

Description

鉬整合及無孔隙填充
本文提供用鉬(Mo)填充特徵部的方法及設備。
導電材料的沉積是許多半導體製造製程的不可或缺的一部分。這些材料可用於水平互連部、相鄰金屬層之間的通孔、金屬層和裝置之間的接觸部、及用作記憶體裝置中的線。在沉積的範例中,可使用六氟化鎢(WF6)藉由CVD製程在氮化鈦(TiN)阻擋層上沉積鎢(W)層,以形成TiN/W雙層。然而,隨著裝置尺寸的縮小及產業中使用更複雜的圖案化方案,薄的鎢膜的沉積成為挑戰。特徵部尺寸和膜厚度的持續減小給TiN/W膜堆疊帶來諸多挑戰。這包括較薄之膜的高電阻率和TiN阻擋特性的劣化。複雜的高深寬比結構(例如3D NAND結構)中的沉積特別具有挑戰性。
本文中提供的背景描述係針對概括地呈現本揭露內容之脈絡的目的。就其在本背景部分中所描述的範圍而言,目前列名之發明人的工作,以及在提交申請時不可其他方式作為先前技術之描述的實施態樣皆不明示地或暗示地被認為係抵觸本揭露內容的先前技術。
本文提供用鉬(Mo)填充特徵部的方法,其可用於邏輯和記憶體應用。
在一些實施例中,方法涉及在特徵部填充前藉由曝露於鹵化鉬來執行特徵部表面的處理。
本揭露內容的一態樣相關於一種方法,包括:提供包括特徵部的基板,該特徵部包括含金屬接觸部和介電側壁;藉由曝露於鹵化鉬來處理該特徵部;以及在該特徵部中沉積鉬,其中沉積相對於該介電側壁對該含金屬接觸部呈選擇性。
在一些實施例中,方法更包括在處理該特徵部之前,將該特徵部曝露於含氫電漿。在一些實施例中,在含金屬底部上選擇性沉積鉬包括將該特徵部曝露於鹵氧化鉬。在一些實施例中,處理抑制氧化物或氮化物側壁上的鉬生長。在一些實施例中,執行該處理而不在該特徵部中沉積鉬。在一些實施例中,處理進一步包括將該特徵部曝露於共反應物,該共反應物能夠還原該鹵化鉬,以形成鉬。
在一些實施例中,非晶含鉬層位於該含金屬接觸部上。在一些實施例中,該處理移除該非晶含鉬層。在一些實施例中,處理抑制介電側壁上的鉬生長。在一些實施例中,方法更包括在處理特徵部之前,從含金屬接觸部移除蝕刻殘留物。
在一些實施例中,鹵化鉬是五氯化鉬(MoCl5)。在一些實施例中,選擇性沉積在250℃至550℃、例如300℃至500℃的基板溫度下執行。
本揭露內容的另一態樣關於鉬上鉬整合方案。在一些實施例中,一種方法包括提供包括特徵部的基板,該特徵部具有介電側壁和鉬接觸部;包括鉬接觸部和介電側壁,其中非晶含鉬層位於鉬接觸部的表面處;將特徵曝露於鹵化鉬,以移除非晶含鉬層以及抑制介電側壁上的鉬沉積;以及在該特徵中沉積鉬,其中沉積相對於介電側壁對鉬接觸部呈選擇性。在一些實施例中,鹵化鉬是五氯化鉬(MoCl5)。在一些實施例中,在特徵部中沉積鉬包括將特徵部曝露於鹵氧化鉬。
用鉬(Mo)自下而上填充半導體基板上的特徵部的方法包括選擇性地處理特徵部中的保形襯部層。場區域及/或特徵部側壁的上部分上的襯部層的部分相對於側壁下部分上的襯部層優先被處理。鉬選擇性地沉積在未處理或較少處理的部分上。
本揭露內容的一態樣關於一種方法,包括:(a)提供包括場區域和特徵部的基板,其中該特徵部包括開口、側壁、和底部,其中場區域圍繞開口,且其中襯部層襯於特徵部的側壁;(b)選擇性地處理襯部層,使得場區域及/或側壁的上部分上的襯部層的部分相對於側壁的下部分上的襯部層優先被處理,其中選擇性地處理襯部層形成襯部層的選擇性處理部分;以及(c)在特徵部的底部選擇性地沉積鉬,其中在襯部層的選擇性處理部分上的沉積被抑制。
在一些實施例中,襯部層為氮化鈦或氮化鎢。在一些實施例中,(a)包括將襯部層沉積在特徵部中。在一些實施例中,襯部層為含鎢層或含鉬層。在一些這樣的實施例中,襯部層是鎢層或鉬層。
在一些實施例中,(b)包括場區域及/或側壁的上部分上的襯部層的氧化。在一些實施例中,(b)包括場區域及/或側壁的上部分上的襯部層的氮化。在一些實施例中,(b)包括將基板曝露於離子束電漿。在一些這樣的實施例中,(b)還包括在曝露於離子束電漿期間旋轉和傾斜基板。
本揭露內容的另一態樣關於一種方法,包括:(a)提供包括場區域和特徵部的基板,其中該特徵部包括開口、側壁和底部,其中場區域圍繞開口,且其中襯部襯於特徵部的側壁;(b)選擇性地處理襯部層,使得場區域及/或側壁的上部分上的襯部層的部分相對於側壁的下部分上的襯部層優先被處理,以形成襯部層的處理區域;以及(c)選擇性地蝕刻襯部層的處理區域,留下側壁的下部分上的襯部層的剩下部分;以及(d)在特徵部的底部選擇性地沉積鉬。
在一些實施例中,襯部層為氮化鈦或氮化鎢。
在一些實施例中,(a)包括將襯部層沉積在特徵部中。在一些實施例中,襯部層為含鎢層或含鉬層。在一些這樣的實施例中,襯部層是鎢層或鉬層。在一些實施例中,(b)包括場區域及/或側壁的上部分上的襯部層的氧化。在一些實施例中,(b)包括場區域及/或側壁的上部分上的襯部層的氮化。在一些實施例中,(b)包括將基底曝露於離子束電漿。在一些這樣的實施例中,(b)還包括在曝露於離子束電漿期間旋轉和傾斜基板。
本揭露內容的另一態樣關於一種設備,包括:真空轉移模組;沉積模組,連接至真空轉移模組;離子束蝕刻模組,連接至真空轉移模組;以及控制器,包括機器可讀指令,用於:使基板曝露於離子束蝕刻模組中的離子束電漿,以選擇性地處理基板上的特徵部的襯部層,使得特徵部的場區域及/或側壁的上部分上的襯部層的部分相對於側壁的下部分上的襯部層優先被處理;經由真空轉移模組將基板從離子束蝕刻模組轉移至沉積模組;以及在沉積模組中在特徵部中進行鉬的沉積。
下文參考圖式進一步描述本揭露內容的這些和其他態樣。
在以下描述中,提出許多特定細節,以提供對所呈現實施例的透徹理解。所揭露實施例可在沒有這些特定細節的一些或全部的情形中實施。在其他情形中,沒有詳細描述熟知的製程操作,以免不必要地混淆所揭露實施例。儘管將結合特定實施例對所揭露實施例進行描述,但應理解,這不旨在限制所揭露的實施例。
在整個揭露內容中使用下標「x」和「y」來表示形成穩定化合物的大於0的數字。然而,應注意,缺少「x」或其他下標(例如,在氮化鈦(TiN)或氮氧化鈦(TiON)中)並不意謂著特定的原子比。
本文提供用鉬(Mo)填充特徵部的方法,其可用於邏輯和記憶體應用。Mo膜可以沉積在例如通孔和凹槽的半導體基板特徵部中。Mo膜可以被沉積到線特徵部,作為襯部(liner)層及/或填充特徵部。
在一些實施例中,方法涉及在特徵部中自下而上沉積Mo。自下而上沉積是指相對於特徵部側壁,大部分或全部從特徵部底部生長。使用習知的沉積方法來填充特徵部可能導致全部特徵部表面上的成核和生長。這導致保形生長且可能導致在特徵部中形成孔隙及/或接縫。例如,當特徵部頂部的生長可能夾斷特徵部時,可能形成孔隙。當膜從側壁向內生長時,可能在特徵部的中心形成接縫。自下而上的沉積可以避免在填充製程中在特徵部中形成孔隙和接縫。
雖然主要以Mo的脈絡進行描述,但是方法可用於沉積其他金屬,包括W、Co、和Ru。對於一些應用,鉬相較於其他金屬(例如鈷(Co)、釕(Ru)、和鎢(W))提供多種優勢:(i) 相較於鈷、釕、和鎢的沉積,無阻擋且無襯部的鉬膜沉積在氧化物和氮化物上更可行,(ii)Mo的電阻率縮放優於鎢,(iii) 在低於450℃的溫度下,相較於Ru與Co的互混,預期Mo不會與下方的Co互混,以及(iv)與銅和釕相比,Mo相對容易整合到當前的W方案中。
圖1A和圖1B是根據諸多實施例的包括Mo層的材料堆疊的示意性範例。圖1A和1B顯示特定堆疊的範例中的材料序列,且可以與任何適當的架構和應用一起使用,如下文關於圖2A-2L、3、4、6A-6C、10A-10C、13A-13D、和14A-14D進一步描述。圖1A顯示第一材料堆疊111,其特徵在於基板102和沉積在其上的鉬層108。基板102可以是矽或其他半導體晶圓,例如200mm晶圓、300mm晶圓或450mm晶圓,包括具有一或更多材料層(例如介電、導電或半導電材料)沉積於其上的晶圓。在一些實施例中,基板102可以是或者包括矽(Si)或矽鍺(SiGe)。方法還可以應用於在例如玻璃、塑膠等的其他基板上形成金屬化堆疊結構。
堆疊111具有位於基板102上的介電層104。介電層104可以直接沉積在基板102的半導體表面(例如,Si或SiGe表面)上,或者可以有任何數量的中間層。例如,基板102可以包括以諸多設置沉積在半導體表面上的任何數量的層。
介電層的範例包括摻雜和未摻雜的氧化矽、氮化矽和氧化鋁層,特定範例包括氮化矽(SiN)、二氧化矽(SiO 2)和氧化鋁(Al 2O 3)的摻雜或未摻雜層。堆疊111具有設置在鉬層108和介電層104之間的層106。層106可以是例如擴散阻擋層及/或附著層。擴散阻擋層是防止層間物種擴散的層。附著層是促進層與下方層附著的層。擴散阻擋層和附著層的範例包括氮化鈦(TiN)、鈦/氮化鈦(Ti/TiN)、鎢(W)、氮化鎢(WN)和碳氮化鎢(WCN)。鉬層108是該結構的主要導體。在一些實施例中,鉬層108可以包括在不同條件下沉積的多主體層。鉬層108可以包括或可以不包括鉬成核層。在圖1A所示的範例中,鉬層108直接沉積在層106上。在其他實施例(未顯示)中,鉬層108可以沉積在單獨的層上,例如包括另一材料的生長起始層,例如鎢(W)或含W的生長起始層。生長起始層可用於促進鉬層108的成核和生長。
圖1B顯示堆疊121的另一範例。在該範例中,堆疊121包括基板102、介電層104,其中鉬層108直接沉積在介電層104上,沒有中間的擴散阻擋層或附著層。鉬層108如關於圖1A所描述。藉由使用鉬作為主要導體,可以獲得低電阻率薄膜。低電阻率薄膜的範例包括在60埃厚度下電阻率小於40uOhm-cm及在200埃厚度下電阻率小於15uOhm-cm的膜。
在一些實施例中,堆疊(未顯示)可以包括基板、導電層和沉積到導電層上的鉬層。如本文所使用的,導電層是在腔室溫下具有至少104Ω -1-cm -1的導電率的層。範例包括金屬層(例如,W層或另一Mo層)上的鉬。在這些實施例中,在鉬層和導電層之間沒有介電層。類似地,堆疊可以包括直接沉積在金屬化合物層上的鉬。範例包括金屬氮化物層(例如TiN、WN或MoN)上的鉬。在堆疊的又一些其他實施例(未顯示)中,堆疊可以包括基板和直接沉積在基板上的鉬層,包括直接沉積在半導體表面上、介電表面上或導電表面上。圖1A和1B顯示特定堆疊中的材料序列的範例,且可以與任何適當的架構和應用一起使用,下文參考圖2A-2L、3、4、6A-6C、10A-10C、13A-13D和14A-14D進一步描述範例。
本文描述的方法在可容納在腔室中的基板上執行。基板可以是矽或其他半導體晶圓,包括具有一或更多材料層(例如介電、導電或半導電材料) 沉積在其上的晶圓。方法不限於半導體基板,且可加以執行以用鉬填充任何特徵部。
基板可以具有例如通孔或接觸孔的特徵部,該特徵部的特徵可為一或更多窄及/或凹入開口、特徵部內的收縮、及高深寬比。特徵部可以形成在上述堆疊或堆疊內的層中的一或更多者中。例如,特徵部可以至少部分地形成在介電層中。在一些實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約25:1或更高的深寬比。特徵部的一範例是半導體基板或基板上的層中的孔或通孔。
圖2A描繪DRAM架構的示意性範例,包括矽基板202中的Mo掩埋字線(bWL,buried wordline)208。Mo bWL形成在矽基板202中蝕刻的凹槽中。保形的阻擋層206和絕緣層204內襯於凹槽。保形阻擋層206設置在絕緣層204和矽基板202之間。在該範例中,絕緣層204可以是由高k介電材料形成的閘極氧化物層,例如氧化矽或氮化矽材料。在本文揭露的一些實施例中,保形阻擋層206是TiN或含鎢層,例如WN或WCN層。在一些實施例中,保形含鎢生長起始層(未顯示)可以存在於保形阻擋層206和鉬bWL208之間。或者,鉬bWL 208可以直接沉積在TiN或其他擴散阻擋部上。在一些實施例中,層204和206中的一者或兩者不存在。
圖2A所示的bWL結構是包括鉬填充層的架構的一範例。在bWL的製造期間,鉬被沉積到特徵部中,該特徵部可以由矽基板202中的蝕刻凹部來定義,該矽基板202用層206及/或204(如果存在的話)保形地加以襯墊。
圖2B-2H是根據揭露的實施例可將鉬沉積到其中的諸多結構的額外的示意性範例。圖2B顯示要填充Mo的垂直特徵部201的剖面圖的範例。特徵部可以包括矽基板202中的特徵孔205。特徵孔205可以具有襯在特徵孔205之側壁或內部的下方層203,且可形成內部表面。特徵孔205或其他特徵部可以具有靠近開口的尺寸,例如約10nm至500nm之間、例如約25nm至約300nm之間的開口直徑或線寬。特徵孔205可被稱為未填充特徵部或簡稱為特徵部。垂直特徵部201及任何特徵部的部分特徵可為延伸穿過特徵部長度的軸線218,其中垂直定向的特徵部具有垂直軸線且水平定向的特徵部具有水平軸線。下方層203可以是例如擴散阻擋層、附著層、成核層、其組合或任何其他適用的材料。下方層的非限制性範例可以包括介電層和導電層。介電材料的範例包括氧化物,例如SiO 2和Al 2O 3;氮化物,例如SiN;碳化物,例如氮摻雜碳化矽(NDC,nitrogen-doped silicon carbide)和氧摻雜碳化矽(ODC,oxygen-doped silicon carbide);及低k介電質,例如碳摻雜SiO 2。在特定實施方式中,下方層可以是鈦、氮化鈦、氮化鎢、鋁化鈦、鎢、和鉬其中一或更多者。在一些實施例中,下方層不含鎢。在一些實施例中,下方層不含鉬。
在一些實施例中,特徵部是3D NAND結構中的字線特徵部。例如,基板可以包括具有任意數量字線(例如,50至450)的字線結構,其中垂直通道至少為200Å深。下文進一步描述字線特徵部的範例。特徵部的另一範例是基板或層中的凹槽。特徵部可具有任意深度。在諸多實施例中,特徵部可以具有下方層,例如阻擋層或附著層。下方層的非限制性範例包括介電層和導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物和金屬層。
圖2C顯示具有凹入輪廓的垂直特徵部201的範例。凹入輪廓是從特徵部的底部、封閉端、或內部到特徵部開口變窄的輪廓。根據諸多實施方式,輪廓可以逐漸變窄及/或包括在特徵部開口處的懸部。圖2C顯示後者的範例,其中下方層213襯在特徵孔205的側壁或內表面。類似於圖2B,下方層213可以是擴散阻擋層、附著層、成核層、其組合或任何其他適用的材料。下方層的非限制性範例可以包括介電層和導電層。下方層213形成懸部215,使得下方層213在垂直特徵部201的開口附近比在垂直特徵部201內部更厚。
在一些實施方式中,可以填充特徵部,該特徵部內具有一或更多收縮部。圖2D顯示具有收縮部的諸多填充特徵部的視圖的範例。圖2D中的範例(a)、(b)和(c)中的每一者包括在特徵部內的中點處的收縮部209。收縮部209的寬度例如可以在約15nm至20nm之間。在使用習知技術在特徵部中沉積鉬的期間,收縮可能導致夾斷,在特徵部的部分被填充之前,沉積的金屬阻擋進一步沉積物經過收縮部,從而導致特徵部中的孔隙。範例(b)更包括特徵部開口處的懸部215(例如,襯部/阻障懸部)。這種懸部也可能是潛在的夾斷點。範例(c)包括比範例(b)中的懸部215更遠離場區域的收縮部212。
還可以填充例如3D記憶體結構中的水平特徵部。圖2E顯示包括收縮部251的水平特徵部250的範例。例如,水平特徵部250可以是3-D NAND(也稱為垂直NAND或VNAND)結構中的字線。在一些實施方式中,收縮部可能是由於3D NAND或其他結構中存在支柱所致。圖2F呈現3-D NAND結構210(形成在矽基板202上)的剖面側視圖,其具有3-D NAND堆疊(左225和右226)、中心垂直結構230、及複數堆疊水平字線特徵部,該複數堆疊水平字線特徵部具有在中心垂直結構230的相對側壁240上的開口222。注意,圖2F顯示所展示的3-D NAND結構210的兩「堆疊」,其一起形成「凹槽狀」中心垂直結構230。然而,在某些實施例中,可以有多於兩個這樣的堆疊,其按序列佈置且在空間上彼此平行延伸,每對相鄰堆疊之間的間隙形成中心垂直結構230,如圖2F中明確顯示的那樣。在該實施例中,水平字線特徵部220是3-D記憶體字線特徵部,其係藉由開口222從中心垂直結構230流體地存取。雖然在圖中未明確指示,但是存在於圖2F所示之3-D NAND堆疊225及226兩者(亦即,左側3-D NAND堆疊225和右側3-D NAND堆疊226)中的水平字線特徵部220亦可透過由額外3-D NAND堆疊所形成之類似垂直結構從堆疊的其他側(分別為最左側和最右側)加以存取(至最左側和最右側,但未顯示)。每一3-D NAND堆疊225、226包含可藉由中心垂直結構230從3-D NAND堆疊的兩側流體存取的字線特徵部堆疊。在圖2F中示意性地顯示的特定範例中,每一3-D NAND堆疊包括6對堆疊字線。然而,3D NAND記憶體佈局可以包含任意數量的垂直堆疊的字線對。
3-D NAND堆疊中的字線特徵部可以藉由以下者形成:沉積氧化矽層和氮化矽層的交替堆疊,以及然後選擇性地移除氮化物層,留下氧化物層堆疊,該氧化物層堆疊之間具有間隙。這些間隙是字線特徵部。任何數量的字線可垂直堆疊在這樣的3-D NAND結構中,只要有可用的形成技術,以及可用於成功地實現垂直特徵部的(實質上)無孔隙填充技術即可。因此,例如,VNAND堆疊可以包括2到512個之間的水平字線特徵部、2到256個之間的水平字線特徵部、8到128個之間的水平字線特徵部、或16到64個之間的水平字線特徵部等(列出的範圍應理解為包括所引用的終點)。
圖2G呈現圖2F中的側視圖中所示的相同3-D NAND結構210的剖面俯視圖,其中穿過水平部分260截取剖面,如圖2F中水平虛線指示。圖2G的剖面顯示若干列的柱255,其在圖1F中顯示為從基板202的基部垂直延伸到3-D NAND結構210的頂部。在一些實施例中,柱255是由多晶矽材料形成,且結構上及功能上對於3-D NAND結構210為顯著。在一些實施例中,這樣的多晶矽柱可以用作在柱內形成的堆疊記憶體單元的閘電極。圖2G的俯視圖顯示柱255在開口222中形成通向字線特徵部220的收縮部。從中心垂直結構230經由開口222對字線特徵部220的流體訪問(如圖2G中的箭頭所示)被柱255抑制。在一些實施例中,相鄰多晶矽柱之間的水平間隙的尺寸在約1和20nm之間。流體可存取性的這種減少增加用材料均勻地填充字線特徵部220的難度。圖2H、2I和2J進一步顯示字線特徵部220的結構及用鉬材料均勻地填充其的挑戰(起因於柱255的存在)。
圖2H顯示類似於圖2F中所示的穿過3-D NAND結構的垂直切面,但是這裡聚焦於單對字線特徵部220且另外示意性地顯示填充製程,該填充製程導致孔隙275形成在填充的字線特徵部220中。圖2I還示意性地顯示孔隙275,但是在該圖中藉由穿過柱255的水平切面顯示,類似於圖2G中展示的水平切面。圖2J顯示鉬材料在收縮部形成柱255周圍的累積,該累積導致開口222的夾斷,使得沒有額外的鉬材料可以沉積在孔隙275的區域中。從圖2H和2I明顯的是,無孔隙鉬填充依賴於在鉬於柱255周圍的累積沉積導致開口222的夾斷且阻止前驅物進一步遷移至字線特徵部220中之前,足夠量的沉積前驅物向下遷移穿過中心垂直結構230、穿過開口222、經過收縮柱255、以及進入字線特徵部220的最遠範圍。類似地,圖2J顯示從上方剖面觀察的單個字線特徵部220,且顯示鉬材料的實質上保形沉積如何開始夾斷字線特徵部220的內部,這是由於以下事實:柱255的顯著寬度用於部分阻擋、及/或變窄、及/或收縮開放路徑,該開放路徑否則將是穿過字線特徵部220的開放路徑。(應注意,圖2J中的範例可以被理解為圖2I中所示的柱收縮部的結構的3D特徵部的2D呈現,從而說明在平面圖中而非剖面圖中看到的收縮部。)
三維結構可能需要更長時間及/或更集中地曝露於前驅物,以允許最內部和最底部區域被填充。當採用鹵化鉬及/或鹵氧化鉬前驅物時,三維結構可能特別具有挑戰性,因為其易於蝕刻,且更長且更集中的曝露允許結構的部分的更多的蝕刻。
圖2K和2L顯示不對稱凹槽結構DRAM bWL的範例。一些用於 DRAM bWL凹槽的填充製程可能使凹槽變形,從而導致最終凹槽寬度和電阻 Rs明顯不均勻。圖2K顯示未填充特徵部261和填充後表現出線彎曲的填充特徵部265。在此範例中,特徵部是窄非對稱凹槽結構DRAM bWL。如圖所示,在基板上描繪複數特徵部283。這些特徵部283間隔開,且在一些實施例中,相鄰特徵部具有約20nm至約60nm之間或約20nm至40nm之間的節距。節距定義為一特徵部的中軸與相鄰特徵部的中軸之間的距離。未填充特徵部261可以是實質上V形的,如特徵部283所示,具有傾斜側壁,其中特徵部的寬度從特徵部的頂部到特徵部的底部變窄。特徵部從特徵部底部273b向特徵部頂部273a變寬。在一些填充操作之後,可以在填充特徵部265內觀察到線彎曲。在一些情形中,凹槽的相對表面之間的內聚力將凹槽側邊拉在一起,如箭頭267所示。這種現像在圖2L中顯示且可特徵化為「壓縮(zipping up)」特徵部。當特徵部283被填充時,從特徵部283的中心軸線299施加更多的力,導致線彎曲。例如,鉬可以沉積在特徵部283的側壁上。因此,沉積在特徵部283的側壁上的鉬284a和284b緊密接近地相互作用,其中鉬-鉬鍵半徑r為小,從而在平滑生長的鉬表面之間導致內聚的原子間力,且將側壁拉在一起,從而導致線彎曲。
下文提供用鉬填充特徵部的方法。本文描述的方法包括表面處理和沉積操作,其可用於填充例如上述者的基板特徵部。如上所述,與其他金屬相比,鉬提供若干優點。下文描述水平定向和垂直定向特徵部的特徵部填充的範例。應注意,至少在大多數情形中,這些範例適用於水平方向和垂直方向的特徵部。水平定向的特徵部通常指的是定向為使得特徵部軸線平行於基板表面的平面的特徵部。垂直定向的特徵部通常是指定向為使得特徵部軸線與基板表面的平面正交的特徵部。
參考圖3-8描述填充特徵部的方法,其包括在特徵部填充之前將特徵部曝露於鹵化鉬。如所描述,鹵化鉬可以蝕刻、沉積、及/或以其他方式處理特徵部底部及/或側壁上的材料。
在一些實施例中,方法用於填充特徵部,以接觸下方的金屬。圖3中顯示這種特徵部的範例。在301處,顯示未填充特徵部312。未填充特徵部312形成在氧化物層305中,且將用Mo填充,以與下方金屬303接觸。未填充特徵部312由側壁表面315和底部表面317定義。
根據諸多實施例,側壁表面315和底部表面317可以是相同或不同的材料。在一些實施例中,可以曝露氧化物層305,以形成側壁表面315。類似地,可以曝露下方金屬303,以形成底部表面317。在一些實施例中,表面氧化可以導致底部表面317為金屬氧化物。在一些實施例中,可以在特徵部的側壁及/或底部上形成襯部層(未顯示),以形成側壁表面315及/或底部表面317。襯部層的範例包括TiN、WN、和WCN。在一些實施例中,襯部層可以是含鉬襯部層,例如氮化鉬(MoN)層。
在一些實施例中,側壁表面315和底部表面317不同。在隨後的沉積操作中,Mo可以在其優先在底部表面317上成核的條件下進行沉積。這可以促進自下而上的填充,以及防止孔隙的形成。
下方金屬及/或底部表面的範例包括TiN、碳化鈦鋁(TiAlC)、W、Co、Mo、Ru、Cu、鎳(Ni)、銥(Ir)、銠(Rh)、鉭(Ta)、鈦(Ti)和氮化鉭(TaN)。
本文描述的方法解決隨著特徵部尺寸減小而發生的諸多挑戰。例如,由於較深的特徵部、特徵部開口附近的凹入輪廓、及/或特徵部底部金屬表面和側壁介電表面之間的生長選擇性不足,無孔隙間隙填充在小特徵部中變得更具挑戰性。較小的特徵部可能導致更頻繁的圖案失準。失準特徵部的範例在350處顯示,其中未填充特徵部312不在下方金屬303上方居中。因此,底部表面317包括金屬和介電材料。
在一些實施例中,方法可以用在鉬上鉬整合方案中。圖4顯示這種整合方案的範例。層401包括介電質402和Mo403。蝕刻停止層(ESL,etch stop layer)404設置在層401上方。ESL 404可以是例如SiN。在ESL 404上沉積介電層405。然後對介電層405進行圖案化和蝕刻,其中蝕刻在ESL 404處(未顯示)停止。然後從特徵部412移除ESL 404,形成未填充特徵部412。
含Mo層410可以在先前的處理操作期間形成在Mo403的表面處。含Mo層410通常是非晶層。其相對薄,例如約0.5nm至3nm。其可包含諸多雜質,例如氧、氮及/或其他鹵素。雖然可以藉由氫(H 2)電漿移除表面氧化,但含Mo層410通常耐受H 2電漿。如果留在裝置中,其可能在Mo 403和隨後沉積的 Mo膜之間的界面處導致更高的電阻。
本揭露內容的態樣涉及在特徵部中沉積Mo之前進行的表面處理。根據諸多實施例,表面處理涉及曝露於鹵化鉬。在一些實施例中,在沒有共反應物的情形中提供鹵化鉬,且不發生沉積。在一些實施例中,鹵化鉬與共反應物一起提供。可以沉積Mo的薄層。
在一些實施例中,特徵部包括介電表面,例如介電側壁表面。表面處理可以抑制介電表面上的生長,從而增強隨後在導電表面上沉積期間的選擇性。在一些實施例中,所提供的特徵部包括如上所述的含Mo層。表面處理可以移除該層,產生清潔的Mo表面,用於沉積和Mo-Mo互連部的形成。
圖5是顯示用鉬填充特徵部的方法中的例示性操作的製程流程圖。製程開始於操作501,其中提供具有介電側壁和含金屬接觸部的特徵部。含金屬接觸部可以位於特徵部的底部,其中介電側壁從特徵部開口延伸至含金屬接觸部。特徵部可以被提供給製程腔室。在一些實施例中,一或更多處理操作可以在製程腔室中進行,以形成具有介電側壁和含金屬接觸部的特徵部。
介電側壁的範例包括含矽層,例如氧化物和氮化物。含金屬接觸部的範例包括金屬和金屬化合物膜。含金屬接觸部通常可導電,在腔室溫下具有至少104Ω -1-cm -1的導電率。範例包括TiN、TiAlC、W、Co、Mo、Ru、Cu、Ni、Rh、Ir、Ta、Ti和TaN。
在一些實施例中,表面氧化物存在於含金屬接觸部上。更進一步,在一些實施例中,包含其他雜質的層存在於含金屬接觸部上。範例是參考圖4描述的非晶含Mo層。
在一些實施例中,在操作501之前,執行蝕刻操作,以從特徵部的至少側壁移除襯部層。例如,特徵部可以包括保形地塗覆底部和側壁的TiN襯部層。可以執行蝕刻,以從側壁移除TiN層,曝露介電材料。側壁表面則為氧化矽或其他介電材料。
在操作503中,執行可選的清潔。例如,操作503可以移除表面氧化物及/或蝕刻殘留物。蝕刻殘留物的範例包括碳氟化合物和烴聚合物(hydrocarbon polymer)。在一些實施例中,操作503涉及曝露於還原電漿,例如H 2電漿。在一些實施例中,操作503處理介電側壁。例如,其可以移除有機材料及/或還原介電側壁中的氧。這可以改善隨後的含金屬表面上的Mo生長的選擇性。
在操作505中,執行表面處理。表面處理涉及曝露於鹵化鉬氣體。這通常是無電漿操作。無電漿是指在不啟用電漿的情形中進行的操作。如下文進一步討論,操作505可以涉及或可以不涉及鉬的沉積。
在存在如上面參照圖4所述的非晶含Mo層的實施例中,操作505移除該層的全部或至少一部分。在相同或其他實施例中,操作505抑制介電側壁表面上的成核。在一些實施例中,操作503在操作505之後執行。
製程在操作507處繼續,其中在含金屬接觸部上選擇性沉積Mo。在一些實施例中,該操作涉及使用鹵化鉬或鹵氧化鉬前驅物的反應。製程可以繼續,在操作509中用Mo填充特徵部。相同或不同的Mo前驅物可以用於操作507和509。
圖6A-6C顯示特徵部612的示意性範例,其經歷根據圖5的製程的範例。首先,在圖6A中,在650處,顯示特徵部612,其包括含金屬接觸部603和介電側壁615。在該範例中,含金屬接觸部603是Mo接觸部。鉬將沉積在特徵部612中,以接觸Mo接觸部。顯示非晶含Mo界面層610和表面氧化物611。在該範例中,介電側壁615的表面是氧化矽。還顯示蝕刻停止層(ESL,etch stop layer)604。
在651處,顯示在執行操作503之後的特徵部。使用H 2電漿來移除表面氧化物611。如上所述,該操作還處理介電側壁615,其係以改善後續在含金屬接觸部603上Mo生長的選擇性的方式進行。
回到圖6B,在652處,顯示特徵部612,其正在經歷上文關於圖5的操作505描述的表面處理。移除非晶含Mo界面層610。如箭頭所示,處理也影響氧化物表面,抑制隨後的鉬成核。
在653處,顯示特徵部,其在如上文關於圖5的操作507所述的選擇性沉積之後。觀察到自下而上的非保形填充。Mo 605從下方含金屬接觸部603生長,而從側壁表面沒有生長或顯著較少生長。因此,Mo 605沒有接縫或孔隙。
在圖6C中,在654處,顯示特徵部,其在完成如上文關於圖5的操作509所述的特徵部的填充之後。剩下的填充可以是自下而上的或保形的。Mo 607的覆蓋沉積顯示在655處。
根據諸多實施例,如上文參考圖5的操作505所述的表面處理涉及曝露於鹵化鉬。在一些實施例中,使用氯化鉬化合物。含鉬化合物在本文中也稱為含Mo前驅物或Mo前驅物。氯化鉬由式MoClx給出,其中x為2、3、4、5或6,且包括二氯化鉬(MoCl 2)、三氯化鉬(MoCl 3)、四氯化鉬(MoCl 4)、五氯化鉬(MoCl 5)和六氯化鉬(MoCl 6)。在一些實施例中,使用MoCl 5或MoCl 6。雖然描述主要關於MoClx化合物,但在其他實施例中,可使用其他鹵化鉬。鹵化鉬前驅物由式MoXz給出,其中X是鹵素(氟(F)、氯(Cl)、溴(Br)或碘(I)),且z是2、3、4、5或6。MoXz前驅物的範例包括氟化鉬(MoF 6)。在一些實施例中,使用不含氟的MoXz前驅物來防止氟蝕刻或摻入。在一些實施例中,使用不含溴及/或不含碘的MoXz前驅物來防止蝕刻,或溴或碘的摻入。
在一些實施例中,操作505涉及在沒有共反應氣體的情形中曝露於鹵化鉬化合物。在此類實施例中,前驅物可以以連續劑量脈衝或輸送。圖7顯示表面處理序列的兩範例。首先,MoCl 5與氬(Ar)和其他惰性氣體一起脈衝進行N個循環。其次,輸送連續劑量的MoCl 5,然後為Ar沖淨。
在一些實施例中,操作505涉及曝露於鹵化鉬化合物與共反應氣體,以沉積Mo。共反應物通常是H 2,但也可使用如下所述的其他還原劑。圖8顯示表面處理序列的範例。在例示性序列801中,MoCl 5脈衝與H 2脈衝交替,中間有沖淨氣體脈衝。在例示性序列802中,MoCl 5脈衝與H 2脈衝交替,沒有中間的沖淨氣體脈衝。在另一例示性序列中(未圖示),MoCl 5脈衝與H 2脈衝交替,其中在每一循環中,僅在一反應氣體之後緊接著沖淨氣體脈衝。在第三例示性序列803中,MoCl 5與H 2一起流動。在進一步的例示性序列804中,共流反應物與交替的Ar脈衝來一起脈衝。在另一例示性序列805中,H 2氣體可以流入腔室中且連續地流入腔室中,同時MoCl 5間歇地流入腔室中。
在圖7和圖8中,可以分別使用另一鹵化鉬及/或另一惰性氣體,而非MoCl 5和Ar。
在一些實施例中,當除Mo之外的金屬位於特徵部底部時,可以採用如圖8所示的表面處理(用共反應物來沉積Mo)。在這樣的實施例中,可以形成Mo表面層,以促進隨後的Mo生長。例如,如果W、Co或Ru層位於特徵部底部,則可使用如圖8所示的表面處理來形成薄的Mo表面層。
在例示性方法中,在處理後使用氯氧化鉬(MoO 2Cl 2)在兩表面上沉積Mo:a)從原矽酸四乙酯(tetraethyl orthosilicate)(TEOS氧化物)沉積的二氧化矽、和b)TiN。在下表所述的處理之後發生沉積。第一處理僅涉及H 2電漿,第二處理包括H 2電漿,隨後為氯化鉬處理,且第三處理包括H 2電漿,隨後為氯化鉬和氫氣處理。下表顯示沉積的鉬的總厚度,單位為埃。
僅H 2電漿預清潔 H 2電漿預清潔+30個脈衝 MoClx表面處理 H 2電漿預清潔+40個循環 MoClx/H 2
1 kÅ TEOS氧化物 98 6 11
50 Å PVD TiN 173 188 209
選擇性比率 1.8 31 19
與TiN相比,兩種鹵化鉬表面處理都導致二氧化矽上顯著的成核延遲。兩種表面處理也增加鉬上的沉積。亦執行沉積來評估特徵部填充。在無表面處理的情形中(僅預清潔),由於缺乏選擇性,可能觀察到孔隙。兩種表面處理均觀察到無孔隙的間隙填充。
本揭露內容的另一態樣涉及用金屬填充特徵部的方法,其涉及在沉積之前對特徵部的側壁進行選擇性處理。下文參考圖9-15描述這些方法。如上所述,方法附加於鹵化鉬處理,或沒有鹵化鉬處理。
圖9是顯示根據某些實施例的用Mo膜填充特徵部的方法的製程流程圖。應用範例包括中段(MOL,middle-of-line)互連部和後段(BEOL,back end of line)互連部。在一範例中,方法可以用於源極/汲極接觸部填充。方法900開始於在操作901中提供基板,該基板包括要在其中沉積Mo的特徵部。可以將基板提供給半導體處理工具。
特徵部可以是形成在介電層中的凹槽或通孔。介電材料的範例包括氧化物,例如氧化矽(SiO 2)和氧化鋁(Al 2O 3);氮化物,例如氮化矽(SiN);碳化物,例如氮摻雜碳化矽(NDC)和氧摻雜碳化矽(ODC);及低k介電質,例如碳摻雜SiO 2。Mo可以沉積在特徵部中,以電性接觸下方層。下方層的範例包括金屬、金屬矽化物、和半導體。金屬的範例包括Co、Ru、Cu、W、Mo、鎳(Ni)、銥(Ir)、銠(Rh)、鉭(Ta)、和鈦(Ti)。金屬矽化物的範例包括矽化鈦(TiSix)、矽化鎳(NiSix)、矽化鉬(MoSix)、矽化鈷(CoSix)、矽化鉑(PtSix)、矽化釕(RuSix)和矽化鎳鉑(NiPtySix)。半導體的範例包括矽(Si)、矽鍺(SiGe)和砷化鎵(GaAs),有或沒有半導體摻雜劑,例如碳(C)、砷(As)、硼(B)、磷(P)、錫(Sn)和銻(Sb)。
特徵部通常具有帶側壁表面的側壁和帶底部表面的底部。側壁可以由一或更多層製成。側壁從場區域延伸至底部。特徵部底部可以從特徵部中的第一側壁延伸到特徵部中的第二側壁,且可以由一或更多層製成。側壁表面是側壁上的曝露區域,且在晶圓處理期間中可能改變。例如,在第二材料沉積到側壁上之後,側壁表面可以從第一材料改變為第二材料。類似地,底部表面是底部的曝露區域,且在晶圓處理期間中可能發生改變。在一些實施例中,側壁表面可以是與底部表面相同的材料。例如,在一些實施例中,所提供的側壁表面和底部表面是TiN。在一些實施例中,側壁表面的材料可以不同於底部表面的材料。例如,底部表面可以是金屬矽化物且側壁表面可以是矽氧化物,例如SiO 2
在任何Mo沉積之前,襯部層可以襯於未填充特徵部且形成側壁表面及/或底部表面。在一些實施例中,襯部層襯於整個特徵部,且形成側壁表面和底部表面。在一些其他實施例中,襯部層僅襯於特徵部的一部分。例如,TiN層可以襯於側壁,且不襯於底部表面。在一些實施例中,襯部層是擴散阻擋層及/或附著層。襯部層的材料的範例包括金屬氮化物(例如,TiN或氮化鉭(TaN)阻擋層)和金屬(例如,Ti附著層)。
在一些實施例中,底部和側壁表面被氧化。氧化可能是由於將特徵部的表面曝露於空氣或其他氧化條件下引起的。例如,金屬矽化物(MSix,其中M是金屬)表面在曝露於空氣時可能被氧化成氧化金屬矽化物(MSixOy)。氧化表面的其他範例包括氧化金屬氮化物(MNxOy)、氧化矽(SiOx)和氧化矽-鍺(SiGeOx)。
在一些實施例中,氧化條件在基板處理或轉移操作期間偶然發生。在一些實施例中,如下文進一步描述執行意圖性的氧化。
在一些實施例中,襯部層是保形金屬層,例如保形W或Mo層。這將在下文進一步描述。
在操作902中,選擇性地處理襯部層,使得處理場區域及/或至少側壁的上部分而不處理底部表面或僅在較小程度上處理底部表面。
根據諸多實施例,操作902可以涉及場區域及/或特徵部的上側壁的選擇性氧化或氮化。此外,在一些實施例中,操作902涉及場區域及/或特徵部的上側壁的選擇性鹵化。
在一些實施例中,操作902涉及場區域及/或特徵部的上側壁的選擇性氧化。例如,TiN層可以被氧化以形成氮氧化鈦(TiON)。在另一範例中,Mo或W襯部層被氧化以形成MoOx或WOx層。
在一些實施例中,操作902涉及場區域及/或特徵部的上側壁的選擇性氮化。在範例中,處理Mo或W襯部層以形成MoN或WN層。可以形成的層的其他範例包括碳氮化鎢(WCN)和碳化鉬(MoC)。
在一些實施例中,操作902涉及場區域及/或特徵部的上側壁的選擇性鹵化。在一範例中,處理Mo或W襯部層以形成MoXy或WXy層,其中X是任何鹵素且y是0至3之間的數字,包括端點。在另一範例中,處理MoNz或WNz以形成MoNzXy或WNzXy層,其中X是任何鹵素且y是0至3之間的數字(包括端點),且z是0至2之間的數字(包括端點)。在另一範例中,處理MoCz或WCz以形成MoCzXy或WCzXy層,其中X是任何鹵素且y是0至3之間的數字(包括端點),且z是0至2之間的數字(包括端點)。在另一範例中,處理MoOz或WOz以形成MoOzXy或WOzXy,其中X是任何鹵素,且y是0至3之間的數字(包括端點),且z是0至2之間的數字(包括端點)。
在一些實施例中,操作902選擇性地抑制隨後在處理過的表面上的沉積。在一些實施例中,操作902之後是經處理襯部層的蝕刻。這些方法提供不同的沉積表面,有利於特徵部底部的選擇性沉積和自下而上的填充。
然後在操作903中用Mo填充特徵部。下文進一步描述Mo的沉積。
圖10A顯示在某些實施例中待用Mo填充的特徵部的範例。顯示具有氮化鈦(TiN)襯部層1015的特徵部1001。特徵部1001形成在介電材料1013中以連接到下方金屬矽化物(MSix)1007。下方的MSix連接到半導體層1006,例如矽(Si)或矽-鍺(SiGe)。該堆疊可以用在電晶體接面結構中。MSix層的一範例是矽化鈦(TiSix)。
TiN襯部層1015襯於特徵部1001。TiN襯部層1015是用在源極/汲極應用的凹槽接觸部中的例如TiSix的金屬矽化物頂部上的擴散阻擋層。TiN層1015的目的是防止MSix與上方的金屬發生任何潛在的反應。另一目的是保護 MSix或其他層免受氟攻擊。另一目的是防止MSix在空氣中或在後續處理期間被氧化。在圖10A的範例中,TiN層1015位於特徵部1001的特徵部側壁1011、特徵部底部1005和場區域1017上。
特徵部1001中例如鉬的金屬的沉積可導致Mo在全部區域上成核。隨著薄膜的生長,可能導致特徵部頂部處的夾斷,從而阻止特徵部中反應物的進一步擴散以及導致孔隙的形成。這發生在如圖10A所示的特徵部中,及具有均勻側壁和底部表面的其他特徵部中。
圖10B顯示在選擇性氧化以在場區域1017和上側壁部分1011a上形成TiON層1015a之後的特徵部1001。TiN襯部層1015保留在底部表面1005及下側壁部分1011b上。TiON層中的氧濃度可以隨著特徵部深度呈減小的梯度。
圖10C顯示Mo沉積之後的特徵部1001。Mo膜的成核在TiON層1015a上被抑制。這允許Mo從特徵部底部1005生長,導致主體Mo 1023自下而上的沉積。填充可以繼續,以完全填充特徵部1001。
圖11是顯示在TiN和氧化TiN(TiON)上沉積Mo的增加次數的ALD循環後的膜厚度的圖。從圖11可以看出,TiON上的Mo生長受到抑制。TiN藉由物理氣相沉積(PVD)加以沉積。
在一些實施例中,在選擇性氧化之前,將例如Mo、MoN、W、WCN或WN的金屬或含金屬膜的襯部層保形地沉積在特徵部中。其可以沉積在TiN層或其他襯部層(如果存在)上,或者可以是特徵部中的第一襯部層。其被選擇性氧化,以形成金屬氧化物層,類似於圖2B中的TiON層,然後在特徵部的底部中進行選擇性沉積。下文參考圖14A-14D進一步描述範例。
圖12是顯示用Mo膜填充特徵部的方法1200的製程流程圖。在操作1211中,提供包括特徵部的基板。該特徵部待填充Mo。操作1211可以如上關於圖9的操作901所描述。在操作1212中,保形的含金屬襯部層沉積在特徵部中。在操作1213中,處理場區域及/或側壁的上部分。根據諸多實施例,這可以涉及場區域及/或側壁的上部區域的氧化及/或氮化。在操作1214中,選擇性地蝕刻處理過的區域。操作1214可以涉及曝露於鹵化鉬化合物,如下文進一步描述。結果是從處理過的區域移除保形的含金屬層。這可能曝露介電側壁。然後,如上文關於圖9的操作903所述,將鉬沉積在特徵部中。
圖13A-13D顯示根據圖12的方法的示意性範例。在圖13A中,顯示形成在介電層1313中的特徵部1301。其包括介電側壁1305和特徵部底部1304。場區域1303圍繞特徵部開口。保形襯部層1315襯於特徵部1301,包括襯於介電側壁1305和特徵部底部1304。在一些實施例中,保形襯部層1315可以是例如TiN層的擴散阻擋部。將在特徵部1301中沉積金屬,以接觸層1306中的金屬矽化物層1308。金屬矽化物層可以是例如鈦(TiSix)層。層1306可以是半導體層,例如Si或SiGe層。
圖13B顯示在特徵部中沉積保形的含金屬襯部層1317之後的特徵部1301。在圖13B的範例中,保形含金屬襯部層1317覆蓋保形襯部層1315。
圖13C顯示在對場區域和含金屬襯部層1317的上側壁進行選擇性處理後的特徵部1301,以在場區域和上側壁上形成經處理的保形含金屬襯部層1317a,以及在下側壁及特徵部底部上形成未處理的保形含金屬襯部層1317。
圖13D顯示蝕刻後的特徵部1301,其從上側壁和場區域移除保形襯部層1315和經處理的保形含金屬襯部層1317a。該操作曝露特徵部1301的介電側壁1305,在特徵部底部1304和下側壁上留下襯部層1315(例如,TiN層)和含金屬襯部層1317(例如,Mo或W層)。
圖14A-14D顯示根據圖9的另一方法的示意性範例。圖14A-14C類似於圖13A-13C,其中在保形襯部層1415上沉積保形含金屬襯部層1417。在圖14B中,在一些實施例中,層1417可以是保形Mo或W層,其可以沉積在TiN層或其他擴散阻擋部上。圖14C顯示在如上文關於圖14C所描述的選擇性處理之後的特徵部1401。
圖14D顯示金屬沉積之後的特徵部1401。在處理過的含金屬襯部層1417a上抑制金屬膜的成核。這允許金屬從特徵部底部1404生長,導致主體金屬1423自下而上的沉積。填充可以繼續,以完全填充特徵部。
在一些實施例中,場區域和特徵部的上部分的選擇性氧化或氮化涉及離子束蝕刻系統中的溫和氧或氮離子轟擊。離子束蝕刻系統的範例如下圖19所示。在這樣的系統中,可以適當地傾斜和旋轉基板以控制離子的入射角,以及因此控制選擇性氧化。參見圖15,該圖顯示到達側壁深度的離子束角度的範例。藉由適當地傾斜和旋轉基板,可以引導離子束,以選擇性地氧化或氮化側壁及/或場區域。
可以選擇性地氧化圖案化晶圓的場區域和上側壁而不移除任何材料。在TiN膜的情形中,TiON可以形成於場和(如果需要)上側壁區域處,但TiN將在特徵部的底部處保持為未氧化。在預先沉積在TiN膜頂部上之保形 Mo、W或其他金屬膜的情形中,MoOx、WOx或其他金屬氧化物可以形成在場區域處,且如果需要的話,可以形成上側壁處,但是Mo、W或其他金屬將在特徵部底部保持為未氧化。
可以如上所述使用鹵素氣體源選擇性地鹵化圖案化晶圓的場區域和上側壁。氣體的範例包括氯(Cl 2)、溴(Br 2)、碘(I 2)、溴化氫(HBr)和碘化氫(HI)。這些中的每一者可以與惰性氣體(例如,Ar)及/或H 2的混合物的形式提供,混合物的範例包括Ar/Cl 2、Ar/Br 2、Ar/I 2、Ar/HBr、Ar/HI、H 2/Cl 2、H 2/Br 2、H 2/I 2、H 2/HBr和H 2/HI。當鹵化時,表面變得鈍化且抑制沉積。
在一些實施例中,在蝕刻或沉積操作之後可以恢復生長表面。例如,在圖中的操作902或圖12中的操作1214之後,膜可以進行去鹵化、去氧化或去氮化。例如,為恢復原始的生長表面,可以藉由曝露於H 2氣體或電漿及/或蝕刻來處理鹵化層。可使用多種蝕刻化學物,包括熱和電漿O 2、N 2、Cl 2和鹵化鉬,以恢復原始的生長表面。也可以在選擇性氧化或選擇性氮化後使用這些技術,以恢復原始的生長表面。
在一範例中,製程可以涉及膜的選擇性處理,隨後進行沉積或蝕刻Mo,隨後進行恢復(例如,去鹵化),隨後進行沉積或蝕刻Mo。
在一些實施例中,選擇性處理(例如,氧化、氮化、或鹵化)不包括離子轟擊。例如,可使用曝露於由適當的源氣體產生的電漿。根據諸多實施例,電漿可以是電容耦合或電感耦合。其可以是遠端產生或現場產生。這種曝光可以在不傾斜基板的情形中進行。
例如,低功率、偏置氧(biased oxygen)、氮或鹵素電漿可以用在高壓系統中而不傾斜基板。如果壓力足夠高(高於2Torr),特徵部的底部將保持為未處理。在一些實施例中,參考圖19描述的離子束蝕刻系統提供對處理深度較大的控制。選擇性氮化可以藉由上述方法中的任一者來進行,但是使用溫和的氮電漿。選擇性鹵化可藉由上述方法中的任一者來進行,但使用溫和的鹵素電漿。
在一些實施例中,藉由在電漿曝露操作中調節H 2氣體和處理氣體(氧化、氮化、或鹵化氣體)的比率來調節特徵部內的選擇性處理輪廓。電漿離子在場/上側壁上更占主導地位,而H 2自由基在底部處更占主導地位,從而達成對特徵部的選擇性處理。
鉬沉積。在本文描述的方法中,可以在上文參考圖4-15描述的處理之後執行鉬沉積。本文所述的鉬沉積涉及使含Mo前驅物(也稱為鉬前驅物)反應。在一些實施例中,使用如上所述的鹵化鉬化合物。在包括使用鹵化鉬化合物進行表面處理的方法中,可使用相同或不同的化合物進行沉積。
在一些實施例中,Mo前驅物是氯化鉬(MoClx)化合物,也稱為氯化鉬前驅物或MoClx前驅物。例如,圖5中的操作507及/或509、圖9中的操作903、或圖12中的操作1215可使用鹵氧化鉬前驅物。氯化鉬前驅物由式MoClx給出,其中x為2、3、4、5或6,且包括二氯化鉬(MoCl 2)、三氯化鉬(MoCl 3)、四氯化鉬(MoCl 4)、五氯化鉬(MoCl 5)、 和六氯化鉬(MoCl 6)。在一些實施例中,使用MoCl 5或MoCl 6。雖然描述主要涉及MoClx前驅物,但在其他實施例中,可使用其他鹵化鉬前驅物。鹵化鉬前驅物由式MoXz給出,其中X是鹵素(氟(F)、氯(Cl)、溴(Br)、或碘(I)),z是 2、3、4、5或6。MoXz前驅物的範例包括氟化鉬(MoF 6)。在一些實施例中,使用不含氟的MoXz前驅物來防止氟蝕刻或摻入。在一些實施例中,使用不含溴及/或不含碘的MoXz前驅物來防止蝕刻或溴或碘的摻入。
在一些實施例中,可使用鹵氧化鉬前驅物來填充特徵部。例如,圖5中的操作507及/或509、圖9中的操作903、或圖12中的操作1215可使用鹵氧化鉬前驅物。鹵氧化鉬前驅物由式MoOyXz給出,其中X是鹵素(氟(F)、氯(Cl)、溴(Br)或碘(I)),且y和z是大於0的數字,使得MoOyXz形成穩定的化合物。鹵氧化鉬的範例包括二氯二氧化鉬(MoO 2Cl 2)、四氯氧化鉬(MoOCl 4)、四氟氧化鉬(MoOF 4)、二溴二氧化鉬(MoO 2Br 2)、和鉬碘化物MoO 2I和Mo 4O 11I。應當理解,如本文所用,用語鹵氧化鉬前驅物可以指如上所述的鹵氧化鉬前驅物或含鉬鹵氧化物前驅物,該含鉬鹵氧化物前驅物包括鉬、氧、鹵素、和一或更多其他元素。在一些實施例中,鹵氧化鉬或含鉬鹵氧化物可以包括複數不同的鹵素(例如,F和Cl及/或I及/或Br等)。可使用MoClx前驅物、MoOyXz前驅物、或其組合來用鉬填充特徵部。
為將鉬沉積到特徵部中,鉬前驅物可以與共反應物反應。共反應物的範例包括氫(H 2)、矽烷(SiH 4)、乙硼烷(B 2H 6)、鍺烷(GeH 4)、氨(NH 3)和肼(N 2H 4)。
在一些實施例中,鉬的沉積可使用基於電漿的製程。氣體可以被餽送入遠端或現場電漿產生器,以產生電漿物種。可用於產生電漿的氣體的範例可以是含氫氣體,例如H 2,含氮氣體,例如氮氣(N 2)及其他氣體,例如Ar和NH 3。電漿物種可以是惰性的,或者與鉬前驅物反應以形成膜。
可以藉由原子層沉積(ALD)或化學氣相沉積(CVD)用鉬填充特徵部。可使用熱ALD或電漿增強ALD(PEALD,plasma enhanced ALD)。類似地,可使用熱CVD或電漿增強CVD(PECVD)。
ALD是表面媒介(surface-mediated)的沉積技術,其中一定劑量的前驅物和反應物被序列地引入到沉積腔室中。可使用鉬前驅物和反應物的連續劑量的一或更多循環來沉積Mo。例如,在初始鉬層的沉積中(例如,如圖5的操作505或507中所示),MoCl 5可以用作前驅物,且H 2用作還原劑。將劑量的MoCl 5和H 2序列地引入沉積腔室,且中間流動沖淨氣體,例如氬。對於ALD,可以控制基板的溫度和腔室的壓力。例如,可以將基板加熱到200℃到800℃之間,例如250℃與550℃之間、或300℃與500℃之間、350℃與450℃之間。在一些實施例中,腔室可以被加壓在10Torr和200Torr之間,例如50Torr和90Torr之間。在一些實施例中,溫度及/或壓力可用於控制反應速率。在一些實施例中,溫度及/或壓力可用於控制選擇性。
在一些實施例中,鉬填充可以涉及CVD。在CVD製程中,鉬前驅物和反應物在沉積腔室中一起處於氣相。一般來說,CVD製程比ALD製程更快地填充特徵部。在一範例中,前驅物可以是氯氧化鉬,例如MoO 2Cl 2,且與反應物(例如H 2)一起流入腔室中。在此範例中,晶圓同時曝露於前驅體和反應物中,其發生反應且用Mo填充特徵部。
在又一些其他實施例中,可使用脈衝CVD製程來填充特徵部。脈衝CVD製程連續地將反應物流入腔室中,同時前驅物的脈衝流入腔室中。例如,H 2氣體可以流入腔室中,且連續地流入腔室中,同時含鉬前驅物間歇地流入腔室中。在CVD操作期間,可以控制基板的溫度和腔室中的壓力。
可使用本文描述的方法將鉬選擇性地沉積到特徵部中。選擇性沉積是指相對於第二材料在第一材料上優先沉積。相對於介電材料上的鉬沉積和生長,金屬材料上的鉬沉積和生長可更容易。例如,特徵部可以具有SiO 2的側壁表面和在特徵部的底部分中的TiN塞部。在選擇性沉積中,鉬沉積到特徵部中且可以在TiN塞部上生長,但不在SiO 2側壁表面上生長(或生長程度較小)。
製程條件例如前驅物氣體、還原劑、製程溫度、製程壓力和曝露時間可以影響所沉積的鉬膜的選擇性。不同的前驅物氣體可以具有不同的製程窗口,其中可以選擇性地沉積鉬膜。一般來說,MoCl 5氣體具有大的製程窗口,即大的溫度和壓力範圍,其中前驅物氣體保留其選擇性。例如,MoCl 5可以相對於介電材料選擇性地沉積在金屬材料上,其中製程溫度為200℃至800℃,例如250℃至550℃,或300℃至500℃。一般來說,較高的製程溫度和較高的製程壓力降低沉積氣體的選擇性。例如,在較高溫度下,例如MoCl 5等前驅物氣體可能失去其選擇性,且在特徵部內的金屬表面和介電表面二者上沉積鉬膜。
MoCl 5可以與不同的反應物反應,以沉積鉬膜。下文描述使用MoCl 5前驅物和不同的製程控制在特徵部內沉積鉬膜的範例。在第一範例中,使用上述沉積方法使MoCl 5前驅物與氫(H 2)反應物反應。在本文的描述中,金屬前驅物與作為共反應物的H 2(也稱為氫反應物或H 2反應物)反應。然而,視情況而言,可使用其他反應物代替氫,包括其他含氫反應物,例如SiH 4、B 2H 6、NH 3。雖然入B 2H 6及/或SiH 4的反應物是更強的還原劑,但其也可能導致更高的電阻率。因此,在一些實施例中,使用本文所述的H 2是有利的。用於選擇性沉積鉬膜的製程溫度可以在200℃至800℃之間,例如250℃至550℃,或300℃至500℃。在這些溫度下,相對於介電表面而言,鉬膜選擇性地沉積在特徵部中的導電金屬或金屬化合物表面上,例如TiN表面。鉬膜從特徵部中導電表面所在的位置生長。如果導電表面是位於特徵部底部的TiN塞部,則鉬膜可以從特徵部底部沉積及生長。在第二範例中,可使用MoCl 5前驅物和H 2反應物來沉積鉬膜,但是在更高的溫度下,即高於800℃。該製程窗口可以將鉬膜沉積在特徵部內的介電表面和導電表面上。鉬膜在介電表面上的沉積可用於在特徵部中產生無阻擋部的鉬層。
在一些實施例中,使用鹵氧化鉬前驅物進行選擇性沉積。如上所述,上述表面處理顯著改善從MoO 2Cl 2沉積Mo的選擇性。如上所述,MoOyXz前驅物的範例包括MoO 2Cl 2、MoOCl 4、MoOF 4、MoO 2Br 2、MoO 2I和Mo 4O 11I。可使用ALD、電漿增強ALD、化學氣相沉積(CVD)、或電漿增強CVD來填充該特徵部。對於ALD或CVD而言,H 2可以作為還原劑。在表面處理中,相較於MoClx前驅物,使用鹵氧化鉬前驅物,鉬沉積地更快。例如,對於非電漿製程而言,MoOyXz前驅物可以以比MoClx前驅物快至少兩倍的沉積速率來沉積鉬。電漿增強製程可用於在較低溫度下填充特徵部,及/或用於增加沉積速率。
在一些實施例中,填充特徵部可以涉及沉積成核層。成核層是製程主體沉積的薄層。其可與特徵部共形。在許多實施例中,藉由ALD製程沉積成核層。在一些實施例中,使用含硼還原劑(例如,B 2H 6)或含矽還原劑(例如,SiH 4)中的一或更多者作為共反應物來沉積Mo成核層。例如,可使用一或更多S/Mo循環、或Mo/S循環來沉積Mo成核層。在另一範例中,可使用一或更多B/Mo循環、或Mo/B循環來沉積Mo成核層,在該Mo成核層上沉積主體Mo層。B是指乙硼烷或其他含硼還原劑的脈衝,且S是指矽烷或其他含矽還原劑的脈衝,使得S/Mo是指矽烷脈沖,之後為含Mo前驅物的脈衝 。B/Mo和S/Mo循環(或Mo/B及/或Mo/S)皆可用於沉積Mo成核層,例如x(B/Mo)+y(S/Mo),其中x和y是整數。含硼反應物的範例包括乙硼烷(B 2H 6)、烷基硼烷(alkyl borane)、烷基硼(alkyl boron)、氨基硼烷(CH 3) 2NB(CH 2) 2、碳硼烷(carborane),例如C 2BnHn+2和其他硼烷。硼烷的範例包括BnHn+4、BnHn+6、BnHn+8、BnHm,其中n是1至10的整數,且m是與m不同的整數。含矽還原劑的範例包括矽烷(SiH 4)和其他矽烷例如乙矽烷(SI 2H 6)。
在一些實施例中,Mo成核層的沉積可以涉及使用不含氧的前驅物,例如六氟化鉬(MoF 6)或五氯化鉬(MoCl 5)。含氧前驅物中的氧可以與含矽或含硼還原劑反應形成MoSixOy或MoBxOy,其是不純的高電阻率膜。在一些實施例中,含氧前驅物可用於成核層沉積,且使氧摻入最小化。可以藉由高還原劑流量(例如,還原劑與含氧Mo前驅物的容積流速比率大於100:1)來最小化氧的摻入。
在一些實施例中,H 2可以代替含硼或含矽還原氣體用作用於Mo成核層沉積的還原氣體。用於沉積Mo成核層沉積的例示性厚度的範圍從5Å至30Å。在此範圍下端的膜可能不連續;然而,只要其能夠幫助啟動連續的主體Mo生長,該厚度即可足夠。
在一些實施例中,成核或主體Mo層的沉積期間的還原劑脈衝可以在比Mo前驅物脈衝更低的基板溫度下進行。例如,B 2H 6或SiH 4(或其他含硼或含矽的還原劑)脈衝可以在低於300℃的溫度下執行,其中Mo脈沖在高於300℃的溫度。
在一些實施例中,還原劑是NH 3或其他含氮還原劑,例如肼(N 2H 4)。NH 3在介電質上的化學吸附比H 2更有利。在一些實施例中,選擇還原劑和前驅物,使得其反應而無還原劑解離。NH 3與金屬氯氧化物和金屬氯化物反應而不解離。這與例如來自金屬氯氧化物的ALD形成對比,其使用H 2作為還原劑。H 2在表面解離,以形成吸附的原子氫,這導致在介電表面上的金屬的初始成核期間,活性物種濃度非常低,且表面覆蓋率低。藉由使用NH 3和金屬氯氧化物或金屬氯化物前驅物,在比藉由相同金屬前驅物的H 2還原所使用的溫度低達數百度的沉積溫度下,可以減少或消除成核延遲。
在一些實施例中,還原劑可以是含硼或含矽還原劑,例如B 2H 6或SiH 4。這些還原劑可以與金屬氯化物前驅物、金屬氯氧化物一起使用;然而,B 2H 6和SiH 4可能與ALD製程期間作為副產物形成的水反應,且形成固體B 2O 3和SiO 2。其是絕緣的,且可以保留在膜中,從而增加電阻率。使用NH 3在包括Al 2O 3的某些表面上亦具有改善的附著,這係相較於B 2H 6和SiH 4的ALD製程而言。所得的成核層通常不是純元素膜,而是金屬氮化物或金屬氮氧化物膜。在一些實施例中,可能存在來自沉積的殘留的氯或氟,特別是如果在低溫下進行沉積的情形。在一些實施例中,可能存在不超過追蹤量的殘留氯或氟。在一些實施例中,成核層是非晶層。膜中的雜質(例如氧、NH 3、氯、或其他鹵素)有利於非晶微結構的生長。在一些實施例中,所沉積的成核層是非晶氮氧化鉬層或非晶氮化鉬層。非晶的特徵在隨後沉積的導體中形成大晶粒生長。氮化物或氮氧化物相對於氧化物表面的表面能量比氧化物表面上的金屬的表面能量有利得多,有利於在介電質上形成連續且光滑的膜。這允許形成薄的、連續的層。沉積時成核層的例示性厚度的範圍從5~30Å。例如,取決於溫度,這可能約為5-50個ALD循環。
蝕刻。蝕刻操作可以用在用Mo膜填充特徵部的方法中。蝕刻操作從特徵部中移除例如金屬和氮化物的材料。例如,蝕刻製程可以從特徵部部分地或完全地移除襯部層。在另一範例中,蝕刻製程可以用於減小襯部層的厚度。在一些實施例中,蝕刻操作可以涉及浸泡特徵部,浸泡在鹵化鉬中。在一些實施例中,蝕刻操作涉及用MoClx(例如MoCl 5)浸泡特徵部。在一些實施例中,浸泡可以用鹵化鉬氣體連續進行。在一些實施例中,浸泡可以是脈衝式的,與沖淨氣體(例如氬(Ar))一起循環鹵化鉬。
MoClx前驅物可用於沉積和蝕刻操作兩者。例如,在某些製程窗口中,MoCl 5前驅物可以同時生長Mo膜並蝕刻掉特徵部中的金屬或金屬化合物膜。如果移除材料的速率大於前驅物沉積的材料,則製程被認為是淨蝕刻操作。前驅物沉積材料及蝕刻材料的速度可以藉由諸多製程條件來控制,包括所使用的反應物的類型和製程溫度。一般來說,溫度越低,蝕刻掉材料相對於材料沉積的比率就越高。在較高溫度下,可使用相同的前驅物和反應物作為淨沉積操作,即,沉積的材料量大於移除的材料量。例如,當製程溫度低於400℃時,可以在蝕刻操作中使用MoCl 5前驅物和H 2反應物。當製程溫度高於550℃ 時,可以在沉積操作中使用相同的MoCl 5前驅物和H 2反應物。
在一些實施例中,MoClx前驅物在高溫下,例如高於550°C,可以以比沉積材料更快的速率繼續蝕刻材料。例如,MoCl 5可以用於在沒有反應物的情形中藉由浸泡來蝕刻特徵部。在此範例中,溫度可能高達 700°C,且將繼續從特徵部蝕刻掉材料。在沒有反應物的情況下將特徵部浸泡在MoCl 5中的操作中,升高的溫度可能增加從特徵部蝕刻材料的速率。
特徵部可能在其上具有表面氧化物或污染物。例如,下方的TiN、WN或W層的表面可能被氧化。如果留下,氧化表面可能導致更高的電阻率。清潔操作用於移除此類氧化物和污染物。在一些實施例中,清潔操作可以將特徵部浸泡在Mo前驅物氣體(通常為Mo鹵化物)中。與上述蝕刻操作類似,前驅物氣體可以是MoClx前驅物。在一些實施例中,浸泡可以連續進行。在一些實施例中,浸泡可以是脈衝式的,循環MoClx和沖淨氣體,例如氬(Ar)。前驅物可以是不含氧的含氯鉬化合物,能夠從特徵部的表面移除氧化物。MoClx化合物的範例在上文給出。在使用熱或電漿H 2的習知清潔不起作用的情形中,例如在表面材料上的氧化表面為穩定的情形中,可使用含Cl前驅物。與含氟化合物相比,含氯前驅物不太可能過度蝕刻特徵部的襯部層或侵蝕特徵部的表面。
設備。圖16描繪具有用於維持低壓環境的製程腔室1602的ALD製程站1600的實施例的示意圖。在一些實施例中,複數ALD製程站可以被包括在共同低壓處理工具環境中。例如,圖17A和17B描繪多站處理工具1700的實施例。在一些實施例中,ALD製程站1600的一或更多硬體參數(包括下文詳細討論的內容)可以由一或更多電腦控制器1750以編程方式進行調整。在一些其他實施例中,製程腔室可以是單站腔室。
ALD製程站1600與反應物輸送系統1601a流體連通,用於將製程氣體輸送到分佈噴淋頭1606。反應物輸送系統1601a包括混合容器1604,用於混合及/或調節製程氣體,例如含Mo前驅物的氣體、含氫氣體、氬或其他載體氣體、或其他含有反應物的氣體,以輸送到噴淋頭1606。一或更多混合容器入口閥1620可以控制製程氣體到混合容器1604的引入。在諸多實施例中,初始Mo層的沉積係在製程站1600中執行,且在一些實施例中,可以在多站處理工具1700的同一站或另一站中執行其他操作,例如原位清潔或Mo間隙填充,如下文參考圖17A進一步描述。
作為範例,圖16的實施例包括用於蒸發要供應到混合容器1604的液體反應物的蒸發點1603。在一些實施例中,蒸發點1603可以是加熱蒸發器。在一些實施例中,液體前驅物或液體反應物可以在液體注入器(未顯示)處蒸發。例如,液體注入器可將液體反應物的脈衝注入到混合容器1604上游的載體氣體流中。在一實施例中,液體注入器可藉由將液體從較高壓力快閃至較低壓力來蒸發反應物。在另一範例中,液體注入器可以將液體原子化成分散的微滴,隨後這些微滴在加熱的輸送管中蒸發。較小的滴可以比較大的滴蒸發得更快,從而減少液體注入和完全蒸發之間的延遲。更快的蒸發可減少蒸發點1603下游的管線長度。在一情形中,液體注入器可直接安裝到混合容器1604。在另一情形中,液體注入器可直接安裝到噴淋頭1606。
在一些實施例中,可提供蒸發點1603上游的液體流動控制器(LFC,liquid flow controller),用於控制用於蒸發及輸送至製程腔室1602的液體的質流。例如,LFC可包括位於LFC的下游的熱質流計(MFM,mass flow meter)。然後,響應於由與MFM電性連通之比例積分微分(PID,proportional-integral-derivative)控制器提供的反饋控制信號,可以調節LFC的柱塞閥(plunger valve)。然而,使用反饋控制可能需要一秒或更長時間來穩定液體流動。這可能延長給劑液體反應物的時間。因此,在一些實施例中,LFC可以在反饋控制模式和直接控制模式之間動態地切換。在一些實施例中,這可以藉由禁用LFC的感測管和PID控制器來執行。
噴淋頭1606向基板1612分佈處理氣體。在圖16所示的實施例中,基板1612位於噴淋頭1606下方,且顯示為置於基座1608上。噴淋頭1606可以具有任何合適的形狀,且可以具有任何合適的數量及用於將製程氣體分佈到基板812的埠口的佈置。
在一些實施例中,基座1608可升高或降低,以將基板1612曝露於基板1612與噴淋頭1606之間的容積。在一些實施例中,基座1608可經由加熱器1610進行溫度控制。基座1608可設定為任何合適的溫度,例如在用於執行諸多揭露實施例的操作期間為約250℃至約800℃之間。將理解,在一些實施例中,基座高度可以藉由合適的電腦控制器850以編程方式調整。在製程階段結束時,基座1608可以在另一基板傳送階段期間降低,以允許從基座1608移除基板1612。
在一些實施例中,噴淋頭1606的位置可相對於基座1608調整,以改變基板1612與噴淋頭1606之間的容積。此外,將理解,基座1608及/或噴淋頭1606的垂直位置可以藉由本揭露內容範圍內的任何合適的機制來改變。在一些實施例中,基座1608可以包括用於旋轉基板1612方向的旋轉軸。將理解,在一些實施例中,這些例示性調整中的一或更多者可以藉由一或更多合適的電腦控制器1650以編程方式執行。電腦控制器1650可以包括下文關於圖16的控制器1650描述的任何特徵部。
在如上所述可使用電漿的一些實施例中,噴淋頭1606和基座1608與射頻(RF)電源1614和匹配網路1616電性連通以向電漿供電。在一些實施例中,可以藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、和電漿功率脈衝時間中的一或更多者來控制電漿能量。例如,RF電源1614和匹配網路1616可以在任何合適的功率下操作,以形成具有期望的自由基物種組成的電漿。同樣地,RF電源1614可以提供任何合適頻率的RF功率。在一些實施例中,RF電源1614可以被配置成彼此獨立地控制高頻和低頻RF功率源。例示性低頻RF頻率可以包括但不限於0kHz與900kHz之間的頻率。例示性高頻RF頻率可包括但不限於1.8MHz與2.45GHz之間的頻率,或大於約13.56MHz,或大於27MHz,或大於80MHz,或大於60MHz的頻率。將理解,可以離散地或連續地調節任何合適的參數,以提供用於表面反應的電漿能量。
在一些實施例中,可以藉由一或更多電漿監控器原位地監控電漿。在一情形中,可以藉由一或更多電壓、電流感測器(例如,VI探針)來監控電漿功率。在另一情形中,可以藉由一或更多光學發射光譜感測器(OES,emission spectroscopy sensor)來測量電漿密度及/或製程氣體濃度。在一些實施例中,可以基於來自這種原位電漿監控器的測量結果以編程方式調整一或更多電漿參數。例如,OES感測器可以用在反饋迴路中,以提供電漿功率的程式控制。將理解,在一些實施例中,可使用其他監控器來監控電漿和其他製程特性。這樣的監控器可以包括但不限於紅外(IR)監控器、聲學監控器、和壓力轉換器。
在一些實施例中,用於控制器1650的指令可以經由輸入/輸出控制(IOC,input/output control)序列指令來提供。在一範例中,用於設定製程階段的條件的指令可以包括在製程配方的相應配方階段中。在一些情形中,製程配方階段可以序列設置,使得製程階段的全部指令與該製程階段同時執行。在一些實施例中,用於設定一或更多反應器參數的指令可以包括在配方階段中。例如,第一配方階段可以包括用於設定惰性及/或反應氣體(例如,Mo前驅物)的流速的指令、用於設定載體氣體(例如氬)的流速的指令、及用於第一配方階段的時間延遲指令。後續的第二配方階段可以包括用於調節或停止惰性及/或反應氣體之流速的指令、用於調節載體或沖淨氣體的流速的指令、及用於第二配方階段的時間延遲指令。第三配方階段可以包括用於調節第二反應氣體(例如H 2)的流速的指令、用於調節載體或淨化氣體的流速的指令、用於激發電漿的指令、及用於第三配方階段的時間延遲指令。隨後的第四配方階段可以包括用於調節或停止惰性及/或反應氣體的流速的指令、及用於調節載體或沖淨氣體的流速的指令、及用於第四配方階段的時間延遲指令。將理解,這些配方階段可以在本揭露內容的範圍內以任何合適的方式進一步細分及/或迭代。
此外,在一些實施例中,製程站1600的壓力控制可以由蝶形閥1618提供。如圖16的實施例所示,蝶形閥1618節流由下游真空泵(未顯示)提供的真空。然而,在一些實施例中,製程站1600的壓力控制也可藉由改變引入製程站1600的一或更多氣體的流速來調整。
圖17A和圖17B顯示處理系統的範例。圖17A顯示包括複數腔室的處理系統的範例。系統1700包括轉移模組1703。轉移模組1703提供清潔、真空環境,以最小化正在處理的基板在諸多模組之間移動時受到污染的風險。安裝在轉移模組1703上的是能夠執行上述原位清潔及/或ALD製程的多站腔室1709。表面處理及/或初始Mo層沉積可以在與隨後的Mo間隙填充相同或不同的站或腔室中執行。
腔室1709可包括複數站1711、1713、1715和1717,其可序列地執行根據所揭露的實施例的操作。例如,腔室1709可以被配置成使得站1711使用MoClx前驅物執行原位處理。站1713可以被配置成選擇性地處理場區域和上側壁,且站1715和1717可以被配置成使用鹵氧化鉬前驅物和H 2來執行主體Mo的ALD。在另一範例中,腔室1709可配置成使得站1711執行原位清潔,站1713執行初始Mo層的ALD,站1713選擇性地處理層,以及1714沉積主體Mo。在另一範例中,腔室1709 可以被配置成進行基板的並行處理,其中每一站序列地執行複數製程。
兩或更多站可以被包括在多站腔室中,例如2-6個,且操作被適當地分佈。例如,兩站腔室可被配置成在第一站中執行初始Mo層的ALD,隨後在第二站中執行主體Mo的ALD。站可包括加熱基座或基板支撐件、一或更多氣體入口、或噴淋頭、或分散板。
轉移模組1703上還可以安裝一或更多單站或多站模組1707。在一些實施例中,可以在模組1707中執行如上所述的預清潔,之後在真空下將基板轉移到用於ALD的另一模組(例如,另一模組1707或腔室1709)。在另一範例中,用於選擇性處理膜的模組可以安裝在轉移模組上。圖10顯示一範例。
系統1700更包括一或更多晶圓源模組1701,其在處理之前和之後儲存晶圓。大氣轉移腔室1719中的大氣機器人(未顯示)可以首先將晶圓從源模組1701移至裝載鎖1721。轉移模組1703中的晶圓轉移裝置(通常是機械臂單元)將晶圓從裝載鎖1721移動至安裝在轉移模組1703上的模組,且在其之間移動晶圓。
在一些實施例中,Mo的ALD在第一腔室中執行,該第一腔室可以是類似系統1700之系統的一部分,W或Mo或其他導電材料的CVD或PVD沉積為覆蓋層在另一腔室中執行,其可不耦合到共同轉移模組,但為另一系統的一部分。
圖17B是系統1700的實施例。圖17B中的系統1700具有晶圓源模組1701、轉移模組1703、大氣轉移腔室1719、和裝載鎖1721,如上文參考圖17A所述。圖17B中的系統具有三個單站模組1757a-1775c。系統1700可以被配置成序列地執行根據所揭露實施例的操作。例如,單站模組1757a-1757c可以被配置成使得第一模組1757a執行表面處理,第二模組957b使用鹵化鉬前驅物執行初始Mo層的ALD,以及第三模組957c使用鹵氧化鉬前驅物執行主體Mo的ALD。在該範例中,代替第一模組1757a中的預清潔或者附加於第一模組1757a中的預清潔,可選地在第二模組1757b中執行原位清潔。在另一範例中,單站模組1757a-1757c可以被配置成使得第一模組1757a執行初始金屬層的沉積,第二模組1757b執行選擇性處理,以及第三模組1757c使用鹵氧化鉬前驅物執行主體Mo的ALD。在又一範例中,一模組可以被配置用於沉積,另一模組用於選擇性處理,及另一模組用於蝕刻。
站可以包括加熱基座或基板支撐件、一或更多氣體入口或噴淋頭或擴散板,如上文參照圖16所述。
圖18顯示系統的範例,其包括離子電漿模組1811、氣相沉積模組1812、及轉移模組1814、1816和1818。在一些實施例中,裝置可以具有兩或更多轉移模組,其中離子電漿模組附接至第一轉移模組,且氣相沉積模組附接到第二轉移模組。可以採用中間轉移模組(例如轉移模組1816)來在離子電漿模組和沉積模組之間轉移基板。系統可以被配置成如上所述在離子電漿模組1811中選擇性地處理基板,然後在氣相沉積模組1812中進行Mo沉積。沉積模組1812中Mo襯部、W襯部、或其他襯部的沉積可以在選擇性處理之前。在一些實施例中,可以在離子電漿模組1811中執行如上文關於圖12和圖13D所述的蝕刻操作。
圖19顯示離子電漿模組的範例,其呈現離子束蝕刻系統1900的簡化剖面圖,該離子束蝕刻系統1900用於根據某些方法執行離子束蝕刻及/或離子束處理,例如氧化或氮化。在該範例中,晶圓1901置於基板支撐件1903上。基板支撐件可以提供例如機械夾持或靜電夾持的夾持,以將晶圓1101固持在基板支撐件1903上。離子束蝕刻系統1900可以配備有硬體(不是硬體),以提供電氣和流體連接。在一些情形中,電性連接可以用於向基板支撐件1903或者向位於基板支撐件1903上或內部的靜電卡盤供電,而流體連接可以用於提供用於控制晶圓1901及基板支撐件1903溫度的流體。基板支撐件1903可以由加熱器(未顯示)加熱,及/或由冷卻機構(未顯示)冷卻。可使用任何適當的冷卻機制。在一範例中,冷卻機構可以涉及使冷卻流體流過基板支撐件1903中或鄰近基板支撐件1903的管線。基板支撐件1903可以能夠以可變速度和角度旋轉和傾斜,如上面關於圖15所述。位置控制器1932可用於控制基板支撐件1903的傾斜和旋轉。基板支撐件1903和晶圓1901位於製程腔室1915內。
製程腔室1915藉由離子提取器1912與電漿源腔室1905隔開。在該實施例中,離子提取器1912包括第一電極1909、第二電極1911、和第三電極1913。在該實施例中,第三電極1913接地。在其他實施例中,離子提取器1912可以是用於從電漿源腔室1905提取離子的電極的其他組合。在一些實施例中,離子提取器1912能夠從電漿源腔室1905提供離子束。電漿源腔室1905被線圈1907圍繞。線圈1907電性連接到匹配網路1924和射頻(RF)源1920。線圈1907、匹配網路1924和RF源1920提供RF功率系統,其用於提供RF功率到電漿源腔室1905。氣體入口1908係在電漿源腔室1905的一端處。氣體入口1908藉由至少一歧管1906與製程氣體源1902和清潔氣體源1904流體連接。氣體入口1908可以是許多不同形式中的一者。例如,氣體入口可以是氣體分佈板、氣體擴散板、噴淋頭、或氣體注入器。渦輪泵1928可以與製程腔室1915流體連接,以從製程腔室1915移除氣體以及控制製程腔室1915中的壓力。
在一些實施例中,開關1916可以流體連接在製程氣體源1902、清潔氣體源1904、和氣體入口1908之間。開關1916可以是適於切換的任何裝置或裝置組,以在晶圓處理期間從製程氣體源1902提供製程氣體,以及在腔室清潔期間從清潔氣體源1904提供清潔氣體。
如上所述,離子束蝕刻系統1900可用於使用溫和電漿及基板的適當旋轉和傾斜來進行選擇性氧化或選擇性氮化。用於氧化的製程氣體的範例包括氧(O 2)、臭氧(O 3)、氧化氮(N 2O)、H 2和O 2、N 2和O 2、及NH 3和O 2的混合物。用於氮化的製程氣體的範例包括氮(N 2)和氨氣(NH 3)、及H 2和N 2、N 2和O 2、及NH 3和O 2的混合物。在一些實施例中,電漿條件是溫和的,無需蝕刻表面進行處理。溫和電漿條件的範例包括小於100V偏壓、小於200mA源電流、小於500W源功率、及每站0-20sccm的O 2流動。離子束蝕刻系統1900可使用控制器1914來控制,控制器1914可以具有與圖17A和圖17B的系統控制器1729類似的特性和特徵。
返回圖17A和17B,在諸多實施例中,採用系統控制器1729來控制沉積期間的製程條件。控制器1729通常將包括一或更多記憶體裝置和一或更多處理器。處理器可以包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。這樣的系統控制器可以用於控制本文描述的任何製程和設備。
控制器1729可以控制設備的全部活動。系統控制器1729執行系統控制軟件,包括用於控制時間、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或基座位置、及特徵製程之其他參數的指令集。在一些實施例中,可以採用存儲在與控制器1729相關聯的記憶體裝置上的其他電腦程式。
通常會有與控制器1729相關聯的使用者界面。使用者界面可包括顯示螢幕、設備及/或製程條件的圖形軟體顯示,以及使用者輸入設備,例如指示裝置、鍵盤 、觸摸螢幕、麥克風等。
系統控制邏輯可以任何合適的方式配置。一般而言,可以在硬體及/或軟體中設計或配置邏輯。用於控制驅動電路的指令可以是硬編碼或作為軟體提供。指令可藉由「程式化」來提供。如此程式化被理解為包括任何形式的邏輯,包括數位訊號處理器中的硬編碼邏輯、特定用途積體電路、和其他裝置,其具有作為硬體實現的特定演算法。程式化也被理解為包括可在一般用途處理器上執行的軟體或韌體指令。系統控制軟體可用任何合適的電腦可讀程式語言進行編碼。
用於控制Mo前驅物脈衝、氫脈衝、及氬流動、和製程序列中之其他製程的電腦程式編碼可以用任何習知的電腦可讀程式語言編寫:例如、彙編語言、C、C++、Pascal、Fortran、或其他語言。編譯後的目標編碼或腳本由處理器執行,以執行程式中識別的任務。同樣如指出,程式編碼可以是硬編碼。
控制器參數相關於製程條件,例如製程氣體組成和流速、溫度、壓力、冷卻氣體壓力、基板溫度、和腔室壁溫度。這些參數以配方的形式提供給使用者,且可以利用使用者界面輸入。
用於監控制程的訊號可以由系統控制器1729的類比及/或數位輸入連接部提供。用於控制製程的訊號在沉積設備的類比和數位輸出連接部上輸出。
系統軟體可以許多不同方式進行設計或配置。例如,根據所揭露的實施例,可編寫諸多腔室元件子程序(subroutine)或控制目標來控制執行沉積製程所必需的腔室元件的操作。用於此目的的程式或部分程式的範例包括基板定位碼、製程氣體控制碼、壓力控制碼、和加熱器控制碼。
在一些實施例中,控制器1729為系統的一部分,該系統可為以上描述範例的一部分。如此系統可包含半導體處理設備,該半導體處理設備包含(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定的處理元件(晶圓基座、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制該等系統的操作。該電子設備可稱為「控制器」,其可控制系統或複數系統的諸多元件或子部件。取決於處理條件及/或系統類型,控制器1729可程式設計成控制本文揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具及/或裝載鎖)。
廣泛地講,控制器可定義為電子設備,其具有用以接收指令、發佈指令、控制操作、啟動清潔操作、啟動終點量測以及類似者的諸多積體電路、邏輯、記憶體、及/或軟體。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processors)、定義為特定用途積體電路(ASIC,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)定義操作參數。在一些範例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、以及/或者晶圓的晶粒。
在一些實施例中,控制器1729可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在「雲端」或廠房主機電腦系統的全部、或部分中,其可容許遠端存取晶圓處理。電腦可使系統能夠遠端存取,以監控制造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者界面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行執行之處理步驟的每一者指定參數。應理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文所描述之製程及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌跡腔室(track chamber)或模組、以及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如以上所提及,取決於待藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具界面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
控制器1729可包括諸多程式。基板定位程式可包括用於控制腔室元件的程式碼,這些腔室元件係用於將基板裝載到基座或卡盤,以及用於控制基板與腔室其他部分(例如,氣體入口)之間的空間。基板傾斜及旋轉程式可包括傾斜及旋轉。製程氣體控制程式可包括用於以下者的編碼:氣體組成、流速、脈衝時間,以及可選地包含用來在沉積之前使氣體流入腔室以穩定腔室中壓力的編碼。壓力控制程式可包括用來控制腔室中壓力的編碼,其係藉由例如調節腔室之排氣系統中的節流閥進行。加熱器控制程式可包括用來控制流向加熱單元之電流的編碼,該加熱單元係用來加熱基板。或者,加熱器控制程式可控制熱轉移氣體(諸如,氦)往晶圓卡盤的傳送。
在沉積期間可加以監控的腔室感測器的範例包括質流控制器、壓力感測器(例如,壓力計)、及位於基座或卡盤中的熱電偶。適當程式化的反饋及控制演算法可與來自這些感測器的資料一起使用,以維持所需的製程條件。
上文描述在單一腔室或複數腔室半導體處理工具中實施所揭露的實施例。本文所述的設備及製程可與微影圖案化工具或製程結合使用,例如用以製造或加工半導體裝置、顯示器、LED、光伏面板、及類似者。儘管沒有必要,但該等工具/製程通常將在共同的製造設施中一起使用或進行。膜的微影圖案化通常包含下列步驟的一些或全部者,每一步驟可利用許多可能的工具而達成:(1)利用旋塗或噴塗工具將光阻施加至如基板的工件上;(2)使用熱板、或爐、或UV固化工具使光阻固化;(3)使用如晶圓步進器的工具將光阻曝露至可見光、或UV光、或X射線光;(4)使光阻顯影,以選擇性地移除光阻,並且從而使用如濕檯的工具而使其圖案化;(5)藉由使用乾式、或電漿輔助式蝕刻工具而將光阻圖案轉移至下層膜、或工件中;以及(6)使用如RF或微波電漿光阻剝離器的工具移除光阻。
102:基板 104:介電層 106:層 108:鉬層 111:堆疊 121:堆疊 201:特徵部 202:基板 203:下方層 204:絕緣層 205:特徵孔 206:阻擋層 208:鉬bWL 209:收縮部 210:結構 212:收縮部 213:下方層 215:懸部 218:軸線 220:特徵部 222:開口 225:堆疊 226:堆疊 230:結構 240:側壁 250:特徵部 251:收縮部 255:柱 260:水平部分 261:特徵部 265:特徵部 267:箭頭 273a:頂部 273b:底部 275:孔隙 283:特徵部 284a~284b:鉬 299:軸線 301:結構 303:下方金屬 305:氧化物層 312:特徵部 315:襯部層 317:襯部層 350:結構 401:特徵部 402:介電質 403:Mo 404:ESL 405:介電層 410:含Mo層 412:特徵部 500:方法 501~509:操作 603:接觸部 604:ESL 610:含Mo界面層 611:表面氧化物 612:特徵部 615:介電側壁 651~653:結構 801~805:序列 900:方法 901~903:操作 1001:特徵部 1005:底部 1006:半導體層 1007:金屬矽化物 1011a:上側壁部分 1011b:下側壁部分 1013:介電材料 1015:襯部層 1015a:TiON層 1017:場區域 1200:方法 1211~1215:操作 1301:特徵部 1303:場區域 1304:底部 1305:側壁 1306:層 1308:矽化物層 1313:介電層 1315:襯部層 1317:襯部層 1401:特徵部 1415:襯部層 1417:層 1600:製程站 1601a:反應物輸送系統 1602:製程腔室 1603:蒸發點 1610:加熱器 1612:基板 1614:RF電源 1616:匹配網路 1618:蝶形閥 1620:閥 1700:處理工具 1701~1707:模組 1709:腔室 1711~1717:站 1719:站 1721:裝載鎖 1729:控制器 1757a~1757c:模組 1811~1818:模組 1900:蝕刻系統 1902:氣體源 1905:腔室 1907:線圈 1908:入口 1909~1913:電極 1914:控制器 1915:腔室 1920:RF源 1924:匹配網路 1928:渦輪泵 1932:控制器
圖1A和圖1B是根據諸多實施例的包括鉬層的材料堆疊的示意性範例。
圖2A-2L和圖3是根據揭露的實施例可將鉬沉積到其中的諸多結構的示意性範例。
圖4顯示鉬上鉬整合方案的示意性範例。
圖5是顯示用鉬填充特徵部的方法中的例示性操作的製程流程圖。
圖6A-6C顯示經歷根據圖5的製程的範例的特徵部的示意性範例。
圖7顯示根據諸多實施例的表面處理序列的範例。
圖8顯示根據諸多實施例的表面處理和選擇性沉積的序列的範例。
圖9是顯示用鉬(Mo)膜填充特徵部的方法的製程流程圖。
圖10A-10C顯示在用Mo填充特徵部的諸多操作期間的特徵部。
圖11的圖顯示在TiN和氧化TiN(TiON)上Mo沉積的原子層沉積(ALD)循環的次數增加之後的膜厚度。
圖12是顯示用Mo膜填充特徵部的方法的製程流程圖。
圖13A-13D顯示根據圖12的方法的示意性範例。
圖14A-14D顯示根據圖9的方法的示意性範例。
圖15顯示用於到達側壁深度的離子束角度的範例。
圖16-19顯示可用於實現本文描述方法的處理系統的範例。
1001:特徵部
1005:底部
1006:半導體層
1007:金屬矽化物
1011a:上側壁部分
1011b:下側壁部分
1013:介電材料
1015:襯部層
1015a:TiON層
1017:場區域

Claims (10)

  1. 一種方法,包括: 提供包括一特徵部的一基板,該特徵部包括含金屬接觸部和介電側壁; 藉由曝露於鹵化鉬來處理該特徵部;以及 在該特徵部中沉積鉬,其中沉積相對於該介電側壁對該含金屬接觸部呈選擇性。
  2. 如請求項1的方法,更包括在處理該特徵部之前,將該特徵部曝露於含氫電漿。
  3. 如請求項1的方法,其中在該特徵部中沉積鉬之步驟將該特徵部曝露於鹵氧化鉬。
  4. 如請求項1的方法,其中該處理抑制該介電側壁上的鉬生長。
  5. 如請求項1的方法,其中執行該處理而不在該特徵部中沉積鉬。
  6. 如請求項1的方法,其中該處理進一步包括將該特徵部曝露於共反應物,該共反應物能夠還原該鹵化鉬,以形成鉬。
  7. 如請求項1的方法,其中一非晶含鉬層位於該含金屬接觸部上。
  8. 如請求項7的方法,其中該處理移除該非晶含鉬層。
  9. 一種方法,包括: 提供包括一特徵部的一基板,該特徵部具有介電側壁和鉬接觸部;包括鉬接觸部及介電側壁,其中一非晶含鉬層位於該鉬接觸部的表面處; 將該特徵部曝露於鹵化鉬,以移除該非晶含鉬層並抑制該介電側壁上的鉬沉積;以及 在該特徵部中沉積鉬,其中沉積相對於該介電側壁對該鉬接觸部呈選擇性。
  10. 一種方法,包括: (a)提供包括一場區域和一特徵部的一基板,其中該特徵部包括開口、側壁、和底部,其中該場區域圍繞該開口,且其中一襯部層襯於該特徵部的該側壁; (b)選擇性地處理該襯部層,使得該場區域及/或該側壁的上部上的該襯部層的部分相對於該側壁的下部上的該襯部層優先被處理,其中選擇性地處理該襯部層形成該襯部層的選擇性處理部分;以及 (c)在該特徵部的底部處選擇性地沉積鉬,其中抑制在該襯部層的該選擇性處理部分上的沉積。
TW112114336A 2022-04-19 2023-04-18 鉬整合及無孔隙填充 TW202412178A (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US202263332649P 2022-04-19 2022-04-19
US63/332,649 2022-04-19
US202263342039P 2022-05-13 2022-05-13
US63/342,039 2022-05-13
US202263375310P 2022-09-12 2022-09-12
US63/375,310 2022-09-12
US202263383236P 2022-11-10 2022-11-10
US63/383,236 2022-11-10

Publications (1)

Publication Number Publication Date
TW202412178A true TW202412178A (zh) 2024-03-16

Family

ID=88420458

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112114336A TW202412178A (zh) 2022-04-19 2023-04-18 鉬整合及無孔隙填充

Country Status (2)

Country Link
TW (1) TW202412178A (zh)
WO (1) WO2023205184A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US10170320B2 (en) * 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US20190067014A1 (en) * 2017-08-30 2019-02-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor device structures
CN114667600A (zh) * 2019-10-15 2022-06-24 朗姆研究公司 钼填充
US11417568B2 (en) * 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill

Also Published As

Publication number Publication date
WO2023205184A1 (en) 2023-10-26

Similar Documents

Publication Publication Date Title
US11355345B2 (en) Method for preventing line bending during metal fill process
US11978666B2 (en) Void free low stress fill
US20220389579A1 (en) Deposition of pure metal films
US11549175B2 (en) Method of depositing tungsten and other metals in 3D NAND structures
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
KR102678471B1 (ko) 저 불소 함량을 가진 텅스텐 막들
US9613818B2 (en) Deposition of low fluorine tungsten by sequential CVD process
KR20220082023A (ko) 몰리브덴 충진
JP2015029097A (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
US20230122846A1 (en) Feature fill with nucleation inhibition
US20220349048A1 (en) Reducing line bending during metal fill process
KR20230169827A (ko) 몰리브덴의 증착
KR20240011601A (ko) 고 종횡비 3-d nand 아키텍처 내 텅스텐 워드 라인 충진
TW202412178A (zh) 鉬整合及無孔隙填充
KR20240153380A (ko) 로직 소스/드레인 콘택트에 대한 저 저항성 몰리브덴 증착
CN118786517A (zh) 用于逻辑源极/漏极触点的低电阻钼沉积
WO2023164413A1 (en) Low resistance molybdenum deposition for logic source/drain contacts
WO2024196896A1 (en) Pulse ald sequence for low fluorine nucleation layer deposition
KR20240124331A (ko) 고 종횡비 3d nand 아키텍처의 텅스텐 워드라인 충진
WO2023107970A1 (en) Feature fill with nucleation inhibition
WO2024129781A1 (en) Feature fill using inhibition
WO2022197481A1 (en) Reducing line bending during metal fill process