JP4113099B2 - 半導体基板の表面に金属層を堆積する方法 - Google Patents

半導体基板の表面に金属層を堆積する方法 Download PDF

Info

Publication number
JP4113099B2
JP4113099B2 JP2003375645A JP2003375645A JP4113099B2 JP 4113099 B2 JP4113099 B2 JP 4113099B2 JP 2003375645 A JP2003375645 A JP 2003375645A JP 2003375645 A JP2003375645 A JP 2003375645A JP 4113099 B2 JP4113099 B2 JP 4113099B2
Authority
JP
Japan
Prior art keywords
metal
layer
containing precursor
halogen
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003375645A
Other languages
English (en)
Other versions
JP2004156141A (ja
JP2004156141A5 (ja
Inventor
アンソニー トーマス ノーマン ジョン
アレン ロバーツ デイビッド
アン ボーズ メラニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2004156141A publication Critical patent/JP2004156141A/ja
Publication of JP2004156141A5 publication Critical patent/JP2004156141A5/ja
Application granted granted Critical
Publication of JP4113099B2 publication Critical patent/JP4113099B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C10/00Solid state diffusion of only metal elements or silicon into metallic material surfaces
    • C23C10/60After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Description

この出願は、2002年11月5日付けで出願された米国特許出願第10/287,903号の一部継続出願であり、この米国特許出願の開示内容は、その番号をここで参照することによってその全文を記載したものとする。
本発明は、一般的に、半導体基板の上に金属層を形成する方法に関する。さらに詳しく述べると、本発明は、原子層堆積によってシード層、好ましくは銅及び銅含有層を形成する方法、及びそれを含む基板に関する。
半導体工業において、アルミニウムに代えて銅の相互接続体を相互接続材料として使用することが増大しつつある。アルミニウムに比べて銅の導電性は優れて良好であるので、より大きな電流搬送能力をもったより高速の相互接続体を得ることができる。現在、銅の相互接続体は、いわゆる“ダマシン”又は“デュアルダマシン”加工法を使用して形成されている。簡単に説明すると、ダマシン金属化法では、半導体ウエハの表面に形成された溝に導電性金属を堆積させることによって相互配線体を形成する。典型的には、半導体基板の上に半導体デバイス(例えば、集積回路)を形成している。これらの基板は、一般的には酸化物層によって被覆されている。この酸化物層の選ばれた領域から基板の材料を除去すると、埋め込み領域(in-laid region)と呼ばれる開口部を基板の表面内において形成することができる。これらの埋め込み領域は、デバイスの導体回路を形成する回路相互接続パターンに対応している。
酸化物層内において埋め込みパターンを形成した後、薄膜のバリア層を加工して、パターン化された酸化物層を一様に覆ってもよい。このバリア層は、以下のものに限定されるわけではないけれども、窒化チタン、窒化タンタル又は窒化タングステンから構成することができる。バリア層を形成した後、好ましくは銅を含む導電性の金属からなるシード層を堆積させる。導電性金属又は銅からなるシード層は、以下のものに限定されるわけではないけれども、物理的スパッタリング、化学的気相成長法(CVD)又は電気めっきを含む種々の堆積法によって銅のバルク堆積を実施する際の下地となるものである。バルク状に銅を堆積させた後、例えば化学的機械研磨法(CMP)を使用して余分の銅を除去することができる。次いで、基板の表面を清浄にし、封止層で封止する。その後、別の加工を行うことができる。
半導体デバイスの製造において進行している1つの難題として、デバイスの微細化の増大がある。デバイスによっては、それらの最小ライン及び埋め込み領域が0.2μm未満の幅及び1μmを上回る深さとなることがあり得、一方,シード層が連続しており、平坦であり、かつ埋め込み領域の側壁及び底部にそって形状追従性があるという重要性が増大しつつある。したがって、これらの顕微鏡的ラインや埋め込み領域の内側において極めて薄くかつ高度の形状追従性がある金属層又はシード層を成長させることができるとともに、最終製品において電気的欠陥を導き得るボイド(空隙)を生じることのない方法についての要求が増加しつつある。このような極薄で形状追従性のある金属層を成長させるためのものであって、特に注目されている1つの方法には、原子層堆積法(“ALD”)がある。この技法の場合、高アスペクト比、すなわち、深溝で、溝幅が狭いトレンチを備えた半導体デバイスにおいてバリア層及び(又は)シード層を形成するのに特に有用であるということが予見されている。この技法は、例えば窒化チタン、酸化アルミニウム及び銅のような種々の組成をもった薄くて形状追従性のある層を形成するのにとりわけ有用であった。
典型的なALDプロセスでは、本願明細書において前駆体と呼ぶ1種類もしくはそれ以上の試薬を基板の表面に化学吸着させて、ほぼ1分子の厚さである単層の前駆体を形成している。第2の試薬を導入してそれを第1の化学吸着層と化学的に反応させて、基板の表面に所望の薄膜を成長させてもよい。次いで、第1の試薬を再び導入し、サイクルを繰り返すことができる。ALDプロセスに最適な試薬又は前駆体は、易揮発性であり、化学反応性が高く、揮発性の副生成物を容易に形成しかつ、分子レベルで見た時、基板表面に堆積(反応)されるべき成分が緻密であるものである。単層形成のプロセスを十分なサイクル数で実施した後、プロセスを終了して最終的な薄膜を得ることができる。別法によれば、複数の単層を熱処理によってもしくは還元剤を介した化学還元によって反応させて薄膜を形成することもできる。
非特許文献1及び2(下記参照)は、トリメチルアルミニウム及び水の蒸気を試薬として使用してアルミニウム酸化物を成長させるためのALDプロセスを記載している。このプロセスでは、トリメチルアルミニウムの蒸気が、先行する水蒸気曝露によって形成されたヒドロキシル基の表面単層と強く反応できるものと考えられる。引き続く反応では、トリメチルアルミニウム試薬内のメチル基が表面のヒドロキシル基から水素を引き抜いた結果、アルミニウム−酸素の結合が形成されかつメタンガスが放出されるものと考えられる。アルミニウム原子上に残留しているメチル基は、存在している酸素によって表面に結合せしめられ、次いで、引き続いて水蒸気のパルスを導入した場合、反応の結果として追加のメタンと表面Al−OH基を提供するものと理解される。これらの表面Al−OH基は、追加のトリメチルアルミニウムと反応してさらにAl−OH基を提供することができる。したがって、トリメチルアルミニウム及び水の蒸気のパルスの交番サイクルを繰り返した場合、酸化アルミニウムのネットワークが成長せしめられる。それぞれのパルスの後、過剰量の試薬をパージするのは容易である。なぜなら、結合を形成するためには、限られた数の表面反応性部位があるだけで有効であるからである。結果として、表面の単層被覆を容易に達成することができる。
半導体加工工業において、種々の異なる銅前駆体及び還元剤を使用して、拡散バリア及び(又は)接着促進材料の上にもしくは半導体材料の上に直接的に、銅もしくは銅含有薄膜を成長させるためにいろいろなALDプロセスが使用されている。例えば、非特許文献3(下記参照)は、銅β−ジケトネート類、Cu(II)−ビス(2,2,6,6−テトラメチル−3−5−ヘプタジオネート)("Cu+2(thd)")の吸着単層を還元するために還元剤として水素ガスを使用して銅の薄膜を成長させるためのALDプロセスを記載している。しかし、Cu+2(thd)銅前駆体は、比較的に大きくかつ嵩高の分子を有している。このような理由があるため、Cu+2(thd)銅の吸着単層中に含まれる銅の量は、比較的に少量である。したがって、銅の十分な堆積が行われるようにするため、より良好なプロセスサイクルが必要となっている。
非特許文献4(下記参照)は、銅ビス(β−ジケトネート)、Cu(II)−ビス(1,1,1,5,5,5−ヘキサフルオロアセチルアセトネートハイドレート)("Cu+2(hfac)2")の吸着単層を還元するために還元剤としてエタノール、メタノール又はホルマリンを使用して銅の薄膜を成長させるためのALDプロセスを記載している。このアプローチの場合、使用される前駆体が、水和時における水の消費でもって不安定になり得るという1つの問題がある。このようなことがあると、基板表面に前駆体を供給する際に矛盾の問題が発生可能である。
非特許文献5及び特許文献1(下記参照)は、銅の提供源として塩化銅(+1)をかつ、塩化銅の吸着された単層を還元するため、還元剤として水素ガス又はトリエチルボロン(TEB)をそれぞれ使用して、銅シード層を成長させるためのALDプロセスを記載している。しかし、塩化銅の場合、揮発性が比較的に低く、融点が高い(430℃)ために、蒸気で供給するのに難しさがある。
特許文献2(下記参照)は、銅酸化物の薄膜を成長させるためのALDプロセスを記載している。銅酸化物の薄膜は、ALDプロセスにおいて、銅前駆体Cu+2(thd)とオゾンを交互に導入することによって成長せしめられる。次いで、いろいろな試薬を使用して銅酸化物を還元することができる。この方法は、上記した非特許文献3と同様に、嵩のある銅前駆体Cu+2(thd)を使用しなければならないという問題に悩まされている。さらに、オゾンの前駆体は、下地となるバリア層を酸化することによって基板を取り返しの利かない程度に損傷してしまうことが潜在的に可能な過酷な酸化剤である。
また、銅のALD薄膜を形成するための従来の方法は、上記した問題の他に、銅前駆体と下地の基板との間の吸着力が比較的に弱いという問題を有している。銅薄膜を成長させるための従来のALDプロセスは、アルミニウム酸化物の層を成長させるためのものであって、基板表面にヒドロキシル基が存在しているため、トリメチルアルミニウム前駆体からのアルミニウムが酸素とともに強力な結合を形成するALDプロセスとは異なって、基板の表面に銅前駆体を化学的に吸着させる力が比較的に弱いものとなっている。なぜなら、金属もしくは金属酸化物からなる基板の表面は、その表面に前駆体を結合させるための強く配位した部位や化学反応性を有していないからである。理論によって束縛されることを望むものではないけれども、結合力がより弱い場合には断続的もしくはパッチ状の被膜が作られる可能性があり、そのような場合には、基板の一部に領域が不完全な被膜を持つようになり、また、その他の領域では1つの単層よりも多層の被膜が形成され、最終的には凹凸があり、粗い銅薄膜が形成されることとなる。下地の表面と銅の前駆体の間において強い化学結合あるいは相対的な引力が存在していないので、銅の前駆体の還元に先がけて均一な単層被膜を達成するということは、それに課されている要求が非常に大きなものであるということを意味している。その他に、均一な単層被膜を達成しようとした場合には、反応装置の圧力、前駆体の流量、キャリヤーガスの選択などを入念にコントロールしなければならない。結果として、これらのパラメータのための処理のために開けられた幅がより狭いものとなり、歩留まりの低下及び処理量の減少の可能性が大きくなる。
Higashiら、"Sequential Surface Chemical Reaction Limited Growth of High Quality Al2O3 Dielectrics" Applied Physics Letter, Vol.55, No.19 (1989), pp.1963-65 S. M. Georgeら、3rd Internal Symposium on Atomic Layer Epitaxy and Related Surface Processes Per Martenssonら、" Atomic Layer Epitaxy of Copper", J. Electrochem. Soc., Vol.145, No.8, August 1998, pp.2926-31 ("Per Martensson I") Raj Solankiら、"Atomic Layer Deposition of Copper Seed Layers", Electrochemical and Solid-State Letters, Vol.3(10)(2000), pp.497-480("Raj Solanki") Per Martenssonら、" Atomic Layer Epitaxy of Copper on Tantalum", Chem. Vap. Deposition, Vol.3, No.1(1997), pp.45-50 ("Per Martensson II") 米国特許出願公開第2002/016846号公報 米国特許出願公開第2002/004293号公報 本願明細書において参照する文献はすべて、それを参照したことで、その全文を本願明細書に開示したものとする。
したがって、基板の表面に例えば銅又は銅含有薄膜のような薄い金属層を形成するためのものであって、形状追従性(conformality)に優れた化学吸着層をもたらし得る方法、特にALDプロセスをベースとした方法を提供することが従来の技術において要求されている。
また、下地の基板材料あるいは下地の拡散バリア及び(又は)接着促進材料に対する接着力が改良された金属層、特に銅もしくは銅含有の薄膜を提供することもさらに要求されている。
本発明は、従来の技術における要求のあるものを、それらの要求のすべてでないにしても、基板の表面の少なくとも一部の上に金属層を形成する方法を提供することによって満足させるものである。詳しく述べると、本発明の1面において、半導体基板の上に金属層を堆積する方法であって、前記半導体の表面の少なくとも一部の上で、ハロゲン含有前駆体及び金属含有前駆体から金属ハライド層を成長させること、及び前記金属ハライド層を還元剤に曝露して前記金属層を提供すること、を含んでなる方法が提供される。
本発明の別の面において、基板の表面に金属層を形成する方法であって、前記表面をハロゲン含有前駆体及び金属含有前駆体と接触させることによってその上面に金属ハライド層を成長させ、その際、前記前駆体中のハロゲン及び金属を反応させて前記金属ハライド層を形成すること、及び前記金属ハライド層を還元剤に曝露して前記金属層を提供すること、を含んでなる方法が提供される。
本発明のさらにもう1つの面において、半導体基板の表面に金属層を堆積する方法であって、前記表面の少なくとも一部の上で、酸性化合物を含むハロゲン含有前駆体及び金属含有前駆体から金属ハライド層を成長させ、その際、前記半導体基板がバリア材料を有し、このバリア材料の上に前記金属ハライド層が成長せしめられること、及び前記金属ハライド層を還元剤に曝露して前記金属層を提供すること、を含んでなる方法が提供される。
本発明のこれらの面及びその他の面は、以下の記載から容易に理解することができるであろう。
ここで開示される本発明は、少なくとも1種の金属含有前駆体及び少なくとも1種のハロゲン含有前駆体から金属ハライド層を成長させることによって、シード層、好ましくは銅層もしくは銅含有薄膜を調製する改良された方法を提供する。本発明の好ましい態様において、金属ハライド層は、ALDプロセスによって成長せしめられる。これらの態様では、前駆体に含まれる金属及びハロゲン元素が反応して、金属ハライド層が形成される。金属ハライド層が適当な厚さに達する前かもしくは達した後、その金属ハライド層を還元剤に曝露して金属層を形成させる。本発明の方法は、銅ハロゲン前駆体から銅層を形成している従来のALDプロセスとは相違している。従来の方法の場合、ハロゲン化銅を揮発性の前駆体として使用したけれども、本発明では、ハロゲン化銅を成長させ、次いでこれを還元して、銅もしくは銅含有の薄膜を提供している。従来の方法とは異なって、本発明の方法によると、金属及びハロゲン原子の相互の反応と下地の基板表面との相互の反応によってより強い化学吸着が得られるので、より良好な接着力を達成することができる。
本発明の特定の好ましい態様において、本発明の方法は、相互接続材料として使用される導電性金属のバルク堆積を行う前において、薄くて形状追従性のある金属層又はシード層を作製するために使用することができる。図1は、本発明に従って金属層を包含する例示的な半導体基板の断面図を示したものである。図1に示されるように、半導体基板100は、先行する金属化層から堆積された導電性層105を有しており、また、その材料の除去によって、導電性材料を堆積するための埋め込み領域110が形成されている。本発明の目的に関連して、「基板」なる語は、本発明の金属層を適用することができる任意の被加工部材ならびにその被加工部材の表面に形成されていてもよいその他の材料層を指している。図1に示した態様において、半導体基板100は、バリア層120、バリア層120の上に堆積された金属層又はシード層130、そしてバルクの導電性材料140をさらに有している。バルクの導電性材料140は、CMP加工を行う前の状態が示されている。
本発明の特定の好ましい態様において、バリア層120及び金属層130は、好ましくはALDプロセスによって基板の表面に堆積せしめられる。ALDプロセスは、典型的には、処理装置、例えば、Genus, In. (Sunnyvale, CA)によって製造されているCVD反応装置、あるいはASM Microchemistry Inc.によって製造されているF−120ALD反応装置で実施される。ALD処理用の適当な処理装置についてのさらなる説明は、例えば、米国特許出願公開第2002/0106846号公報の図1と関連の記載、そして米国特許第6,368,954号の図4と関連の記載に見出すことができる。これらの態様では、ハロゲン含有前駆体と金属含有前駆体を順次供給することで金属ハライド層を形成することができる。本発明の別の態様では、非ALDプロセスで、例えばCVDプロセスによるか、基板表面の少なくとも一部の表面でハロゲン含有前駆体と金属含有前駆体を共反応させることによって、金属ハライド層を成長させてもよい。さらに別の態様では、ハロゲン含有前駆体及び金属含有前駆体を基板の上に順次パルス状に付与して、キャリヤーガスとして超臨界の二酸化炭素ガスを使用したALD又はCVDタイプの反応によって金属ハライドをベースとする薄膜を形成することもできる。
典型的なALDプロセスの間、1種もしくはそれ以上のガス状もしくは蒸気状の前駆体を、本願明細書において相(phase)と呼ぶ複数の交番パルスを1プロセスサイクルに含む形で、基板を収容した処理室の内部に導入する。それぞれのプロセスサイクルは、吸着及び好ましくは化学吸着によって材料の単層がほぼ1層よりも多く形成されることがないように実施するのが好ましい。成膜のために使用されるプロセスサイクルの数は、所望とする厚さに依存するというものの、1,000サイクルを上回るのが一般的である。半導体デバイスの場合、デュアルダマシン構造内のバリア又はシード層がその所望の機能を奏するのに十分な厚さを有するようになるまで、プロセスサイクルを反復する。
ALD処理を実施する間、化学吸着を促進する温度範囲、すなわち、吸着される種と下地の基板との間で完全な結合を維持するのに十分に低く、また、前駆体の凝縮を回避しかつそれぞれのプロセスサイクルにおいて所望とする表面反応のために十分な活性化エネルギーを提供するのに十分に高い温度範囲で基板を保持する。処理室の温度範囲は、0〜400℃、好ましくは0〜300℃、さらに好ましくは0〜275℃の範囲とすることができる。ALD処理中における処理室内の圧力は、0.1〜1,000トル(Torr)、好ましくは0.1〜15トル、さらに好ましくは0.1〜10トルの範囲とすることができる。しかし、任意のALDプロセスの場合、その温度及び圧力を使用される1種もしくはそれ以上の前駆体に依存して広く変更できるということを理解されたい。
本発明の特定の好ましい態様において、プロセスサイクルのそれぞれのパルス又は相は、好ましくは実際において自己規制性である。ここで、「自己規制性(self-limiting)」なる語は、それを本願明細書で使用した場合、吸着されたかもしくは化学吸着された単層が形成された後、その相内にある前駆体に対して表面が本質的に非反応性となる場合を説明している。反応体としての前駆体は、堆積が行われる基板表面を実質的に飽和させるため、プロセスサイクルの各相において過剰量で供給するのが好ましい。この方法を使用した場合、表面飽和の結果として、すべての有効な反応性部位に反応体を存在させることができ、かつ自己終結によって過剰の成膜を防止することができるということが理解される。飽和及び自己終結のこれらの競合メカニズムによって、均一で形状追従性のある材料層を提供できる。
上記したように、ALDプロセスは、バリア層又は金属層、例えば図1においてそれぞれ層120及び130を成長させるために使用することができる。本発明の金属層は、少なくとも2種類の前駆体:ハロゲン含有前駆体及び金属含有前駆体から金属ハライド層を成長させることを通じて形成される。次いで、得られた金属ハライド層を1種類もしくはそれ以上の還元剤に曝露して金属層を形成する。図2a〜図2cは、本発明方法の1態様を示したものであり、金属ハライド層210を成長させる際のいろいろな工程を図示している。この方法によると、ハロゲン含有前駆体及び金属含有前駆体を処理室中に順次導入することによって、基板の表面201の上に一連の単層が吸着せしめられ、好ましくは化学吸着せしめられる。
図2aは、集積回路を加工する段階における基板200の例示的な一部分を示した断面図であり、さらに詳しくは、金属ハライド層を成長させる1工程を示したものである。金属ハライド層は、ハロゲン含有前駆体を処理室に導入するかもしくはパルス化することによって、基板200の表面201の少なくとも一部の上にその前駆体を化学吸着させることによって形成することができる。前駆体202は、好ましくは、例えばバリア層形成のような先行する製造工程のおいて残された終端表面あるいは下地の基板表面に対して引き付けられ得る少なくとも1個のハロゲン原子を含有する。理論に束縛されるものではないけれども、ハロゲン含有前駆体が単層を形成し、次いでこの単層が引き続いて加えられた金属含有前駆体のパルスと反応して金属ハライド層及びその他の揮発性副生成物を生成できるのであると考察される。
適当なハロゲン含有前駆体の例は、以下に列挙するものに限定されるわけではないけれども、次のような化合物を包含する:ハロゲン含有シラン、アルキルクロロシラン、アルキルブロモシラン又はアルキルヨードシラン、シリコンハライド化合物、例えば四塩化ケイ素、四臭化ケイ素又は四沃化ケイ素、ハロゲン化錫化合物、例えばアルキルクロロスタナート、アルキルブロモスタネート又はアルキルヨードスタネート、ゲルマン化合物、例えばアルキルクロロゲルマン、アルキルブロモゲルマン又はアルキルヨードゲルマン、三ハロゲン化ホウ素化合物、例えば三塩化ホウ素、三臭化ホウ素又は三沃化ホウ素、アルミニウムハライド化合物、例えば塩化アルミニウム、臭化アルミニウム又は沃化アルミニウム、アルキルアルミニウムハライド化合物、ガリウムハライド化合物、例えば三塩化ガリウム、三臭化ガリウム又は三沃化ガリウム、あるいはこれらの化合物の組み合わせ。
特定の好ましい態様において、ハロゲン含有前駆体は、酸性の化合物を含んでいる。これらの化合物の例は、以下に列挙するものに限定されるわけではないけれども、ハロゲン化水素酸(例えば、塩化水素(HCl)、臭化水素(HBr)又は沃化水素(HI))又はフッ素化された酸(例えば、トリフルオロ酢酸又はトリフルオロメチルスルホン酸)を包含する。フッ素化酸化合物は、引き続いて銅金属に還元され得る例えば銅トリフルオロメチルスルホネートのようなフッ素化された銅化合物であってもよい。
ハロゲン含有前駆体が酸性化合物を含む態様において、酸性化合物は、Hイオンを放出することによって基板の表面にプロトンを付与し、これによって金属含有前駆体中の金属とプロトン化された表面との間の後続の反応を促進してもよい。例えば、ハロゲン含有前駆体がHClを含みかつ金属含有前駆体がCu(I)(hfac)(tmvs)を含み、式中の(hfac)がヘキサフルオロアセチルアセトンでありかつ(tmvs)がトリメチルビニルシランである場合、HClが単層を形成し、後から導入されたCu(I)(hfac)(tmvs)のパルスがその単層のHClと反応してH(hfac)、tmvs及びCuClを形成する。理論に束縛されるつもりはないけれども、得られたCuClを引き続くHClのパルスによって再びプロトン化してもよいことが考えられる。この方法によると、ハロゲン含有前駆体の比較的に小さなハロゲン原子が緻密に充填された単層を形成することができ、よって、金属含有前駆体の金属原子が反応し得る部位としてより反応性の大きな部位が提供される。上記したファクター−下地の表面のプロトン化、緻密に充填されたハライド含有単層及びより反応性の大きな部位−は、金属ハライド層においてより強い化学吸着とより均一な堆積を生じることができる。
本発明の別の態様において、ハロゲン含有前駆体は、非酸性であってもよく、すなわち、下地の基板の表面をプロトン化しない。この態様の一例として、例えばトリメチルクロロシラン("(CH3)3SiCl")のようなアルキルクロロシランをハロゲン含有前駆体として使用することを挙げることができる。もしも金属含有前駆体がCu(I)(hfac)(tmvs)を含むならば、(CH3)3SiClとCu(I)(hfac)(tmvs)の反応によって生成物:CuCl、tmvs及び(CH3)SiOC(CF3)CHC(O)CF3が作られる。ここで、後者の生成物は、ヘキサフルオロアセチルアセトンのトリメチルシリレノールエーテルである。
ハロゲン含有前駆体は、選ばれた前駆体に依存して、0〜450℃、好ましくは0〜350℃、さらに好ましくは25〜200℃の温度のガスとして処理室中に導入される。ハロゲン含有前駆体は、処理室に対する前駆体の導入を補助するため、以下に示すものに限定されるわけではないけれども、例えばヘリウム(He)、窒素(N2)、アルゴン(Ar)又はその組み合わせのようなキャリヤーガスと組み合わせてもよい。特定の態様において、この前駆体は、処理温度を上回る融点を有していてもよい。しかし、かかるガスは依然として“揮発性”であると考えられ、曝露後の表面を飽和させるのに十分な濃度で基板に対して反応種を搬送するために十分な蒸気圧を処理条件下で呈示する。本発明のさらに別の態様では、上記したハロゲン含有前駆体のあるものを適当な溶媒に溶解し、得られた溶液からの蒸気を、キャリヤーガスを伴ってあるいは伴わないで、処理室に搬送することができる。適当な溶媒は、以下に列挙するものに限定されないけれども、炭化水素(例えば、ペンタン又はヘキサン)、ハロカーボン、エーテル(例えば、エチルエーテル(Et2O)又はテトラヒドロフラン("THF"))、ニトリル(例えば、CH3CN)又は芳香族化合物(例えば、トルエン)を包含する。
基板200の表面201にハロゲン含有前駆体の層202を化学吸着させた後、任意の過剰量の前駆体を真空もしくはその他の手段を介して処理室から除去する。特定の態様の場合には、キャリヤーガスと同じガスからなっていてもよいパージガスのパルスを処理室に供給してもよく、ハロゲン含有前駆体から任意の残分を、あるいは処理室又は基板から反応の副生成物を、さらに除去する。パージサイクルの時間は、0.1〜1,000秒間、好ましくは0.1〜60秒間、さらに好ましくは0.1〜2秒間である。このパージ工程は、プロセスサイクルの各相の後に実施してもよい。
ハロゲン含有前駆体を処理室からパージした後、金属含有前駆体のパルスを処理室に導入する。金属含有前駆体は、好ましくは、銅を含んでいる。適当な金属含有前駆体の例は、次のようなものを包含する:Cu(I)β−ジケトネート(L)(式中、(L)は、安定化配位子、例えばオレフィン、ジエン、シリルオレフィン、シリルアルキレンのアルキレン、イソニトリル、一酸化炭素、トリアルキルホスフィンである)、Cu(II)ビス(β−ジケトネート)、Cu(II)ビス(β−ジイミン)、Cu(I)β−ジイミン(L)(式中、(L)は、安定化配位子、例えばオレフィン、シリルオレフィン、シリルアルキレンのアルキレン、ジエン、イソニトリル、一酸化炭素、トリアルキルホスフィンである)、Cu(I)アルコキシド、Cu(I)アミド、Cu(II)β−ケトイミン、Cu(I)β−ケトイミン(L)(式中、(L)は、安定化配位子、例えばオレフィン、シリルオレフィン、シリルアルキレンのアルキレン、ジエン、イソニトリル、一酸化炭素、トリアルキルホスフィンである)、Cu(II)ビス(アルコキシアミン)、Cu(I)アルキル、Cu(I)アリール又はCu(I)フェノキシド。
特定の好ましい態様において、金属含有前駆体は、次の構造式によって表される少なくとも1種類の化合物であってもよい。
Figure 0004113099
上式において、
M及びM’は、それぞれ、金属、例えばCu、Ag、Au、Оs又はIrであり、
X及びX’は、それぞれ、N又はОであり、
Y及びY’は、それぞれ、Si、C、Sn、Ge、B又はAlであり、
Z及びZ’は、それぞれ、C、N又はОであり、
R1、R2、R1’及びR2’は、アルキル、アルキニル、アルケニル、部分フッ素化アルキル、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール、トリアルキルシリル又はトリアリールシリルの任意の組み合わせであることができ、
R3、R4、R3’及びR4’は、水素、アルキル、部分フッ素化アルキル、トリアルキルシリル、トリアリールシリル、トリアルキルシロキシ、トリアリールシロキシ、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール又はアルコキシの任意の組み合わせであることができ、そして
R5、R6、R5’及びR6’は、水素、アルケニル、アルキニル、アルキル、部分フッ素化アルキル、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール、トリアルキルシリル、トリアリールシリル、トリアルキルシロキシ、トリアリールシロキシ、アルコキシ、SiR7R8N(R9R10)基又はSiR7R8ОR11基(式中、R7、R8、R9、R10及びR11はアルキルであることができる)の任意の組み合わせであることができる。これらの置換基のすべてにおいて、アルキル及びアルコキシは1〜8個の炭素を有することができ、アルケニル及びアルキニルはそれぞれ2〜8個の炭素を有することができ、アリールは6個の炭素を有することができる。また、式中のX及びX’がОである態様の場合、上式は上記した置換基R1及びR1’を担持するだけである。式中のZ及びZ’がОである態様の場合、置換基R5、R6、R5’及びR6’は存在しない。式中のZ及びZ’がNである態様の場合、置換基R6及びR6’は存在しない。元素X/X’、Y/Y’及び(又は)Z/Z’がそれぞれ置換基の対R1/R2及び対R1’/R2’、対R3/R4及び対R3’/R4’及び(又は)対R5/R6及び対R5’/R6’を有する任意の態様の場合、このような対を有する成分は、互いに独立して一緒に結合して、環構造体を形成することができる。また、置換基の対R7及びR8及び対R9及びR10を含む成分も、一緒に結合して環構造体を形成することができる。例えば、式中のX及びX’がNである態様において、対の成分R1及びR2は、対の成分R1’及びR2’ができるのと同じように、一緒に結合して環構造体を形成することができる。金属含有前駆体の別の例は、その製造方法とともに、番号を参照してその全文を本願明細書に記載したものとする、米国特許出願公開第2002/0013487号公報及び本願の譲受人に対して譲渡された米国特許出願(番号未定;代理人整理番号:06023P2)に示されている。
金属含有前駆体は、選ばれた前駆体に依存して、0〜450℃、好ましくは0〜350℃、さらに好ましくは25〜200℃の温度のガスとして処理室中に導入される。ハロゲン含有前駆体と同様に、ガス状もしくは蒸気状の金属含有前駆体は、キャリヤーガス、例えば本願明細書に開示したキャリヤーガスの任意のものと組み合わせてもよい。金属含有前駆体は、ターゲットとする基板の上に単層の被膜を形成することのできる量で処理温度の処理室内に蒸気を搬送するのに十分な揮発性を有するべきである。さらに、金属含有前駆体は、得られた溶液の気化によって処理室に送られる金属含有蒸気を提供するため、溶媒、例えば本願明細書に開示した溶媒の任意のものに少なくとも部分的に溶解される固体であってもよい。
図2bを参照すると、金属含有前駆体の導入に応答して少なくとも部分的にハロゲン層202の上に化学吸着物として図示されているものが、金属含有前駆体に由来する金属層203である。理論によって束縛されることを希望するものではないけれども、金属層203は、ハロゲン層202を形成した場合と同様に、自己規制的な方法で形成されたものであると考察される。次いで図2cを参照すると、任意に組み合わさりかつ互いに直接的に接触しているそれぞれの金属層203及びハロゲン層202は、ハロゲン層202及び金属層205のいずれか一方が単層であるか、両方とも単層であるか、あるいは両方とも単層ではないか否かにかかわらず、それぞれのプロセスサイクルにおいて1つの金属ハライドの副層205を形成している。金属ハライドの副層205は、ハロゲン層202と金属層203の間の化学反応の生成物である。
金属含有前駆体を基板200の上のハロゲン層202に化学吸着させて金属含有層203を形成した後、金属含有前駆体のガスの過剰量と副生成物を真空もしくは同様な手段によって処理室から除去してもよい。この除去作業を促進するため、ハロゲン含有前駆体の場合と同様に、パージガスのパルスを処理室に供給してもよい。
再び図2cを参照すると、十分な厚さをもった所望とする金属ハライドの層210が達成されるまで複数のプロセスサイクルを実施して、図では矢印207によって表されるけれども、金属ハライドの副層205を成長させる。金属ハライド層210は、例えば、0.5〜10,000Å、好ましくは1〜約100Å、さらに好ましくは1〜約20Åの厚さを有することができる。
図2a〜図2cにおいて、基板200の上にハロゲン含有化合物を化学吸着させることから出発して、引き続いて金属含有化合物を化学吸着させることによって金属ハライド層210を成長させることについて説明した。別法によれば、金属ハライド層210の形成を基板200上における金属含有化合物の化学吸着から出発して、引き続いてハロゲン含有化合物の化学吸着を実施してもよい。化学吸着の順序は、下地となる基板の表面や層の化学に応じて変更することができる。
本発明の特定の態様において、基板の表面はバリア層を有しており、このバリア層の上に金属ハライド層が堆積せしめられる。また、バリア層は、バリア材料を高い形状追従性を有するように非常に薄く成長させる必要があるので、ALDによって成長させるのが好ましい。典型的には、バリア層は、チタン(Ti)を使用して形成することができる。また、バリア層を形成するのに使用される適当な化合物は、限定されるわけではないけれども、以下に列挙するものから実質的に構成される化合物を包含する:窒化チタン、例えばTiN、窒化タングステン、例えばWN、タングステン窒化炭素、例えばWCN、窒化タンタル、例えばTaN、タンタル(Ta)、上記のものからなるシリサイド化合物(例えば、TiSiN、WSiN又はTaSiN)あるいはその混合物及び誘導体。窒化タングステンのバリア層は、次のような前駆体:WF及びNH又はW(CО)及びNHを使用して形成することができる。窒化タンタルのバリア層は、TaCl及びNHを前駆体として使用して形成することができる。米国特許出願公開第2002/0106846号公報には、窒化タンタルのバリア層を形成する例が示されている。
特定の好ましい態様において、バリア層形成のためのALDプロセスは、シード層形成のためのALDプロセスに先がけて行われる。特定の好ましい態様において、バリア層とシード層の間の界面接合を促進するため、バリア層形成の最終の単層を、例えば、TaNバリア層のための窒素種でもって終端させてもよい。TaNバリア層を備えた態様において、最終的な単層は、例えばTa−NH、Ta=NH又はTa=N−Taのような表面基を包含してもよい。これらの表面基は、ハロゲン含有前駆体から、例えば酸種、例えば遊離ガスとしてのHCl、あるいは第4級アンモニウム種、例えば[RNH][C]を受理するのに非常に好適である。バリア層の窒素種とハロゲン含有前駆体の酸種との間の反応では、表面種、例えば[Ta=NH][Cl]又は[Ta−NH][Cl]を提供することができる。同様に、ALDを介してあるいはCVDTaNを介して、タンタル金属をナイトライド化し、次いでそのナイトライド化したタンタル金属を例えばHClのようなハロゲン含有酸種で処理することによって類似のTaN表面種を調製することもできた。また、ハロゲン含有酸種に曝露することを通じて、その他の金属及びハロゲン含有のバリア層、例えばWCNもまたプロトン化することができた。次いで、プロトン化した単層を適当な金属含有前駆体、好ましくは銅含有前駆体と接触させ、その後にハロゲン含有酸種と接触させてもよい。この方法の場合、本願明細書において概説したような一連のプロセスサイクルを繰り返すことによって金属ハライド層を形成することができる。ハライドは、金属ハライド層の成長の完結に先がけてあるいはその完結の後、還元剤を介して除去してもよい。全部のハライドの除去が完了すると、下地としてある窒化タンタルのバリア層と銅が密着し、高度の接合強度が達成される。追加的には、理論によって束縛されることを望むものではないけれども、この方法の場合、タンタルと銅の界面におけるフッ素化配位子の分解に原因して接合力に乏しい薄膜が得られていることが通常知られているフッ素化銅前駆体の使用を可能とすることができる。
先に述べたように、金属ハライド層を成長させた後、その金属ハライド層を還元剤に曝露して金属層又はシード層を形成する。金属ハライド層を還元剤に曝露する工程は、金属ハライド層の完結の前あるいはその後のいずれかで行うことができる。この工程は、金属ハライド層の全体が完結してしまうよりはむしろ、断続的に、すなわち、1回もしくはそれ以上のプロセスサイクルを行った後で、実施することができる。これらの態様において、例えばハロゲン化銅のような金属ハライドからなる複数の単層は、金属ハライド層の成長が完結する前に還元されて銅金属に変化する。還元剤は、一般的にはガスの状態で金属ハライド層に曝露される。これらの態様において、この工程を実施する温度は、0〜400℃、好ましくは25〜200℃、さらに好ましくは25〜100℃であり、また、その際の圧力は、0.1〜1,000トル、好ましくは0.1〜100トル、さらに好ましくは0.1〜10トルである。別の態様において、金属ハライド層を適当な還元剤を含有する液体に曝露して金属層を提供してもよい。適当な還元剤の例は、以下に列挙するものに限定されるわけではないけれども、水素ガス、隔離水素プラズマ、シラン、ボラン、アラン、ゲルマン、ヒドラジン又はその混合物を包含する。
特定の好ましい態様において、金属ハライド層をシランによって還元することができる。金属ハライド層をシランによって還元した場合、その金属ハライド層からのハライドの除去を改良できると考えられる。例えば、塩化銅とジエチルシランが反応した場合、銅金属及び化合物であるジエチルクロロシランが生成する。したがって、ハライドはケイ素に対して共有結合を形成するので、銅の薄膜からハライドをより効果的に除去することができる。よって、金属含有薄膜を形成するために金属ハライドを使用してCVD又はALDプロセスを行う場合に一般的に認められる場合に比べて、金属含有薄膜からハライドを除去するための改良された方法を提供することができる。比較のために示すと、ALD又はCVDプロセスでは塩化チタンとアンモニアガスの間で窒化チタンを形成することができ、よって、放出されたクロライドが塩化アンモニウムの薄膜として生成する。後者の種は、ハライドがアンモニウムイオンに対して揮発性の塩として結合していることを表し、また、この塩はアンモニア及びHClに容易に分解し得、よって、金属含有薄膜からクロライドを除去するためのビヒクルとしてのアンモニウム塩の有効性を制限する。
さらに、本発明の方法は、混成された金属合金の薄膜、例えば銅合金層をALDによって形成する際に使用してもよい。1種よりも多数の金属をALD成長薄膜に導入して金属薄膜の性能、例えば電気泳動抵抗を高めることができる。銅薄膜について言うと、1種もしくはそれ以上の金属、例えば、以下に示すものに限定されるわけではないけれども、Ag、Au、Os、Ir、Pt、Pd、Re、Rh、Ni、Cо又はRuあるいはその組み合わせを合金化金属として使用することができる。これらの態様において、金属ハライドの複数の層をALDによって成長させ、引き続いて、第1の層の金属とは異なる少なくとも1種以上の金属−あるいは合金金属−含有層を追加の層として成長させることができる。成長工程が完了した後、第1の金属ハライド及び合金金属ハライドを還元して金属合金層を形成する。第1の金属ハライド層と合金金属ハライド層の比率から、還元後における最終的な金属合金の組成が決定される。合金金属−含有ハライド層は、第1の金属ハライド層の完結に先がけてあるいはその後に、成長させることができる。例えば、ALD法によるハロゲン化銅の成長を一時的に停止した後、類似のALD又はCVD法を使用して異なる金属ハライドの新しい層を堆積させる。次いで、ハロゲン化銅のALDを再開することができる。その後、このようにして得られた、金属ハライドが交互に存在するサンドイッチ構造体を化学的に還元して金属合金を形成することができる。
引き続いて、下記の実施例を参照して本発明をさらに詳細に説明することにする。なお、本発明はこれらの実施例に限定されるべきものではないことを理解されたい。下記の実施例において、パーキンエルマー社製の分析装置(Perkin Elmeer 2400 series)を使用して元素分析を行った。分光計(Siemens/Bruker D5000)でXRD測定値を得た。分光計は、平行ビーム光学系、セラミック製のX線管、2200W、を備え、ロングファインフォーカス、0.02°ステップサイズ及び2.0秒のカウント時間で10〜75°の直接モード(Immediate Mode)で使用した。日立社製の走査型電子顕微鏡(Hitachi S-750)を使用してEDX分析を行った。HP−5MSを装備したヒューレット・パッカード社製の選択的質量検出器(Hewlett Packard 5890 Series 11 G.C.及び5972 Series)を使用してG.C.M.S.スペクトルを測定した。
実施例1
テトラヒドロフランに溶解したCu(I)(hfac)(tmvs)の塩化水素による処理
本発明の譲受人の1部門であるSchumacher, Inc.からCupraSelectTMとして商業的に入手可能な、23.2gの量のCu(I)(hfac)(tmvs)を、窒素雰囲気下で攪拌しながら、約250mlの量の溶媒、テトラヒドロフラン(THF)に溶解して溶液を調製した。室温で攪拌しながら、1モル当量の塩化水素(HCl)(ジエチルエーテル中で2.0M)を溶液に徐々に添加した。HClの添加の結果、白色の塩化銅の粉末サンプル、3.67g及び理論値の58%、が瞬時に沈殿した。サンプルをろ過し、ポンプ乾燥した。次いで、この工程全体を繰り返して合計で2種類の塩化銅のサンプルを得た。
両方のサンプルの元素分析を行ったところ、第1のサンプルは、0.0重量%の炭素及び0.0重量%の水素を含有し、かつ第2のサンプルは、0.14重量%の炭素及び0.05重量%の水素を含有することがわかった。第2のサンプルのXRD測定値は、それに塩化銅が含まれることを示した。第2のサンプルを過剰量のジエチルシランで室温で一晩をかけて処理したところ、銅色の沈殿が生成した。この銅色の沈殿の元素分析は、その沈殿が0.08重量%の炭素及び0.0重量%の水素を含有することを示した。この銅色の沈殿のXRD測定値は、その沈殿が本質的に純粋な銅であることを示した。
上記のように調製した塩化銅サンプルの還元におけるようにして、同一の条件下で塩化銅とジエチルシランの間で独立した反応を行わせたところ、銅の沈殿とともにジエチルクロロシランが形成されたこと、GCMSによって同定、が判明した。
実施例1は、溶液ベースのモデルであり、例えばCu(I)(hfac)(tmvs)のような銅含有前駆体と例えばHClのようなクロライド含有前駆体を反応させると塩化銅種が生成し、さらにこの塩化銅種を例えばジエチルシランのような還元剤で還元すると銅金属が形成されるであろうということを示している。予想されるところによると、銅を主成分とする固体は、それが不溶性の無機塩及び金属として溶液から沈殿せしめられるので、完全に純粋になることはできない。したがって、銅の固体の場合、痕跡量の未反応の有機配位子を含有することが可能である。対応のALD実験、すなわち実施例3によれば、単層化学反応に由来する単層は完全に到達する傾向がより大であり、したがってより高純度の薄膜が達成されるということが予想される。
実施例2
THFに溶解した[-CuNMe2SiMe2CH2CuNMe2SiMe2CH2-]のHClによる処理
米国特許出願公開第2002/0013487号公報に開示されている1方法に従って調製した6.9gの量の[-CuNMe2SiMe2CH2CuNMe2SiMe2CH2-]を、窒素雰囲気下で攪拌しながら、約100mlの量のTHFに溶解して反応混合物を得た。室温で攪拌しながら、2モル当量のHCl(ジエチルエーテル中で2.0M)を反応混合物に添加した。得られた反応性生物から塩化銅の沈殿サンプル、3.02g及び理論値の78%、が得られた。サンプルをろ過し、ポンプ乾燥した。
サンプルの元素分析を行ったところ、1.8重量%の炭素、0.00重量%の水素及び0.91重量%の窒素を含有することがわかった。サンプルのXRD測定値は、それに純粋な塩化銅が含まれることを示した。
次いで、サンプルを過剰量のジエチルシランで室温で一晩をかけて処理したところ、銅色の固体が得られた。この銅色の固体の元素分析は、その固体が1.54重量%の炭素、0.00重量%の水素及び0.75重量%の窒素を含有することを示した。この銅色の固体のXRD測定値は、その固体が純粋な銅を含有することを示した。
実施例2は、溶液ベースのモデルであり、銅含有前駆体[-CuNMe2SiMe2CH2CuNMe2SiMe2CH2-]とクロライド含有前駆体HClを反応させると塩化銅が生成し、さらにこの塩化銅を例えばジエチルシランのような還元剤で処理すると銅金属が形成されるであろうということを示している。
実施例3
Cu(I)(hfac)(tmvs)及び塩化水素を使用したCuCl膜の成長とそれに引き続くジエチルシラン還元によるALDでのCu膜の作製
TiNの200Å厚の拡散層を被覆した3”×0.5”のシリコンウエハを、引き続いて大気圧でハロゲン含有前駆体、窒素及び金属含有前駆体で処理室のパージを行うための三方混合弁を備えた処理室を含むALD反応装置の処理室に収容した。25℃の温度でウエハを保持した。窒素雰囲気下、銅の前駆体Cu(I)(hfac)(tmvs)を15℃の温度まで冷却した。銅の前駆体からの蒸気を大気圧の処理室に、約1,800立方センチメートル毎秒(sccm)の流量で2秒間にわたって導入した。次いで、処理室の窒素ガスを1,800sccmの流量で約50秒間にわたってフラッシュして残っていたCu(I)(hfac)(tmvs)蒸気を除去した。次いで、テトラヒドロフラン溶媒中に無水HClを含む0.5Mの溶液からの蒸気を処理室に、1,800sccmで1秒間にわたって導入した。処理室を窒素ガスで約50秒間のパルスでもってフラッシュして残余のHCl蒸気を除去した。次いで、銅の前駆体の蒸気を2秒間にわたってパルス導入し、約20〜30nmの薄膜が形成されるまで、約250サイクルにわたって上記サイクルを繰り返した。
薄膜のEDX分析から、この薄膜は塩素及び銅の元素のみから構成されること、すなわち、塩化銅からなることがわかった。この薄膜を室温でかつ大気圧下にジエチルシランの蒸気に曝露したところ、薄膜の色変化が引き起こされた。ジエチルシラン蒸気に曝露した後の薄膜のEDX分析から、塩素が存在しないこと、すなわち、薄膜が還元されて銅金属となったことがわかった。
実施例1は溶液モデルを介して確立されているので、銅含有前駆体Cu(I)(hfac)(tmvs)がハロゲン含有前駆体HClと反応すると、CuCl膜が提供されるであろう。次いで、このCuCl膜を還元剤であるジエチルシランを介して還元すると、銅の薄膜が形成される。
以上、本発明をその特定の実施例を参照して詳細に説明したけれども、当業者であれば、本発明の精神及び範囲から逸脱することなく本発明において種々の変更及び改良を行い得ることが理解されるであろう。
本発明方法によって作製された金属層を有する半導体基板の断面図である。 本発明方法の1態様を金属ハライド層形成の1工程に関して示した断面図である。 本発明方法の1態様を金属ハライド層形成のもう1つの工程に関して示した断面図である。 本発明方法の1態様を金属ハライド層形成のさらにもう1つの工程に関して示した断面図である。
符号の説明
100…半導体基板
105…導電性層
110…埋め込み領域
120…バリア層
130…金属層(シード層)
140…バルク導電性材料

Claims (27)

  1. 半導体基板の表面に金属層を堆積する方法であって、
    前記表面の少なくとも一部の上で、ハロゲン含有前駆体及び金属含有前駆体から金属ハライド層をALD又はCVDプロセスで成長させること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含みかつ、その際、
    前記成長工程が、
    前記表面の少なくとも一部の上でハロゲン含有前駆体を化学吸着させること、及び
    前記表面の少なくとも一部の上で金属含有前駆体を化学吸着させること
    を含み、前記前駆体内の金属とハロゲンを反応させて前記金属ハライド層を形成すること、及び
    前記ALDプロセスを行うときには、前記ハロゲン含有前駆体及び前記金属含有前駆体を順次供給して前記金属ハライド層を形成し、かつ
    前記CVDプロセスを行うときには、前記ハロゲン含有前駆体及び前記金属含有前駆体を共反応させて前記金属ハライド層を形成すること、
    を特徴とする、方法。
  2. 前記ハロゲン含有前駆体が、ハロゲン含有酸、ハロゲン含有シラン、アルキルクロロシラン、アルキルブロモシラン、アルキルヨードシラン、シリコンハライド化合物、ハロゲン化錫化合物、ゲルマン化合物、三ハロゲン化ホウ素化合物、アルキルアルミニウムハライド化合物、アルミニウムハライド化合物、ガリウムハライド化合物又はその組み合わせからなる群からの少なくとも一員である、請求項1に記載の方法。
  3. 前記ハロゲン含有前駆体がハロゲン含有酸である、請求項に記載の方法。
  4. 前記ハロゲン含有前駆体がHClである、請求項に記載の方法。
  5. 前記金属含有前駆体が銅を含む、請求項1〜のいずれか1項に記載の方法。
  6. 前記金属含有前駆体が、Cu(I)β−ジケトネート(L)(式中、(L)は、オレフィン、ジエン、シリルオレフィン、シリルアルキレンのアルキレン、イソニトリル、一酸化炭素及びトリアルキルホスフィンから選ばれた安定化配位子である)、Cu(II)ビス(β−ジケトネート)、Cu(II)ビス(β−ジイミン)、Cu(I)β−ジイミン(L)(式中、(L)は、オレフィン、シリルオレフィン、シリルアルキレンのアルキレン、ジエン、イソニトリル、一酸化炭素及びトリアルキルホスフィンから選ばれた安定化配位子である)、Cu(I)アルコキシド、Cu(I)アミド、Cu(II)β−ケトイミン、Cu(I)β−ケトイミン(L)(式中、(L)は、オレフィン、シリルオレフィン、シリルアルキレンのアルキレン、ジエン、イソニトリル、一酸化炭素及びトリアルキルホスフィンから選ばれた配位子である)、Cu(II)ビス(アルコキシアミン)、Cu(I)アルキル、Cu(I)アリール又はCu(I)フェノキシドからなる群からの少なくとも一員である、請求項に記載の方法。
  7. 前記金属含有前駆体が、次の構造式によって表される少なくとも1種類の化合物を含む、請求項1〜のいずれか1項に記載の方法:
    Figure 0004113099
    (上式において、
    M及びM’は、それぞれ、Cu、Ag、Au、Оs又はIrであり、
    X及びX’は、それぞれ、N又はОであり、
    Y及びY’は、それぞれ、Si、C、Sn、Ge、B又はAlであり、
    Z及びZ’は、それぞれ、C、N又はОであり、
    R1、R2、R1’及びR2’は、それぞれ独立して、アルキル、アルケニル、アルキニル、部分フッ素化アルキル、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール、トリアルキルシリルであり、あるいはX及びX’がNである時にトリアリールシリルであり、
    R1及びR1’は、それぞれ独立して、アルキル、アルケニル、アルキニル、部分フッ素化アルキル、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール、トリアルキルシリルであり、あるいはX及びX’がОである時にトリアリールシリルであり、
    R3、R4、R3’及びR4’は、それぞれ独立して、水素、アルキル、部分フッ素化アルキル、トリアルキルシリル、トリアリールシリル、トリアルキルシロキシ、トリアリールシロキシ、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール又はアルコキシであり、
    R5、R6、R5’及びR6’は、それぞれ独立して、水素、アルキル、アルケニル、アルキニル、部分フッ素化アルキル、アリール、アルキル置換アリール、部分フッ素化アリール、フルオロアルキル置換アリール、トリアルキルシロキシ、トリアリールシロキシ、トリアルキルシリル、トリアリールシリルもしくはアルコキシ、SiR7R8N(R9R10)基又はSiR7R8ОR11基であり、式中のR7、R8、R9、R10及びR11はアルキルであることができ、
    但し、X及びX’がそれぞれОである場合、R2及びR2’のところには置換基は存在せず、
    また、Z及びZ’がそれぞれNである場合、R6及びR6’のところには置換基は存在せず、
    さらに、Z及びZ’がそれぞれОである場合、R5、R6、R5’又はR6’のところには置換基は存在せず、そして
    前記アルキル及びアルコキシドは1〜8個の炭素を有し、前記アルケニル及びアルキニルは2〜8個の炭素を有し、かつ前記アリールは6個の炭素を有する)。
  8. 前記半導体基板がバリア層を有し、このバリア層の上に前記金属ハライド層が成長せしめられる、請求項1〜のいずれか1項に記載の方法。
  9. 前記バリア層が、窒化チタン化合物、チタン化合物、窒化タンタル化合物、タングステン窒化炭素化合物、タンタル化合物、前記のシリサイド化合物又はその混合物からなる群から選ばれた少なくとも一員である、請求項に記載の方法。
  10. 前記還元剤が、水素、シラン、ボラン、アラン、ゲルマン、ヒドラジン又はその混合物からなる群から選ばれた少なくとも一員である、請求項1〜のいずれか1項に記載の方法。
  11. 前記還元剤がシランである、請求項10に記載の方法。
  12. 前記還元剤がジエチルシランである、請求項11に記載の方法。
  13. 前記成長工程の完結に先がけて前記曝露工程を実施する、請求項1〜12のいずれか1項に記載の方法。
  14. 前記成長工程の完結の後に前記曝露工程を実施する、請求項1〜12のいずれか1項に記載の方法。
  15. 基板の表面に金属層を形成する方法であって、
    前記表面をハロゲン含有前駆体及び金属含有前駆体と接触させることによって金属ハライド層をALD又はCVDプロセスで成長させ、その際、前記前駆体中のハロゲン及び金属を反応させて前記金属ハライド層を形成すること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含んでなる、方法。
  16. 合金金属含有前駆体から合金金属ハライド層を成長させる工程をさらに含み、その際、前記合金金属含有前駆体の金属は、前記金属含有前駆体の金属とは異なるものとする、請求項15に記載の方法。
  17. 前記合金金属含有前駆体が、Ag、Au、Оs、Ir、Pt、Pd、Rh、Re、Ni、Cо、Ru又はその組み合わせからなる群からの少なくとも一員である、請求項15又は16に記載の方法。
  18. 前記第2の成長工程を前記曝露工程に先がけて実施する、請求項1517のいずれか1項に記載の方法。
  19. 前記成膜工程の完結に先がけて前記曝露工程を実施する、請求項1517のいずれか1項に記載の方法。
  20. 前記成膜工程の完結の後に前記曝露工程を実施する、請求項1517のいずれか1項に記載の方法。
  21. 半導体基板の表面に金属層を堆積する方法であって、
    前記表面の少なくとも一部の上で、酸を含むハロゲン含有前駆体及び金属含有前駆体から金属ハライド層をALD又はCVDプロセスで成長させ、その際、前記半導体基板がバリア層を有し、このバリア層の上に前記金属ハライド層が成長せしめられること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含んでなる、方法。
  22. 前記酸が、ハロゲン化水素酸又はフッ素化された酸からなる群から選ばれた少なくとも一員である、請求項21に記載の方法。
  23. 前記バリア層が、窒化チタン化合物、チタン化合物、窒化タンタル化合物、タングステン窒化炭素化合物、タンタル化合物、前記のシリサイド化合物又はその混合物からなる群から選ばれた少なくとも1種の化合物である、請求項21に記載の方法。
  24. 基板の表面の少なくとも一部に金属層を堆積する方法であって、
    内部に前記基板を収容した反応室にハロゲン含有前駆体及び金属含有前駆体の蒸気相パルスを交番的に導入して金属ハライド層を提供すること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含んでなる、方法。
  25. 物品の表面に金属層を堆積する方法であって、
    その物品の表面の少なくとも一部の上で、ハロゲン含有前駆体及び金属含有前駆体を含む複数の前駆体から金属ハライド層をALD又はCVDプロセスで成長させ、その際、前記ハロゲン含有前駆体は、金属を実質的に含まないか、さもなければ前記金属層のものとは異なる金属を含有すること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含んでなる、方法。
  26. 前記成長工程における前記複数の前駆体が合金金属含有前駆体をさらに含んでいる、求項25に記載の方法。
  27. 少なくとも1種の金属を含む金属層を物品の表面に形成する方法であって、
    その物品の表面の上で、その表面にハロゲン含有前駆体、金属含有前駆体、及び任意に合金金属含有前駆体を接触させることによって金属ハライド層をALD又はCVDプロセスで成長させ、その際、前記前駆体内のハロゲン、金属及び任意に合金金属を反応させて金属ハライド層を形成させ、かつ前記ハロゲン含有前駆体は、金属を実質的に含まないか、さもなければ前記金属層のものとは異なる金属を含有すること、及び
    前記金属ハライド層を還元剤に曝露して前記金属層を提供すること
    を含んでなる、方法。
JP2003375645A 2002-11-05 2003-11-05 半導体基板の表面に金属層を堆積する方法 Expired - Fee Related JP4113099B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28790302A 2002-11-05 2002-11-05
US10/324,781 US6869876B2 (en) 2002-11-05 2002-12-20 Process for atomic layer deposition of metal films

Publications (3)

Publication Number Publication Date
JP2004156141A JP2004156141A (ja) 2004-06-03
JP2004156141A5 JP2004156141A5 (ja) 2005-05-26
JP4113099B2 true JP4113099B2 (ja) 2008-07-02

Family

ID=32314358

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003375645A Expired - Fee Related JP4113099B2 (ja) 2002-11-05 2003-11-05 半導体基板の表面に金属層を堆積する方法

Country Status (7)

Country Link
US (1) US6869876B2 (ja)
EP (1) EP1426463B1 (ja)
JP (1) JP4113099B2 (ja)
KR (1) KR100639640B1 (ja)
AT (1) ATE381628T1 (ja)
DE (1) DE60318173T2 (ja)
TW (1) TWI240970B (ja)

Families Citing this family (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6989172B2 (en) * 2003-01-27 2006-01-24 Micell Technologies, Inc. Method of coating microelectronic substrates
US7205960B2 (en) 2003-02-19 2007-04-17 Mirage Innovations Ltd. Chromatic planar optic display system
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7048968B2 (en) * 2003-08-22 2006-05-23 Micron Technology, Inc. Methods of depositing materials over substrates, and methods of forming layers over substrates
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7312163B2 (en) * 2003-09-24 2007-12-25 Micron Technology, Inc. Atomic layer deposition methods, and methods of forming materials over semiconductor substrates
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7419702B2 (en) * 2004-03-31 2008-09-02 Tokyo Electron Limited Method for processing a substrate
US20080166870A1 (en) * 2004-06-04 2008-07-10 International Business Machines Corporation Fabrication of Interconnect Structures
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7294851B2 (en) * 2004-11-03 2007-11-13 Infineon Technologies Ag Dense seed layer and method of formation
US7205422B2 (en) * 2004-12-30 2007-04-17 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate and metal β-diiminate complexes
US7034169B1 (en) 2004-12-30 2006-04-25 Air Products And Chemicals, Inc. Volatile metal β-ketoiminate complexes
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7573640B2 (en) * 2005-04-04 2009-08-11 Mirage Innovations Ltd. Multi-plane optical apparatus
US8435905B2 (en) * 2005-06-13 2013-05-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device, and substrate processing apparatus
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
EP1942364A1 (en) 2005-09-14 2008-07-09 Mirage Innovations Ltd. Diffractive optical relay and method for manufacturing the same
EP1932051A1 (en) * 2005-09-14 2008-06-18 Mirage Innovations Ltd. Diffraction grating with a spatially varying duty-cycle
US20080043334A1 (en) * 2006-08-18 2008-02-21 Mirage Innovations Ltd. Diffractive optical relay and method for manufacturing the same
WO2007052265A2 (en) * 2005-11-03 2007-05-10 Mirage Innovations Ltd. Binocular optical relay device
JP4975414B2 (ja) * 2005-11-16 2012-07-11 エーエスエム インターナショナル エヌ.ヴェー. Cvd又はaldによる膜の堆積のための方法
WO2008023375A1 (en) * 2006-08-23 2008-02-28 Mirage Innovations Ltd. Diffractive optical relay device with improved color uniformity
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR100807216B1 (ko) * 2006-09-29 2008-02-28 삼성전자주식회사 두께 균일성을 향상할 수 있는 박막 형성 장치 및 방법
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) * 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US20100302644A1 (en) * 2007-09-18 2010-12-02 Mirage Innovations Ltd Slanted optical device
US8017183B2 (en) * 2007-09-26 2011-09-13 Eastman Kodak Company Organosiloxane materials for selective area deposition of inorganic materials
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US8263795B2 (en) * 2007-11-05 2012-09-11 Air Products And Chemicals, Inc. Copper precursors for thin film deposition
US20090130466A1 (en) * 2007-11-16 2009-05-21 Air Products And Chemicals, Inc. Deposition Of Metal Films On Diffusion Layers By Atomic Layer Deposition And Organometallic Precursor Complexes Therefor
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
DE102007058571B4 (de) * 2007-12-05 2012-02-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrat mit einer Kupfer enthaltenden Beschichtung und Verfahren zu deren Herstellung mittels Atomic Layer Deposition und Verwendung des Verfahrens
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8993051B2 (en) 2007-12-12 2015-03-31 Technische Universiteit Delft Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
CN102414797A (zh) * 2009-04-29 2012-04-11 应用材料公司 在HVPE中形成原位预GaN沉积层的方法
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
KR101958606B1 (ko) * 2010-07-06 2019-03-14 아토테크 도이칠란드 게엠베하 인쇄회로기판의 제조 방법
US20120070981A1 (en) * 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9005705B2 (en) * 2011-09-14 2015-04-14 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung E.V. Method for the production of a substrate having a coating comprising copper, and coated substrate and device prepared by this method
CN110592554A (zh) * 2013-06-26 2019-12-20 应用材料公司 沉积金属合金膜的方法
CN105492656B (zh) * 2013-06-28 2018-03-23 韦恩州立大学 作为用于在衬底上形成层的还原剂的二(三甲基甲硅烷基)六元环系统和相关化合物
JP6147693B2 (ja) * 2014-03-31 2017-06-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
TWI784036B (zh) * 2017-08-30 2022-11-21 荷蘭商Asm智慧財產控股公司 層形成方法
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
KR20210031492A (ko) * 2018-07-12 2021-03-19 바스프 에스이 금속 또는 반금속-함유 필름의 제조 방법
CN109273402B (zh) * 2018-09-13 2020-08-25 德淮半导体有限公司 金属阻挡层的制作方法、金属互连结构及其制作方法
JP2020132904A (ja) * 2019-02-13 2020-08-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置
CN112652677B (zh) * 2020-12-09 2023-10-27 晋能光伏技术有限责任公司 一种perc电池背面钝化工艺
TW202235654A (zh) * 2021-02-16 2022-09-16 美商應用材料股份有限公司 用於原子層沉積之還原劑
US20230317634A1 (en) * 2022-04-05 2023-10-05 Applied Materials, Inc. Coatings with diffusion barriers for corrosion and contamination protection

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via

Also Published As

Publication number Publication date
EP1426463B1 (en) 2007-12-19
DE60318173D1 (de) 2008-01-31
JP2004156141A (ja) 2004-06-03
DE60318173T2 (de) 2008-04-10
TW200408007A (en) 2004-05-16
TWI240970B (en) 2005-10-01
US6869876B2 (en) 2005-03-22
KR100639640B1 (ko) 2006-10-30
EP1426463A1 (en) 2004-06-09
ATE381628T1 (de) 2008-01-15
US20040087143A1 (en) 2004-05-06
KR20040040376A (ko) 2004-05-12

Similar Documents

Publication Publication Date Title
JP4113099B2 (ja) 半導体基板の表面に金属層を堆積する方法
JP7411021B2 (ja) レニウム含有薄膜の原子層堆積
JP7182676B2 (ja) 周期的堆積により基材上に金属性膜を形成する方法及び関連する半導体デバイス構造
US7144809B2 (en) Production of elemental films using a boron-containing reducing agent
JP7203111B2 (ja) ビス(アルキル-アレーン)モリブデン前駆体を使用したモリブデンの蒸着
US10157786B2 (en) Selective formation of metallic films on metallic surfaces
TW559890B (en) Metal nitride deposition by ALD with reduction pulse
US6884466B2 (en) Process for low-temperature metal-organic chemical vapor deposition of tungsten nitride and tungsten nitride films
KR101506019B1 (ko) 금속 카바이드 막의 기상 증착
US6090709A (en) Methods for chemical vapor deposition and preparation of conformal titanium-based films
JP2005002099A (ja) 金属含有膜のための前駆体
JP5735593B2 (ja) 気相成長を介して連続的な銅薄膜を形成する方法
JP4261417B2 (ja) 拡散バリア層表面に金属膜を形成する方法
EP2014790A1 (en) Process for forming continuous copper thin films via vapor deposition

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040407

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070116

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070412

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080311

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080410

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110418

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120418

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130418

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees