KR100602087B1 - 반도체 소자 및 그 제조방법 - Google Patents

반도체 소자 및 그 제조방법 Download PDF

Info

Publication number
KR100602087B1
KR100602087B1 KR1020040053388A KR20040053388A KR100602087B1 KR 100602087 B1 KR100602087 B1 KR 100602087B1 KR 1020040053388 A KR1020040053388 A KR 1020040053388A KR 20040053388 A KR20040053388 A KR 20040053388A KR 100602087 B1 KR100602087 B1 KR 100602087B1
Authority
KR
South Korea
Prior art keywords
thin film
semiconductor device
gas
tasin
manufacturing
Prior art date
Application number
KR1020040053388A
Other languages
English (en)
Other versions
KR20060004311A (ko
Inventor
이한춘
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020040053388A priority Critical patent/KR100602087B1/ko
Priority to US11/177,921 priority patent/US7407881B2/en
Publication of KR20060004311A publication Critical patent/KR20060004311A/ko
Application granted granted Critical
Publication of KR100602087B1 publication Critical patent/KR100602087B1/ko
Priority to US12/166,267 priority patent/US20080277790A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 목적은 TaSiN막의 스텝 커버리지 특성을 향상시키고 비저항을 감소시켜 소자의 고집적화 및 고속화에 대응하는 우수한 확산방지막을 얻는 것이다.
본 발명의 목적은 반도체 기판; 기판 상에 형성되고 기판의 일부를 노출시키는 콘택홀이 구비된 층간절연막; 및 콘택홀을 포함하는 층간절연막 상에 형성되고 적어도 2층 이상의 다층 TaSiN 박막으로 이루어진 확산방지막을 포함하고, TaSiN 박막이 불순물이 제거된 TaN 박막과 실리콘 소오스 가스의 반응에 의해 형성된 것으로 이루어진 반도체 소자에 의해 달성될 수 있다.
확산방지막, ALD, TaN, TaSiN, 콘택홀

Description

반도체 소자 및 그 제조방법{Semiconductor device and method of manufacturing the same}
도 1a 내지 도 1e는 본 발명의 실시예에 따른 확산방지막 형성방법을 설명하기 위한 순차적 공정 단면도.
※ 도면의 주요부분에 대한 부호의 설명
10 : 반도체 기판 11 : 층간절연막
12 : 콘택홀 13 : TaN 박막
13a : 불순물이 제거된 TaN 박막
14a, 14b, 14c : TaSiN 박막
100 : 확산방지막
본 발명은 반도체 소자 및 그 제조방법에 관한 것으로, 더욱 상세하게는 배선 금속의 확산을 방지하는 확산방지막 및 그 형성방법에 관한 것이다.
일반적으로 반도체 소자 제조 공정에서 구리 등의 배선 금속이 실리콘 및 산화물 내부로 확산하는 것을 억제하기 위하여 콘택홀 또는 비아홀과 같은 홀의 내벽 에 확산방지막(diffusion barrier)을 형성한다.
확산방지막으로는 Ta 등의 내열금속(refractory)에 질소(N)가 함유된 TaN과 같은 이원계 질화물이나, Ta에 N 과 실리콘(Si)이 함유된 TaSiN과 같은 삼원계 질화물이 사용되는데, Si를 함유한 삼원계 질화물이 성능 면에서는 유리하다.
TaSiN막은 주로 물리기상증착(Physical Vapor Deposition; PVD)에 의해 증착이 이루어지기 때문에 제작이 용이하다는 장점이 있다.
반면, PVD에 의한 TaSiN막은 높은 종횡비(aspect ratio)의 콘택홀에서 스텝 커버리지(step coverage) 특성이 열악할 뿐만 아니라, 다결정 상태로 존재하여 구리 배선에 대한 확산방지막으로 사용 시에는 구리가 쉽게 확산하여 TaSiN막과 구리 배선의 계면에 CuSi를 형성하는 문제가 있다.
이를 해결하기 위해, PVD에 비해 스텝 커버리지 특성이 비교적 우수하고 비정질 상태로 존재가 가능한 화학기상증착(Chemical Vapor Deposition; CVD)에 의해 TaSiN막을 형성하기 위한 연구가 이루어지고 있다.
그런데, CVD에서는 Ta 전구체(precusor)와 반응가스를 충분히 반응시키려면 기판의 온도를 600℃ 이상으로 높여야 하므로 고온 공정이 이루어질 수밖에 없다.
이에 따라, 막의 표면 거칠기가 증가하고, 특히 막 밀도의 저하로 인해 열공정에서 응집(agglomeration) 현상이 발생한다.
또한, Ta 전구체에 포함된 각종 잔재물로 인해 증착 과정에서 막 내부에 불순물이 많이 포함되어 비저항이 증가되는 문제가 있다.
본 발명은 상기한 바와 같은 종래 기술의 문제점을 해결하기 위한 것으로, TaSiN막의 스텝 커버리지 특성을 향상시키고 비저항을 감소시켜 소자의 고집적화 및 고속화에 대응하는 우수한 확산방지막을 얻는데 그 목적이 있다.
상기한 바와 같은 본 발명의 목적은 반도체 기판; 기판 상에 형성되고 기판의 일부를 노출시키는 콘택홀이 구비된 층간절연막; 및 콘택홀을 포함하는 층간절연막 상에 형성되고 적어도 2층 이상의 다층 TaSiN 박막으로 이루어진 확산방지막을 포함하고, TaSiN 박막은 불순물이 제거된 TaN 박막과 실리콘 소오스 가스의 반응에 의해 형성된 것으로 이루어진 반도체 소자에 의해 달성될 수 있다.
또한, 상기와 같은 본 발명의 목적은 반도체 기판 상에 기판의 일부를 노출시키는 콘택홀이 구비된 층간절연막을 형성하는 제 1 단계; 콘택홀을 포함하는 층간절연막 상에 Ta 소오스 가스와 질소를 함유한 반응가스를 이용하여 TaN 박막을 증착하는 제 2 단계; TaN 박막 내부의 불순물을 제거하는 제 3 단계; 불순물이 제거된 TaN 박막을 실리콘 소오스 가스와 반응시켜 TaSiN 박막을 형성하는 제 4 단계; 및 제 2 내지 제 4 단계를 적어도 1회 이상 반복 수행하여 다층의 TaSiN 박막으로 이루어진 확산방지막을 형성하는 제 5 단계를 포함하는 반도체 소자의 제조방법에 의해 달성될 수 있다.
이하, 본 발명의 바람직한 실시예를 설명한다.
먼저, 도 1e를 참조하여 본 발명의 실시예에 따른 반도체 소자의 확산방지막에 대하여 설명한다.
도 1e에 도시된 바와 같이, 반도체 기판(10) 상에는 기판(10)을 일부 노출시키는 콘택홀(12; 도 1a 참조)이 구비된 층간절연막(11)이 형성되어 있다.
콘택홀(12)을 포함하는 층간절연막(11) 상에는 적어도 2층 이상의 다층, 예컨대 3 층의 TaSiN 박막(14a, 14b, 14c)으로 이루어진 확산방지막이 형성되어 있다.
여기서, 확산방지막은 원자층증착(Atomic Layer Deposition; ALD)에 의해 형성된 것이며, 각각의 TaSiN 박막(14a, 14b, 14c)은 불순물이 제거된 TaN 박막과 실리콘(Si) 소오스 가스의 반응에 의해 형성된 것이다.
또한, 불순물이 제거된 TaN 박막은 수소(H) 함유 가스와 Ar 가스의 혼합가스에 의해 TaN 박막이 플라즈마 처리된 것이다.
수소 함유 가스로는 H2, H2+N2 또는 NH3를 사용할 수 있고, 실리콘 소오스 가스로는 SiH4를 사용할 수 있으며, TaN 박막은 2 내지 100Å의 두께를 가질 수 있다.
다음으로, 도 1a 내지 도 1e를 참조하여 상술한 확산방지막 형성방법에 대하여 설명한다.
도 1a에 도시된 바와 같이, 소정의 공정이 완료된 반도체 기판(10) 상에 층간절연막(11)을 증착하고, 포토리소그라피 및 식각공정에 의해 층간절연막(11)을 패터닝하여 기판(10)의 일부를 노출시키는 콘택홀(12)을 형성한다.
도 1b에 도시된 바와 같이, ALD에 의해, 먼저 챔버 내부로 Ta 전구체와 질소를 함유한 반응가스를 동시에 주입하고 기판 온도를 170 내지 500℃로 조절하여, 콘택홀(12)을 포함하는 층간절연막(11) 상에 2 내지 100Å 두께로 TaN 박막(13)을 증착한다.
이때, Ta 전구체로는 터트부틸이미도(트리스디에틸아마이드)탄탈륨(tertbutylimido (trisdiethylamide) tantalum : TBTDET), 펜타키스(디에틸아마이드)탄탈륨(pentakis (diethylamide) tantalum : PDEAT), 펜타키스(디메틸아마이드) 탄탈륨(pentakis (dimethylamide) tantalum : PDMAT), 또는 펜타키스(에틸메틸아미노)탄탈륨(pentakis (ethylmethylamino) tantalum : PEMAT) 등을 열분해하여 사용할 수 있다.
또한, 반응가스로는 NH3 나 N2 가스를 사용할 수 있다.
이와 같이 형성된 TaN 박막(13) 내부에는 Ta 전구체에 포함된 각종 잔재물로 인해 불순물이 다량으로 함유되어 있어 높은 비저항을 가지므로 이를 제거하여야 한다.
따라서, 도 1c에 도시된 바와 같이, 챔버 내부로 H2, H2+N2 또는 NH 3 등의 수소(H) 함유 가스와 Ar 가스를 주입하여 100 내지 400W의 플라즈마 전력에서 3 내지 35초 동안 TaN 박막(13)을 플라즈마 처리한다. 그러면, 막 내부의 불순물과 H가 서로 반응하고 반응된 화합물이 외부로 방출되어 불순물이 제거되므로 TaN 박막(13a)이 비교적 낮은 비저항을 가지게 된다.
그 다음, 도 1d에 도시된 바와 같이, 챔버 내부로 실리콘(Si) 소오스 가스를 주입하여 TaN 박막(13a)과 Si를 반응시켜 TaSiN 박막(14a)을 형성한다.
이때, 실리콘 소오스 가스로는 SiH4 가스를 사용할 수 있다.
그 후, 상술한 도 1b 내지 도 1d와 같은 TaSiN 박막(14a) 형성공정을 원하는 두께가 될 때까지 적어도 1회 이상 반복 수행하여 다층의 TaSiN 박막(14a)으로 이루어진 확산방지막을 형성한다.
즉, 도 1e에 도시된 바와 같이, TaSiN 박막(14a) 형성공정을 2회 반복 수행하여 TaSiN 박막(14a, 14b, 14c)이 3층으로 적층된 구조로 확산방지막(100)을 형성할 수도 있고, 수 회에서 수 십회 또는 수 백회까지 반복 수행하여 그 이상의 적층 구조로 형성할 수도 있다.
상술한 바와 같이, 본 발명에서는 TaN 박막을 증착하고, 수소(H)를 포함하는 가스로 TaN 박막을 플라즈마 처리하여 막 내부의 불순물을 제거한 후, 실리콘(Si)을 포함하는 가스와 TaN 박막을 반응시켜 TaSiN 박막을 형성하므로 TaSiN 박막의 비저항을 낮출 수 있다.
또한, 본 발명에서는 ALD에 의해 비교적 낮은 온도에서 TaSiN 박막 형성공정을 수행하고, 그 공정을 수 회에서 수 십회 혹은 수 백회까지 반복하여 원하는 두께로 형성하기 때문에, 우수한 표면 상태를 확보할 수 있을 뿐만 아니라 종횡비가 큰 콘택홀에 대해 우수한 스텝 커버리지 특성을 확보할 수 있다.
또한, 기존의 ALD 장비와 Ta 전구체를 그대로 사용하기 때문에 별도의 신규 개발비용이 요구되지 않는다.
이상에서 설명한 본 발명은 전술한 실시예 및 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.

Claims (16)

  1. 반도체 기판;
    상기 기판 상에 형성되고 상기 기판의 일부를 노출시키는 콘택홀이 구비된 층간절연막; 및
    상기 콘택홀을 포함하는 층간절연막 상에 형성되고 적어도 2층의 다층 TaSiN 박막으로 이루어진 확산방지막을 포함하며,
    상기 각층의 TaSiN 박막은 TaN 박막의 불순물을 제거하고, 상기 TaN 박막과 실리콘 소오스 가스의 반응에 의해 형성된 것으로 이루어진 반도체 소자.
  2. 제 1 항에 있어서,
    상기 확산방지막은 원자층증착(ALD)에 의해 형성된 것을 특징으로 하는 반도체 소자.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 불순물이 제거된 TaN 박막은 수소 함유 가스와 Ar 가스의 혼합가스에 의해 TaN 박막이 플라즈마 처리된 것을 특징으로 하는 반도체 소자.
  4. 제 3 항에 있어서,
    상기 TaN 박막은 2 내지 100Å의 두께를 가지는 것을 특징으로 하는 반도체 소자.
  5. 제 3 항에 있어서,
    상기 수소 함유 가스는 H2, H2+N2 및 NH3 중 선택되는 하나인 것을 특징으로 하는 반도체 소자.
  6. 제 1 항에 있어서,
    상기 실리콘 소오스 가스는 SiH4 가스 것을 특징으로 하는 반도체 소자.
  7. 반도체 기판 상에 기판의 일부를 노출시키는 콘택홀이 구비된 층간절연막을 형성하는 제 1 단계;
    상기 콘택홀을 포함하는 층간절연막 상에 Ta 전구체와 질소를 함유한 반응가스를 이용하여 TaN 박막을 증착하는 제 2 단계;
    상기 TaN 박막 내부의 불순물을 제거하는 제 3 단계;
    상기 불순물이 제거된 TaN 박막을 실리콘 소오스 가스와 반응시켜 TaSiN 박막을 형성하는 제 4 단계; 및
    상기 제 2 내지 상기 제 4 단계를 적어도 1회 반복 수행하여 다층의 TaSiN 박막으로 이루어진 확산방지막을 형성하는 제 5 단계를 포함하는 반도체 소자의 제조방법.
  8. 제 7 항에 있어서,
    상기 확산방지막은 원자층증착에 의해 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.
  9. 제 7 항 또는 제 8 항에 있어서,
    상기 Ta 전구체로는 터트부틸이미도(트리스디에틸아마이드)탄탈륨(tertbutylimido (trisdiethylamide) tantalum : TBTDET), 펜타키스(디에틸아마이드)탄탈륨(pentakis (diethylamide) tantalum : PDEAT), 펜타키스(디메틸아마이드) 탄탈륨(pentakis (dimethylamide) tantalum : PDMAT), 및 펜타키스(에틸메틸아미노)탄탈륨(pentakis (ethylmethylamino) tantalum : PEMAT) 중 선택되는 하나를 열분해하여 사용하는 것을 특징으로 하는 반도체 소자의 제조방법.
  10. 제 9 항에 있어서,
    상기 질소를 함유한 반응 가스로는 NH3 또는 N2 가스를 사용하는 것을 특징으로 하는 반도체 소자의 제조방법.
  11. 제 7 항 또는 제 8 항에 있어서,
    상기 제 2 단계에서, 상기 기판의 온도는 170 내지 500℃로 조절하는 것을 특징으로 하는 반도체 소자의 제조방법.
  12. 제 7 항 또는 제 8 항에 있어서,
    상기 TaN 박막은 2 내지 100Å 두께로 증착하는 것을 특징으로 하는 반도체 소자의 제조방법.
  13. 제 7 항에 있어서,
    상기 제 3 단계는 수소 함유 가스를 이용한 플라즈마 처리로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.
  14. 제 13 항에 있어서,
    상기 수소 함유 가스로는 H2, H2+N2 및 NH3 중 선택되는 하나를 사용하는 것을 특징으로 하는 반도체 소자의 제조방법.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 플라즈마 처리는 100 내지 400W의 플라즈마 전력에서 3 내지 35초 동안 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.
  16. 제 7 항에 있어서,
    상기 실리콘 소오스 가스로는 SiH4 가스를 사용하는 것을 특징으로 하는 반도체 소자의 제조방법.
KR1020040053388A 2004-07-09 2004-07-09 반도체 소자 및 그 제조방법 KR100602087B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040053388A KR100602087B1 (ko) 2004-07-09 2004-07-09 반도체 소자 및 그 제조방법
US11/177,921 US7407881B2 (en) 2004-07-09 2005-07-08 Semiconductor device and method for manufacturing the same
US12/166,267 US20080277790A1 (en) 2004-07-09 2008-07-01 Semiconductor Device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040053388A KR100602087B1 (ko) 2004-07-09 2004-07-09 반도체 소자 및 그 제조방법

Publications (2)

Publication Number Publication Date
KR20060004311A KR20060004311A (ko) 2006-01-12
KR100602087B1 true KR100602087B1 (ko) 2006-07-14

Family

ID=35540456

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040053388A KR100602087B1 (ko) 2004-07-09 2004-07-09 반도체 소자 및 그 제조방법

Country Status (2)

Country Link
US (2) US7407881B2 (ko)
KR (1) KR100602087B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101044007B1 (ko) * 2008-08-29 2011-06-24 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
KR100639458B1 (ko) * 2004-12-30 2006-10-26 동부일렉트로닉스 주식회사 TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
KR100845052B1 (ko) * 2006-06-07 2008-07-09 주식회사 하이닉스반도체 반도체 소자 및 그의 제조방법
KR20080114056A (ko) * 2007-06-26 2008-12-31 주식회사 하이닉스반도체 반도체 소자의 배선 및 그의 형성방법
WO2010067778A1 (ja) * 2008-12-09 2010-06-17 株式会社アルバック 窒化タンタル膜の形成方法及びその成膜装置
KR101161667B1 (ko) * 2008-12-30 2012-07-03 에스케이하이닉스 주식회사 반도체 소자의 금속배선 및 그 형성방법
CN102623435B (zh) * 2011-01-31 2015-02-18 北京泰龙电子技术有限公司 一种阻挡层及其制备方法
CN102623434B (zh) * 2011-01-31 2015-02-18 北京泰龙电子技术有限公司 一种扩散阻挡层及其制备方法
JP5824330B2 (ja) * 2011-11-07 2015-11-25 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
US8962473B2 (en) * 2013-03-15 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
CN103400934B (zh) * 2013-07-24 2016-08-24 上海华虹宏力半导体制造有限公司 3d磁传感器的形成方法
TWI575660B (zh) * 2015-06-11 2017-03-21 旺宏電子股份有限公司 電路與形成其之方法
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US20180331118A1 (en) * 2017-05-12 2018-11-15 Sandisk Technologies Llc Multi-layer barrier for cmos under array type memory device and method of making thereof
US10672652B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient atomic layer deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030002034A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 구리배선의 삼원계 확산방지막의 형성 방법
KR20030003331A (ko) * 2001-06-30 2003-01-10 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
CA1306072C (en) 1987-03-30 1992-08-04 John E. Cronin Refractory metal - titanium nitride conductive structures and processes for forming the same
US5008216A (en) 1988-10-03 1991-04-16 International Business Machines Corporation Process for improved contact stud structure for semiconductor devices
US5008730A (en) 1988-10-03 1991-04-16 International Business Machines Corporation Contact stud structure for semiconductor devices
US5221853A (en) 1989-01-06 1993-06-22 International Business Machines Corporation MOSFET with a refractory metal film, a silicide film and a nitride film formed on and in contact with a source, drain and gate region
CA2061119C (en) 1991-04-19 1998-02-03 Pei-Ing P. Lee Method of depositing conductors in high aspect ratio apertures
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP2000022097A (ja) 1998-06-30 2000-01-21 Fujitsu Ltd 半導体記憶装置
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6204204B1 (en) * 1999-04-01 2001-03-20 Cvc Products, Inc. Method and apparatus for depositing tantalum-based thin films with organmetallic precursor
US6743473B1 (en) * 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6491978B1 (en) * 2000-07-10 2002-12-10 Applied Materials, Inc. Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors
KR100631937B1 (ko) 2000-08-25 2006-10-04 주식회사 하이닉스반도체 텅스텐 게이트 형성방법
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6869876B2 (en) 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030002034A (ko) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 구리배선의 삼원계 확산방지막의 형성 방법
KR20030003331A (ko) * 2001-06-30 2003-01-10 주식회사 하이닉스반도체 반도체 소자의 구리 배선 형성 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101044007B1 (ko) * 2008-08-29 2011-06-24 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US8080472B2 (en) 2008-08-29 2011-12-20 Hynix Semiconductor Inc. Metal line having a MoxSiy/Mo diffusion barrier of semiconductor device and method for forming the same

Also Published As

Publication number Publication date
US7407881B2 (en) 2008-08-05
US20080277790A1 (en) 2008-11-13
US20060006542A1 (en) 2006-01-12
KR20060004311A (ko) 2006-01-12

Similar Documents

Publication Publication Date Title
KR100602087B1 (ko) 반도체 소자 및 그 제조방법
US7521379B2 (en) Deposition and densification process for titanium nitride barrier layers
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
KR102189781B1 (ko) 망간 및 망간 니트라이드들의 증착 방법들
US7928006B2 (en) Structure for a semiconductor device and a method of manufacturing the same
US8058728B2 (en) Diffusion barrier and adhesion layer for an interconnect structure
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US20130140698A1 (en) Doped Tantalum Nitride for Copper Barrier Applications
WO2001029891A1 (en) Conformal lining layers for damascene metallization
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
JP4804725B2 (ja) 半導体装置の導電性構造体の形成方法
US7645699B2 (en) Method of forming a diffusion barrier layer using a TaSiN layer and method of forming a metal interconnection line using the same
KR20040053460A (ko) 반도체 소자의 제조 방법
US7186646B2 (en) Semiconductor devices and methods of forming a barrier metal in semiconductor devices
US7041582B2 (en) Method of manufacturing semiconductor device
KR100431325B1 (ko) 적층된 에스아이엔을 이용한 구리확산방지막 형성방법
KR100503965B1 (ko) 반도체 소자의 확산 방지막 형성 방법
KR100640956B1 (ko) 확산 방지막의 형성방법
JPH10189491A (ja) 欠陥密度の低いTi−Si−N及びTi−B−Nベースの絶縁保護性障壁膜の製法
KR100571387B1 (ko) 반도체 소자의 구리 배선 제조 방법
JPH1074709A (ja) 半導体装置とその製造方法
TW202312300A (zh) 形成用於互連結構的金屬襯墊之方法
KR20080033558A (ko) 도전막 형성 방법 및 이를 이용한 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110620

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee