JP5207690B2 - 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 - Google Patents
集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 Download PDFInfo
- Publication number
- JP5207690B2 JP5207690B2 JP2007235613A JP2007235613A JP5207690B2 JP 5207690 B2 JP5207690 B2 JP 5207690B2 JP 2007235613 A JP2007235613 A JP 2007235613A JP 2007235613 A JP2007235613 A JP 2007235613A JP 5207690 B2 JP5207690 B2 JP 5207690B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- deposition
- insulating
- opening
- selectively
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 230000004888 barrier function Effects 0.000 title claims description 86
- 238000000151 deposition Methods 0.000 title claims description 73
- 238000001465 metallisation Methods 0.000 title description 8
- 229910052751 metal Inorganic materials 0.000 claims description 97
- 239000002184 metal Substances 0.000 claims description 97
- 238000000034 method Methods 0.000 claims description 95
- 230000008021 deposition Effects 0.000 claims description 52
- 239000000463 material Substances 0.000 claims description 47
- 230000008569 process Effects 0.000 claims description 39
- 239000003446 ligand Substances 0.000 claims description 37
- 239000000126 substance Substances 0.000 claims description 33
- 239000000758 substrate Substances 0.000 claims description 33
- 239000000376 reactant Substances 0.000 claims description 31
- 238000000231 atomic layer deposition Methods 0.000 claims description 27
- 230000003750 conditioning effect Effects 0.000 claims description 26
- 230000001143 conditioned effect Effects 0.000 claims description 17
- 150000004767 nitrides Chemical class 0.000 claims description 17
- 239000011810 insulating material Substances 0.000 claims description 14
- 238000005530 etching Methods 0.000 claims description 13
- 230000000903 blocking effect Effects 0.000 claims description 12
- 239000012530 fluid Substances 0.000 claims description 12
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 11
- 230000008859 change Effects 0.000 claims description 9
- 239000000460 chlorine Substances 0.000 claims description 7
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 7
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 claims description 5
- 229910052794 bromium Inorganic materials 0.000 claims description 5
- 229910052801 chlorine Inorganic materials 0.000 claims description 5
- 239000011737 fluorine Substances 0.000 claims description 5
- 125000001153 fluoro group Chemical group F* 0.000 claims description 5
- 229910052740 iodine Inorganic materials 0.000 claims description 5
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 claims description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 claims description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 claims description 4
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 claims description 4
- 239000012212 insulator Substances 0.000 claims description 4
- 239000011630 iodine Substances 0.000 claims description 4
- 238000007254 oxidation reaction Methods 0.000 claims description 4
- 238000001179 sorption measurement Methods 0.000 claims description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 3
- 125000003545 alkoxy group Chemical group 0.000 claims description 3
- 229910052799 carbon Inorganic materials 0.000 claims description 3
- 125000004093 cyano group Chemical group *C#N 0.000 claims description 3
- 230000003647 oxidation Effects 0.000 claims description 3
- 125000001246 bromo group Chemical group Br* 0.000 claims description 2
- 125000001309 chloro group Chemical group Cl* 0.000 claims description 2
- 125000002346 iodo group Chemical group I* 0.000 claims description 2
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 2
- 238000000576 coating method Methods 0.000 claims 14
- 239000011248 coating agent Substances 0.000 claims 13
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 155
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 47
- 239000007789 gas Substances 0.000 description 41
- 239000002356 single layer Substances 0.000 description 30
- 238000006243 chemical reaction Methods 0.000 description 26
- 229910052757 nitrogen Inorganic materials 0.000 description 23
- 239000010949 copper Substances 0.000 description 21
- 239000004020 conductor Substances 0.000 description 20
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 18
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 17
- 229910052802 copper Inorganic materials 0.000 description 17
- 230000009977 dual effect Effects 0.000 description 15
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 14
- 241000894007 species Species 0.000 description 14
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 13
- 239000003870 refractory metal Substances 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 235000012239 silicon dioxide Nutrition 0.000 description 9
- 239000000377 silicon dioxide Substances 0.000 description 9
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 8
- 125000004429 atom Chemical group 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 229910021529 ammonia Inorganic materials 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 238000010926 purge Methods 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 238000012545 processing Methods 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 125000003636 chemical group Chemical group 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 4
- 238000010438 heat treatment Methods 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- -1 bromo, iodo, chloro, methyl Chemical group 0.000 description 3
- 239000003054 catalyst Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000013508 migration Methods 0.000 description 3
- 230000005012 migration Effects 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000006722 reduction reaction Methods 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910016052 MoxN Inorganic materials 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- ORTQZVOHEJQUHG-UHFFFAOYSA-L copper(II) chloride Chemical compound Cl[Cu]Cl ORTQZVOHEJQUHG-UHFFFAOYSA-L 0.000 description 2
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 229910052736 halogen Inorganic materials 0.000 description 2
- 150000002367 halogens Chemical class 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 239000011148 porous material Substances 0.000 description 2
- 230000002829 reductive effect Effects 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- HSXKFDGTKKAEHL-UHFFFAOYSA-N tantalum(v) ethoxide Chemical compound [Ta+5].CC[O-].CC[O-].CC[O-].CC[O-].CC[O-] HSXKFDGTKKAEHL-UHFFFAOYSA-N 0.000 description 2
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 2
- 238000011282 treatment Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- JPVYNHNXODAKFH-UHFFFAOYSA-N Cu2+ Chemical compound [Cu+2] JPVYNHNXODAKFH-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910010062 TiCl3 Inorganic materials 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000002156 adsorbate Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 150000001805 chlorine compounds Chemical class 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 239000011231 conductive filler Substances 0.000 description 1
- 229910001431 copper ion Inorganic materials 0.000 description 1
- OXBLHERUFWYNTN-UHFFFAOYSA-M copper(I) chloride Chemical compound [Cu]Cl OXBLHERUFWYNTN-UHFFFAOYSA-M 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- ALKZAGKDWUSJED-UHFFFAOYSA-N dinuclear copper ion Chemical compound [Cu].[Cu] ALKZAGKDWUSJED-UHFFFAOYSA-N 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- GCSJLQSCSDMKTP-UHFFFAOYSA-N ethenyl(trimethyl)silane Chemical compound C[Si](C)(C)C=C GCSJLQSCSDMKTP-UHFFFAOYSA-N 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 230000009931 harmful effect Effects 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 229910001510 metal chloride Inorganic materials 0.000 description 1
- 229910001507 metal halide Inorganic materials 0.000 description 1
- 150000005309 metal halides Chemical class 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- QGLKJKCYBOYXKC-UHFFFAOYSA-N nonaoxidotritungsten Chemical compound O=[W]1(=O)O[W](=O)(=O)O[W](=O)(=O)O1 QGLKJKCYBOYXKC-UHFFFAOYSA-N 0.000 description 1
- VIKNJXKGJWUCNN-XGXHKTLJSA-N norethisterone Chemical compound O=C1CC[C@@H]2[C@H]3CC[C@](C)([C@](CC4)(O)C#C)[C@@H]4[C@@H]3CCC2=C1 VIKNJXKGJWUCNN-XGXHKTLJSA-N 0.000 description 1
- 239000013110 organic ligand Substances 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- 239000010948 rhodium Substances 0.000 description 1
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229920006395 saturated elastomer Polymers 0.000 description 1
- RMAQACBXLXPBSY-UHFFFAOYSA-N silicic acid Chemical compound O[Si](O)(O)O RMAQACBXLXPBSY-UHFFFAOYSA-N 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000006557 surface reaction Methods 0.000 description 1
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 description 1
- YONPGGFAJWQGJC-UHFFFAOYSA-K titanium(iii) chloride Chemical compound Cl[Ti](Cl)Cl YONPGGFAJWQGJC-UHFFFAOYSA-K 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 229910001930 tungsten oxide Inorganic materials 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/06—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
- C23C16/08—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/10—Inorganic compounds or compositions
- C30B29/36—Carbides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76876—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53242—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53242—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
- H01L23/53252—Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Description
(例えば、成長ブロック層または犠牲層の残りなどの)変化させた層は、どれも、バリア形成後の(例えば、銅充填などの)さらなる堆積の前に除去することが好ましい。材料により異なるが、変化したものの除去には、真空下または高圧下で基板を加熱すること、またはクリーニングステップ、化学還元、選択的エッチング、またはきちんと時間を決めたウェットエッチングなどの化学処理が含まれ得る。すでに形成された及び次のステップにおいて形成される導電材料18、20の特性により異なるものの、変化させた層は、必ずしも特定のプロセスステップにおいて除去する必要はないが、さらなるプロセス中に除去する場合が時にあり得ることに、留意されたい。例えば、以下の説明から理解されるだろうが、開口部22を導電材料18で充填またはライニングする間に、表面の変化は、自然に除去され得る。
上の表1は、デュアルダマシン構造のトレンチ及びコンタクトビア内への窒化チタン(TiN)バリアについてのALD用パラメータを示している。示したように、金属ソースガスには、四塩化チタン(TiCl4)が含まれ、キャリアガスには、窒素(N2)が含まれ、窒素ソースガスには、好ましくは、アンモニア(NH3)が含まれる。
上の表2は、ALD純金属プロセスを示している。相を交互に繰り返すと、塩化銅が、まず吸着し、次にTEBにより還元される。塩化銅は、有機銅種と比較して小さい反応種であることから、ワークピース上における反応部位の迅速かつより完全な飽和が容易になることが、有利である。
Claims (25)
- 原子層成長プロセスを利用してバリア層を選択的に堆積する方法であって、該方法は、
第1の絶縁性の表面及び第2の導電性の表面を備える堆積基板を準備すること、及び、
前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことを含む原子層成長プロセスによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることを含み、
前記第2の表面を酸化反応させることによって、前記第2の表面は、選択的にコーティングする前に、前記原子層成長プロセスによる堆積をブロックするよう変化させられ、
前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成され、前記少なくとも2つの反応物流体は、前記変化させた第2の表面に対して前記第1の表面に前記バリア層が選択的に堆積されるように選択されることを特徴とする方法。 - 原子層成長プロセスを利用してバリア層を選択的に堆積する方法であって、該方法は、
第1の絶縁性の表面及び第2の導電性の表面を備える堆積基板を準備すること、及び、
前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることを含み、
前記第2の表面を化学反応させる、又は前記第2の表面上に物質を吸着させることによって、前記第2の表面は、選択的にコーティングする前に、前記原子層成長プロセスによる堆積をブロックするよう変化させられ、
前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成され、
前記第2の表面を変化させることは、前記第2の表面上にブロック層を形成することを含み、
前記ブロック層は、SiXnの化学式を有し、Xはフッ素(F)、塩素(Cl)、臭素(Br)、及びヨウ素(I)からなる群から選ばれ、nは1,2及び3からなる群から選ばれる整数である成長ブロック配位子を含むことを特徴とする方法。 - 原子層成長プロセスを利用してバリア層を選択的に堆積する方法であって、該方法は、
第1の絶縁性の表面及び第2の導電性の表面を備える堆積基板を準備することと、
前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることと、
前記反応物流体と反応させるために少なくとも前記第1の表面をコンディショニングすることと、を含み、
前記第2の表面を化学反応させる、又は前記第2の表面上に物質を吸着させることによって、前記第2の表面は、選択的にコーティングする前に、前記原子層成長プロセスによる堆積をブロックするよう変化させられ、
前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成されており、
コンディショニングは前記第1の表面上にヒドロキシル(水分及びアルコール
)、シアノ(HCN)、NH 2 (NH 3 及びN 2 H 4 )、NH(NH 3 )、フルオロ(フッ素)、ブロモ(臭素)、ヨード(ヨウ素)、クロロ(塩素)、メチル(有機物)、アルコキソ(アルコール)、β−ジケトネート(β−ジケトネート)、イソプロポキソ(イソプロキシド)、及び他の炭素含有機のいずれかからなる化学配位子を形成することであることを特徴とする方法。 - 原子層成長プロセスを利用してバリア層を選択的に堆積する方法であって、該方法は、
第1の絶縁性の表面及び第2の導電性の表面を備える堆積基板を準備すること、及び、
前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることを含み、
前記第2の表面を化学反応させる、又は前記第2の表面上に物質を吸着させることによって、前記第2の表面は、選択的にコーティングする前に、前記原子層成長プロセスによる堆積をブロックするよう変化させられ、
前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成され、
前記変化させることは、前記第2の表面上に成長ブロック層を形成することを含み、
前記成長ブロック層は、前記第1の表面をコーティングした後、且つさらなる堆積の前に選択的に除去されることを特徴とする方法。 - 原子層成長プロセスを利用してバリア層を選択的に堆積する方法であって、該方法は、
第1の絶縁性の表面及び第2の導電性の表面を備える堆積基板を準備すること、及び、
前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることを含み、
前記第2の表面を化学反応させる、又は前記第2の表面上に物質を吸着させることによって、前記第2の表面は、選択的にコーティングする前に、前記原子層成長プロセスによる堆積をブロックするよう変化させられ、
前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成され、
前記変化させることは、前記第2の表面上に犠牲層を形成することを含み、
前記犠牲層は、前記少なくとも2つの反応物流体にさらすことによるエッチングを受けやすい材料を含んでいることを特徴とする方法。 - 選択的コーティングは、前記絶縁材料上にバリア材料を堆積させることを含む請求項1〜5のいずれかに記載の方法。
- 前記バリア材料は、導電性であり、約300μΩ・cm未満の抵抗率を有している請求項6に記載の方法。
- 前記バリア材料は、窒化金属を含む請求項6に記載の方法。
- 前記バリア材料は、窒化チタンを含む請求項8に記載の方法。
- 前記バリア材料は、絶縁体である請求項6に記載の方法。
- 前記第2の表面を変化させることは、原子層成長によって成長をブロックするブロック物質の吸着を含む、請求項1に記載の方法。
- 選択的に層をコーティングした後、前記第2の表面から前記ブロック物質を除去することをさらに含む請求項11に記載の方法。
- 前記第2の表面を変化させることは、前記第2の表面上にブロック層を形成することを含む、請求項1に記載の方法。
- 前記ブロック層は、SiXnの化学式を有し、Xはフッ素(F)、塩素(Cl)、臭素(Br)、及びヨウ素(I)からなる群から選ばれ、nは1,2及び3からなる群から選ばれる整数である成長ブロック配位子を含む、請求項13に記載の方法。
- 前記反応物流体と反応させるために少なくとも前記第1の表面をコンディショニングすることをさらに含む請求項1に記載の方法。
- コンディショニングが、前記第1の表面及び前記第2の表面上に配位子を形成し、次に、前記第2の表面上の前記配位子を成長ブロック層へ変換することを含む請求項3または15に記載の方法。
- 前記第1の表面は、前記第2の表面を変化させる前にコンディショニングされる、請求項3または15に記載の方法。
- 前記第1の表面は、前記第2の表面を変化させた後で、且つ選択的に層をコーティングする前にコンディショニングされる、請求項3または15に記載の方法。
- 前記変化させることは、前記第2の表面上に成長ブロック層を形成することを含む、請求項1に記載の方法。
- 前記成長ブロック層は、前記第1の表面をコーティングした後、且つさらなる堆積の前に選択的に除去される、請求項19に記載の方法。
- 前記変化させることは、前記第2の表面上に犠牲層を形成することを含む請求項1に記載の方法。
- 前記犠牲層は、前記少なくとも2つの反応物流体にさらすことによるエッチングを受けやすい材料を含んでいる請求項21に記載の方法。
- 前記変化させることは、物理的変化を含む請求項1に記載の方法。
- 前記変化させることは、化学的変化を含む請求項1に記載の方法。
- 前記変化させることは、酸化を含む請求項24に記載の方法。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15048699P | 1999-08-24 | 1999-08-24 | |
US60/150,486 | 1999-08-24 | ||
US15979999P | 1999-10-15 | 1999-10-15 | |
US60/159,799 | 1999-10-15 | ||
US17694400P | 2000-01-18 | 2000-01-18 | |
US60/176,944 | 2000-01-18 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001519484A Division JP4043785B2 (ja) | 1999-08-24 | 2000-08-24 | 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008078647A JP2008078647A (ja) | 2008-04-03 |
JP5207690B2 true JP5207690B2 (ja) | 2013-06-12 |
Family
ID=27386981
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001519484A Expired - Lifetime JP4043785B2 (ja) | 1999-08-24 | 2000-08-24 | 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 |
JP2007235613A Expired - Fee Related JP5207690B2 (ja) | 1999-08-24 | 2007-09-11 | 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2001519484A Expired - Lifetime JP4043785B2 (ja) | 1999-08-24 | 2000-08-24 | 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 |
Country Status (7)
Country | Link |
---|---|
US (3) | US6391785B1 (ja) |
EP (1) | EP1206799A1 (ja) |
JP (2) | JP4043785B2 (ja) |
KR (1) | KR100737305B1 (ja) |
AU (1) | AU6800300A (ja) |
TW (1) | TW478045B (ja) |
WO (1) | WO2001015220A1 (ja) |
Families Citing this family (404)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (fi) * | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US10047430B2 (en) | 1999-10-08 | 2018-08-14 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6727169B1 (en) * | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6492283B2 (en) * | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
US7419903B2 (en) * | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
KR100351056B1 (ko) * | 2000-06-27 | 2002-09-05 | 삼성전자 주식회사 | 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법 |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US6498091B1 (en) * | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
US6355561B1 (en) * | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6613695B2 (en) * | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
KR100385947B1 (ko) * | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6765178B2 (en) | 2000-12-29 | 2004-07-20 | Applied Materials, Inc. | Chamber for uniform substrate heating |
US6825447B2 (en) | 2000-12-29 | 2004-11-30 | Applied Materials, Inc. | Apparatus and method for uniform substrate heating and contaminate collection |
US20020086111A1 (en) * | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US20020089063A1 (en) * | 2001-01-08 | 2002-07-11 | Ahn Kie Y. | Copper dual damascene interconnect technology |
US6555909B1 (en) * | 2001-01-11 | 2003-04-29 | Advanced Micro Devices, Inc. | Seedless barrier layers in integrated circuits and a method of manufacture therefor |
US6811814B2 (en) | 2001-01-16 | 2004-11-02 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6534863B2 (en) * | 2001-02-09 | 2003-03-18 | International Business Machines Corporation | Common ball-limiting metallurgy for I/O sites |
EP1421607A2 (en) | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US6613656B2 (en) * | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US9139906B2 (en) * | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US7491634B2 (en) | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
US7563715B2 (en) | 2005-12-05 | 2009-07-21 | Asm International N.V. | Method of producing thin films |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US7781327B1 (en) | 2001-03-13 | 2010-08-24 | Novellus Systems, Inc. | Resputtering process for eliminating dielectric damage |
US6764940B1 (en) | 2001-03-13 | 2004-07-20 | Novellus Systems, Inc. | Method for depositing a diffusion barrier for copper interconnect applications |
US8043484B1 (en) | 2001-03-13 | 2011-10-25 | Novellus Systems, Inc. | Methods and apparatus for resputtering process that improves barrier coverage |
US7186648B1 (en) | 2001-03-13 | 2007-03-06 | Novellus Systems, Inc. | Barrier first method for single damascene trench applications |
US7015138B2 (en) * | 2001-03-27 | 2006-03-21 | Sharp Laboratories Of America, Inc. | Multi-layered barrier metal thin films for Cu interconnect by ALCVD |
US6869515B2 (en) * | 2001-03-30 | 2005-03-22 | Uri Cohen | Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings |
US6596643B2 (en) * | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US9076843B2 (en) | 2001-05-22 | 2015-07-07 | Novellus Systems, Inc. | Method for producing ultra-thin tungsten layers with improved step coverage |
US7037574B2 (en) * | 2001-05-23 | 2006-05-02 | Veeco Instruments, Inc. | Atomic layer deposition for fabricating thin films |
US6528884B1 (en) * | 2001-06-01 | 2003-03-04 | Advanced Micro Devices, Inc. | Conformal atomic liner layer in an integrated circuit interconnect |
KR100421219B1 (ko) * | 2001-06-14 | 2004-03-02 | 삼성전자주식회사 | β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법 |
US6686278B2 (en) * | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US6849545B2 (en) * | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US6509267B1 (en) * | 2001-06-20 | 2003-01-21 | Advanced Micro Devices, Inc. | Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer |
DE10130936B4 (de) * | 2001-06-27 | 2004-04-29 | Infineon Technologies Ag | Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
JP4585719B2 (ja) * | 2001-08-24 | 2010-11-24 | 株式会社アルバック | エッチング方法 |
US6718126B2 (en) | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
EP1433202A2 (en) * | 2001-09-26 | 2004-06-30 | Applied Materials Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US6589887B1 (en) * | 2001-10-11 | 2003-07-08 | Novellus Systems, Inc. | Forming metal-derived layers by simultaneous deposition and evaporation of metal |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6821891B2 (en) * | 2001-11-16 | 2004-11-23 | Applied Materials, Inc. | Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors |
US6773507B2 (en) * | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
KR20030050672A (ko) * | 2001-12-19 | 2003-06-25 | 주식회사 하이닉스반도체 | 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법 |
JP3941099B2 (ja) * | 2001-12-19 | 2007-07-04 | ソニー株式会社 | 薄膜形成方法 |
US6809026B2 (en) | 2001-12-21 | 2004-10-26 | Applied Materials, Inc. | Selective deposition of a barrier layer on a metal film |
US6939801B2 (en) * | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6827978B2 (en) * | 2002-02-11 | 2004-12-07 | Applied Materials, Inc. | Deposition of tungsten films |
US6833161B2 (en) * | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US6825134B2 (en) * | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
US6720027B2 (en) * | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US20030194825A1 (en) * | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US7279432B2 (en) * | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030235961A1 (en) * | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6620724B1 (en) * | 2002-05-09 | 2003-09-16 | Infineon Technologies Ag | Low resistivity deep trench fill for DRAM and EDRAM applications |
US20030215570A1 (en) * | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US20040009665A1 (en) * | 2002-06-04 | 2004-01-15 | Applied Materials, Inc. | Deposition of copper films |
US6657304B1 (en) * | 2002-06-06 | 2003-12-02 | Advanced Micro Devices, Inc. | Conformal barrier liner in an integrated circuit interconnect |
US20030232501A1 (en) * | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US6858547B2 (en) * | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
KR100820780B1 (ko) * | 2002-06-29 | 2008-04-10 | 주식회사 하이닉스반도체 | 반도체소자의 구리 배선 제조 방법 |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040009336A1 (en) * | 2002-07-11 | 2004-01-15 | Applied Materials, Inc. | Titanium silicon nitride (TISIN) barrier layer for copper diffusion |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US6955211B2 (en) | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7186385B2 (en) * | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US7066194B2 (en) * | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US7150789B2 (en) * | 2002-07-29 | 2006-12-19 | Micron Technology, Inc. | Atomic layer deposition methods |
US7504006B2 (en) * | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
US7186630B2 (en) * | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US6890596B2 (en) * | 2002-08-15 | 2005-05-10 | Micron Technology, Inc. | Deposition methods |
US6753271B2 (en) * | 2002-08-15 | 2004-06-22 | Micron Technology, Inc. | Atomic layer deposition methods |
JP4159824B2 (ja) | 2002-08-19 | 2008-10-01 | 富士通株式会社 | 半導体装置及びその製造方法 |
US6673701B1 (en) * | 2002-08-27 | 2004-01-06 | Micron Technology, Inc. | Atomic layer deposition methods |
US6995081B2 (en) * | 2002-08-28 | 2006-02-07 | Micron Technology, Inc. | Systems and methods for forming tantalum silicide layers |
US6794284B2 (en) * | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6967159B2 (en) * | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6861355B2 (en) * | 2002-08-29 | 2005-03-01 | Micron Technology, Inc. | Metal plating using seed film |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US6905737B2 (en) * | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
WO2004040642A1 (en) * | 2002-10-29 | 2004-05-13 | Asm America, Inc. | Oxygen bridge structures and methods |
EP1420080A3 (en) | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
KR100466332B1 (ko) * | 2002-12-14 | 2005-01-14 | 동부전자 주식회사 | 반도체 소자의 제조 방법 |
KR100476482B1 (ko) * | 2002-12-14 | 2005-03-21 | 동부전자 주식회사 | 반도체 소자의 장벽 금속층 형성 방법 |
KR100477816B1 (ko) * | 2002-12-30 | 2005-03-22 | 주식회사 하이닉스반도체 | 반도체 소자의 티타늄 실리사이드 콘택 형성 방법 |
US20040126482A1 (en) * | 2002-12-31 | 2004-07-01 | Chih-I Wu | Method and structure for selective surface passivation |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) * | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US6753248B1 (en) | 2003-01-27 | 2004-06-22 | Applied Materials, Inc. | Post metal barrier/adhesion film |
DE10306314B3 (de) * | 2003-02-14 | 2004-10-28 | Infineon Technologies Ag | Verfahren zur Erzeugung leitfähiger Strukturen mit Submikrometerabmessungen mittels elektrochemischer Abscheidung |
FR2851371B1 (fr) * | 2003-02-18 | 2005-06-03 | St Microelectronics Sa | Procede de reparation d'un circuit electronique integre comprenant la realisation d'une isolation electrique |
US7534967B2 (en) * | 2003-02-25 | 2009-05-19 | University Of North Texas | Conductor structures including penetrable materials |
US20040198069A1 (en) * | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
US8298933B2 (en) * | 2003-04-11 | 2012-10-30 | Novellus Systems, Inc. | Conformal films on semiconductor substrates |
US7842605B1 (en) | 2003-04-11 | 2010-11-30 | Novellus Systems, Inc. | Atomic layer profiling of diffusion barrier and metal seed layers |
EP1623454A2 (en) * | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
US7914847B2 (en) * | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
US20040248403A1 (en) * | 2003-06-09 | 2004-12-09 | Dubin Valery M. | Method for forming electroless metal low resistivity interconnects |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US6930060B2 (en) * | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
JP3819381B2 (ja) * | 2003-07-07 | 2006-09-06 | 株式会社半導体理工学研究センター | 多層配線構造の製造方法 |
EP1519421A1 (en) | 2003-09-25 | 2005-03-30 | Interuniversitair Microelektronica Centrum Vzw | Multiple gate semiconductor device and method for forming same |
KR100513801B1 (ko) * | 2003-07-24 | 2005-09-13 | 주식회사 하이닉스반도체 | 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법 |
WO2005017963A2 (en) | 2003-08-04 | 2005-02-24 | Asm America, Inc. | Surface preparation prior to deposition on germanium |
MX361021B (es) * | 2003-08-20 | 2018-11-26 | Hunter Douglas | Persiana retráctil con tablillas plegadizas. |
US7235482B2 (en) * | 2003-09-08 | 2007-06-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology |
US20050067103A1 (en) * | 2003-09-26 | 2005-03-31 | Applied Materials, Inc. | Interferometer endpoint monitoring device |
DE10345461B3 (de) * | 2003-09-30 | 2005-08-11 | Infineon Technologies Ag | Selektive sequentielle Gasphasenabscheidung und Verfahren zur Ausbildung von Kragenstrukturen für Lochgräben in Halbleitersubstraten |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7071118B2 (en) * | 2003-11-12 | 2006-07-04 | Veeco Instruments, Inc. | Method and apparatus for fabricating a conformal thin film on a substrate |
US20050103264A1 (en) * | 2003-11-13 | 2005-05-19 | Frank Jansen | Atomic layer deposition process and apparatus |
US20050109276A1 (en) * | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
KR100577528B1 (ko) * | 2003-12-30 | 2006-05-10 | 매그나칩 반도체 유한회사 | 반도체 소자의 인덕터 제조 방법 |
KR100621765B1 (ko) * | 2004-01-20 | 2006-09-08 | 삼성전자주식회사 | 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치 |
US20060033678A1 (en) * | 2004-01-26 | 2006-02-16 | Applied Materials, Inc. | Integrated electroless deposition system |
JP4537721B2 (ja) * | 2004-02-02 | 2010-09-08 | ルネサスエレクトロニクス株式会社 | 成膜方法 |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100589062B1 (ko) * | 2004-06-10 | 2006-06-12 | 삼성전자주식회사 | 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법 |
US20070218290A1 (en) * | 2004-06-24 | 2007-09-20 | Beneq Oy | Method for Doping Material and Doped Material |
US7241686B2 (en) * | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
DE102004040943B4 (de) * | 2004-08-24 | 2008-07-31 | Qimonda Ag | Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens |
US7166544B2 (en) * | 2004-09-01 | 2007-01-23 | Applied Materials, Inc. | Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors |
US7233071B2 (en) * | 2004-10-04 | 2007-06-19 | International Business Machines Corporation | Low-k dielectric layer based upon carbon nanostructures |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7476618B2 (en) * | 2004-10-26 | 2009-01-13 | Asm Japan K.K. | Selective formation of metal layers in an integrated circuit |
US20060093756A1 (en) * | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
EP1820214A2 (en) | 2004-12-01 | 2007-08-22 | Koninklijke Philips Electronics N.V. | A method of forming an interconnect structure on an integrated circuit die |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7438949B2 (en) * | 2005-01-27 | 2008-10-21 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
US20060162658A1 (en) * | 2005-01-27 | 2006-07-27 | Applied Materials, Inc. | Ruthenium layer deposition apparatus and method |
US20070271751A1 (en) * | 2005-01-27 | 2007-11-29 | Weidman Timothy W | Method of forming a reliable electrochemical capacitor |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7687383B2 (en) * | 2005-02-04 | 2010-03-30 | Asm America, Inc. | Methods of depositing electrically active doped crystalline Si-containing films |
EP1851794A1 (en) * | 2005-02-22 | 2007-11-07 | ASM America, Inc. | Plasma pre-treating surfaces for atomic layer deposition |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US7666773B2 (en) * | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US20060216548A1 (en) * | 2005-03-22 | 2006-09-28 | Ming Mao | Nanolaminate thin films and method for forming the same using atomic layer deposition |
JP4947922B2 (ja) * | 2005-05-23 | 2012-06-06 | 東京エレクトロン株式会社 | 成膜方法およびコンピュータにより読み取り可能な記憶媒体 |
US20060272577A1 (en) * | 2005-06-03 | 2006-12-07 | Ming Mao | Method and apparatus for decreasing deposition time of a thin film |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) * | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20070014919A1 (en) * | 2005-07-15 | 2007-01-18 | Jani Hamalainen | Atomic layer deposition of noble metal oxides |
US7473637B2 (en) * | 2005-07-20 | 2009-01-06 | Micron Technology, Inc. | ALD formed titanium nitride films |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7521356B2 (en) * | 2005-09-01 | 2009-04-21 | Micron Technology, Inc. | Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds |
US20070190362A1 (en) * | 2005-09-08 | 2007-08-16 | Weidman Timothy W | Patterned electroless metallization processes for large area electronics |
US20070082507A1 (en) * | 2005-10-06 | 2007-04-12 | Applied Materials, Inc. | Method and apparatus for the low temperature deposition of doped silicon nitride films |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US20070119371A1 (en) * | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
JP2009521801A (ja) * | 2005-12-22 | 2009-06-04 | エーエスエム アメリカ インコーポレイテッド | ドープされた半導体物質のエピタキシャル堆積 |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
JP2009533830A (ja) * | 2006-04-14 | 2009-09-17 | アプライド マテリアルズ インコーポレイテッド | 信頼性のある燃料電池電極の設計 |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
JP2009538989A (ja) | 2006-05-30 | 2009-11-12 | フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. | パルス化大気圧グロー放電を使用する堆積の方法及び装置 |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
JP5543203B2 (ja) | 2006-06-16 | 2014-07-09 | フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. | 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置 |
US7855147B1 (en) | 2006-06-22 | 2010-12-21 | Novellus Systems, Inc. | Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer |
US7645696B1 (en) | 2006-06-22 | 2010-01-12 | Novellus Systems, Inc. | Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer |
US7501355B2 (en) * | 2006-06-29 | 2009-03-10 | Applied Materials, Inc. | Decreasing the etch rate of silicon nitride by carbon addition |
US20080032064A1 (en) * | 2006-07-10 | 2008-02-07 | President And Fellows Of Harvard College | Selective sealing of porous dielectric materials |
CN103981568A (zh) * | 2006-07-31 | 2014-08-13 | 应用材料公司 | 形成含碳外延硅层的方法 |
US20080026576A1 (en) * | 2006-07-31 | 2008-01-31 | Rohm And Haas Electronic Materials Llc | Organometallic compounds |
CN101496150B (zh) * | 2006-07-31 | 2012-07-18 | 应用材料公司 | 控制外延层形成期间形态的方法 |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
JP2010506408A (ja) * | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US7521379B2 (en) * | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US20080099436A1 (en) * | 2006-10-30 | 2008-05-01 | Michael Grimbergen | Endpoint detection for photomask etching |
US20080176149A1 (en) * | 2006-10-30 | 2008-07-24 | Applied Materials, Inc. | Endpoint detection for photomask etching |
US7775508B2 (en) * | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US7585758B2 (en) * | 2006-11-06 | 2009-09-08 | International Business Machines Corporation | Interconnect layers without electromigration |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
US7510634B1 (en) | 2006-11-10 | 2009-03-31 | Novellus Systems, Inc. | Apparatus and methods for deposition and/or etch selectivity |
KR100790897B1 (ko) * | 2006-11-21 | 2008-01-03 | 삼성전자주식회사 | 반응성 이온을 이용한 원자층 증착 방법 및 그 장치 |
DE102006056626A1 (de) * | 2006-11-30 | 2008-06-05 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD |
US20080145536A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION |
KR100834283B1 (ko) | 2006-12-28 | 2008-05-30 | 동부일렉트로닉스 주식회사 | 금속 배선 형성 방법 |
US20080171436A1 (en) * | 2007-01-11 | 2008-07-17 | Asm Genitech Korea Ltd. | Methods of depositing a ruthenium film |
DE102007004867B4 (de) * | 2007-01-31 | 2009-07-30 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid |
US7682966B1 (en) | 2007-02-01 | 2010-03-23 | Novellus Systems, Inc. | Multistep method of depositing metal seed layers |
WO2008100139A1 (en) | 2007-02-13 | 2008-08-21 | Fujifilm Manufacturing Europe B.V. | Substrate plasma treatment using magnetic mask device |
US9177908B2 (en) * | 2007-04-30 | 2015-11-03 | Taiwan Semiconductor Manufacturing Company, Limited | Stacked semiconductor capacitor structure |
US7922880B1 (en) | 2007-05-24 | 2011-04-12 | Novellus Systems, Inc. | Method and apparatus for increasing local plasma density in magnetically confined plasma |
US7897516B1 (en) | 2007-05-24 | 2011-03-01 | Novellus Systems, Inc. | Use of ultra-high magnetic fields in resputter and plasma etching |
CA2699501A1 (en) * | 2007-09-13 | 2009-03-19 | Velocys Inc. | Porous electrolessly deposited coatings |
US7759199B2 (en) * | 2007-09-19 | 2010-07-20 | Asm America, Inc. | Stressor for engineered strain on channel |
WO2009037531A1 (en) | 2007-09-20 | 2009-03-26 | Freescale Semiconductor, Inc. | Improvements for reducing electromigration effect in an integrated circuit |
US7659197B1 (en) | 2007-09-21 | 2010-02-09 | Novellus Systems, Inc. | Selective resputtering of metal seed layers |
US7585762B2 (en) * | 2007-09-25 | 2009-09-08 | Applied Materials, Inc. | Vapor deposition processes for tantalum carbide nitride materials |
US7678298B2 (en) * | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US7824743B2 (en) * | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
US20090087339A1 (en) * | 2007-09-28 | 2009-04-02 | Asm Japan K.K. | METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR |
KR101544198B1 (ko) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
WO2009067483A1 (en) * | 2007-11-19 | 2009-05-28 | Applied Materials, Inc. | Solar cell contact formation process using a patterned etchant material |
US20090139568A1 (en) * | 2007-11-19 | 2009-06-04 | Applied Materials, Inc. | Crystalline Solar Cell Metallization Methods |
US7655564B2 (en) * | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR20090067505A (ko) * | 2007-12-21 | 2009-06-25 | 에이에스엠지니텍코리아 주식회사 | 루테늄막 증착 방법 |
US7655543B2 (en) * | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP5597551B2 (ja) | 2008-02-01 | 2014-10-01 | フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. | 移動基材のプラズマ表面処理の装置、方法および当該方法の使用 |
EP2241165B1 (en) | 2008-02-08 | 2011-08-31 | Fujifilm Manufacturing Europe B.V. | Method for manufacturing a multi_layer stack structure with improved wvtr barrier property |
US7799674B2 (en) * | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US8545936B2 (en) | 2008-03-28 | 2013-10-01 | Asm International N.V. | Methods for forming carbon nanotubes |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
JP5551681B2 (ja) * | 2008-04-16 | 2014-07-16 | エーエスエム アメリカ インコーポレイテッド | アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積 |
US8017523B1 (en) | 2008-05-16 | 2011-09-13 | Novellus Systems, Inc. | Deposition of doped copper seed layers having improved reliability |
TWI390756B (zh) | 2008-07-16 | 2013-03-21 | Applied Materials Inc | 使用摻質層遮罩之混合異接面太陽能電池製造 |
DE102008035815A1 (de) * | 2008-07-31 | 2010-02-04 | Advanced Micro Devices, Inc., Sunnyvale | Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken |
EP2324509A2 (en) * | 2008-08-27 | 2011-05-25 | Applied Materials, Inc. | Back contact solar cells using printed dielectric barrier |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US8323523B2 (en) * | 2008-12-17 | 2012-12-04 | Lam Research Corporation | High pressure bevel etch process |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US8486191B2 (en) * | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
US8623733B2 (en) * | 2009-04-16 | 2014-01-07 | Novellus Systems, Inc. | Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects |
US20110020546A1 (en) * | 2009-05-15 | 2011-01-27 | Asm International N.V. | Low Temperature ALD of Noble Metals |
JP5774822B2 (ja) * | 2009-05-25 | 2015-09-09 | 株式会社日立国際電気 | 半導体デバイスの製造方法及び基板処理装置 |
US8329569B2 (en) * | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8202803B2 (en) * | 2009-12-11 | 2012-06-19 | Tokyo Electron Limited | Method to remove capping layer of insulation dielectric in interconnect structures |
US8293658B2 (en) * | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
US8709948B2 (en) * | 2010-03-12 | 2014-04-29 | Novellus Systems, Inc. | Tungsten barrier and seed for copper filled TSV |
US8778204B2 (en) | 2010-10-29 | 2014-07-15 | Applied Materials, Inc. | Methods for reducing photoresist interference when monitoring a target layer in a plasma process |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9223203B2 (en) | 2011-07-08 | 2015-12-29 | Asm International N.V. | Microcontact printed films as an activation layer for selective atomic layer deposition |
GB201117242D0 (en) | 2011-10-06 | 2011-11-16 | Fujifilm Mfg Europe Bv | Method and device for manufacturing a barrier layer on a flexible subtrate |
US8961804B2 (en) | 2011-10-25 | 2015-02-24 | Applied Materials, Inc. | Etch rate detection for photomask etching |
US8808559B2 (en) | 2011-11-22 | 2014-08-19 | Applied Materials, Inc. | Etch rate detection for reflective multi-material layers etching |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8900469B2 (en) | 2011-12-19 | 2014-12-02 | Applied Materials, Inc. | Etch rate detection for anti-reflective coating layer and absorber layer etching |
WO2013106225A1 (en) | 2012-01-12 | 2013-07-18 | Applied Materials, Inc. | Methods of manufacturing solar cell devices |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US11437269B2 (en) | 2012-03-27 | 2022-09-06 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US10381266B2 (en) | 2012-03-27 | 2019-08-13 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US9048294B2 (en) * | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
US9076661B2 (en) | 2012-04-13 | 2015-07-07 | Applied Materials, Inc. | Methods for manganese nitride integration |
JP2013235895A (ja) * | 2012-05-07 | 2013-11-21 | Sumitomo Electric Ind Ltd | 半導体装置およびその製造方法 |
JP5809596B2 (ja) | 2012-05-07 | 2015-11-11 | 住友電気工業株式会社 | 半導体装置およびその製造方法 |
US8853080B2 (en) | 2012-09-09 | 2014-10-07 | Novellus Systems, Inc. | Method for depositing tungsten film with low roughness and low resistivity |
US9580828B2 (en) | 2012-09-17 | 2017-02-28 | The United States of America, as represented by the Secretary of Commerce (NIST) | Self-terminating growth of platinum by electrochemical deposition |
US11579344B2 (en) | 2012-09-17 | 2023-02-14 | Government Of The United States Of America, As Represented By The Secretary Of Commerce | Metallic grating |
US9805939B2 (en) | 2012-10-12 | 2017-10-31 | Applied Materials, Inc. | Dual endpoint detection for advanced phase shift and binary photomasks |
US8778574B2 (en) | 2012-11-30 | 2014-07-15 | Applied Materials, Inc. | Method for etching EUV material layers utilized to form a photomask |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US9153486B2 (en) | 2013-04-12 | 2015-10-06 | Lam Research Corporation | CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications |
US9589808B2 (en) | 2013-12-19 | 2017-03-07 | Lam Research Corporation | Method for depositing extremely low resistivity tungsten |
US9502365B2 (en) * | 2013-12-31 | 2016-11-22 | Texas Instruments Incorporated | Opening in a multilayer polymeric dielectric layer without delamination |
TWI686499B (zh) | 2014-02-04 | 2020-03-01 | 荷蘭商Asm Ip控股公司 | 金屬、金屬氧化物與介電質的選擇性沉積 |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
US10047435B2 (en) * | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US9418889B2 (en) * | 2014-06-30 | 2016-08-16 | Lam Research Corporation | Selective formation of dielectric barriers for metal interconnects in semiconductor devices |
US9748137B2 (en) | 2014-08-21 | 2017-08-29 | Lam Research Corporation | Method for void-free cobalt gap fill |
US9349637B2 (en) | 2014-08-21 | 2016-05-24 | Lam Research Corporation | Method for void-free cobalt gap fill |
US20160064275A1 (en) * | 2014-08-27 | 2016-03-03 | Applied Materials, Inc. | Selective Deposition With Alcohol Selective Reduction And Protection |
US9997405B2 (en) | 2014-09-30 | 2018-06-12 | Lam Research Corporation | Feature fill with nucleation inhibition |
US10002936B2 (en) | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
US9583386B2 (en) * | 2014-10-25 | 2017-02-28 | Lam Research Corporation | Interlevel conductor pre-fill utilizing selective barrier deposition |
JP6253214B2 (ja) * | 2015-01-26 | 2017-12-27 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置および記録媒体 |
US9953984B2 (en) | 2015-02-11 | 2018-04-24 | Lam Research Corporation | Tungsten for wordline applications |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10170320B2 (en) | 2015-05-18 | 2019-01-01 | Lam Research Corporation | Feature fill with multi-stage nucleation inhibition |
US9978605B2 (en) | 2015-05-27 | 2018-05-22 | Lam Research Corporation | Method of forming low resistivity fluorine free tungsten film without nucleation |
US9613818B2 (en) | 2015-05-27 | 2017-04-04 | Lam Research Corporation | Deposition of low fluorine tungsten by sequential CVD process |
US9754824B2 (en) | 2015-05-27 | 2017-09-05 | Lam Research Corporation | Tungsten films having low fluorine content |
CN106328578B (zh) * | 2015-06-18 | 2019-05-21 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制作方法和电子装置 |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
JP6492289B2 (ja) * | 2015-10-14 | 2019-04-03 | パナソニックIpマネジメント株式会社 | 部品供給装置および部品装着装置 |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
JP7125343B2 (ja) | 2015-10-23 | 2022-08-24 | アプライド マテリアルズ インコーポレイテッド | 表面毒化処理によるボトムアップ式間隙充填 |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
KR20180069038A (ko) * | 2015-11-13 | 2018-06-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 선택적 표면 개질을 이용하여 구조를 충전하기 위한 기술들 |
US20170170114A1 (en) * | 2015-12-15 | 2017-06-15 | Lam Research Corporation | Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects |
KR102149907B1 (ko) | 2016-03-03 | 2020-08-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단 |
TWI700745B (zh) | 2016-03-13 | 2020-08-01 | 美商應用材料股份有限公司 | 用於選擇性乾式蝕刻的方法及設備 |
US10002789B2 (en) | 2016-03-24 | 2018-06-19 | International Business Machines Corporation | High performance middle of line interconnects |
KR102201927B1 (ko) | 2016-04-25 | 2021-01-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버 |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10358715B2 (en) | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
US10573522B2 (en) | 2016-08-16 | 2020-02-25 | Lam Research Corporation | Method for preventing line bending during metal fill process |
US9741609B1 (en) | 2016-11-01 | 2017-08-22 | International Business Machines Corporation | Middle of line cobalt interconnection |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
TWI739984B (zh) | 2017-01-31 | 2021-09-21 | 美商應用材料股份有限公司 | 就圖案化應用進行選擇性沉積之方案 |
JP7169072B2 (ja) | 2017-02-14 | 2022-11-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US10276379B2 (en) | 2017-04-07 | 2019-04-30 | Applied Materials, Inc. | Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US11158500B2 (en) | 2017-05-05 | 2021-10-26 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of oxygen containing thin films |
JP7183187B2 (ja) | 2017-05-16 | 2022-12-05 | エーエスエム アイピー ホールディング ビー.ブイ. | 誘電体上の酸化物の選択的peald |
US10157833B1 (en) | 2017-05-23 | 2018-12-18 | Globalfoundries Inc. | Via and skip via structures |
US10242885B2 (en) | 2017-05-26 | 2019-03-26 | Applied Materials, Inc. | Selective dry etching of metal films comprising multiple metal oxides |
US10559465B2 (en) | 2017-07-24 | 2020-02-11 | Applied Materials, Inc. | Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide |
CN111095488A (zh) | 2017-08-14 | 2020-05-01 | 朗姆研究公司 | 三维竖直nand字线的金属填充过程 |
US10991573B2 (en) | 2017-12-04 | 2021-04-27 | Asm Ip Holding B.V. | Uniform deposition of SiOC on dielectric and metal surfaces |
US10941301B2 (en) | 2017-12-28 | 2021-03-09 | Tokyo Ohka Kogyo Co., Ltd. | Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate |
US11033930B2 (en) * | 2018-01-08 | 2021-06-15 | Applied Materials, Inc. | Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition |
US11227829B2 (en) | 2018-03-29 | 2022-01-18 | Intel Corporation | Device terminal interconnect structures |
WO2019213604A1 (en) | 2018-05-03 | 2019-11-07 | Lam Research Corporation | Method of depositing tungsten and other metals in 3d nand structures |
US11315828B2 (en) * | 2018-08-15 | 2022-04-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Metal oxide composite as etch stop layer |
US10685876B2 (en) * | 2018-09-18 | 2020-06-16 | International Business Machines Corporation | Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability |
US11398406B2 (en) | 2018-09-28 | 2022-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective deposition of metal barrier in damascene processes |
DE102018131694A1 (de) | 2018-09-28 | 2020-04-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Selektives abscheiden einer metallsperrschicht bei damascene-prozessen |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11387112B2 (en) * | 2018-10-04 | 2022-07-12 | Tokyo Electron Limited | Surface processing method and processing system |
US11114382B2 (en) | 2018-10-19 | 2021-09-07 | International Business Machines Corporation | Middle-of-line interconnect having low metal-to-metal interface resistance |
US11978666B2 (en) | 2018-12-05 | 2024-05-07 | Lam Research Corporation | Void free low stress fill |
JP2022513479A (ja) | 2018-12-14 | 2022-02-08 | ラム リサーチ コーポレーション | 3d nand構造上の原子層堆積 |
JP6960953B2 (ja) * | 2019-03-20 | 2021-11-05 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム |
US10903111B2 (en) | 2019-03-20 | 2021-01-26 | International Business Machines Corporation | Semiconductor device with linerless contacts |
KR20210141762A (ko) | 2019-04-11 | 2021-11-23 | 램 리써치 코포레이션 | 고 단차 커버리지 (step coverage) 텅스텐 증착 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US10998263B2 (en) | 2019-06-13 | 2021-05-04 | International Business Machines Corporation | Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device |
JP2022544931A (ja) | 2019-08-12 | 2022-10-24 | ラム リサーチ コーポレーション | タングステン堆積 |
US11532558B2 (en) | 2019-09-27 | 2022-12-20 | Intel Corporation | Metallization barrier structures for bonded integrated circuit interfaces |
US11164815B2 (en) * | 2019-09-28 | 2021-11-02 | International Business Machines Corporation | Bottom barrier free interconnects without voids |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
US20210225633A1 (en) * | 2020-01-17 | 2021-07-22 | Asm Ip Holding B.V. | FORMATION OF SiOCN THIN FILMS |
US20210225634A1 (en) * | 2020-01-17 | 2021-07-22 | Asm Ip Holding B.V. | FORMATION OF SiCN THIN FILMS |
TW202204658A (zh) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 在兩不同表面上同時選擇性沉積兩不同材料 |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
TW202140833A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
US11286556B2 (en) * | 2020-04-14 | 2022-03-29 | Applied Materials, Inc. | Selective deposition of titanium films |
US11646226B2 (en) | 2020-05-11 | 2023-05-09 | Applied Materials, Inc. | Method of tuning film properties of metal nitride using plasma |
US20220139772A1 (en) * | 2020-11-02 | 2022-05-05 | Intel Corporation | Interconnect structures with area selective adhesion or barrier materials for low resistance vias in integrated circuits |
US11444024B2 (en) | 2020-11-02 | 2022-09-13 | Intel Corporation | Subtractively patterned interconnect structures for integrated circuits |
JP7547189B2 (ja) | 2020-12-21 | 2024-09-09 | 東京応化工業株式会社 | 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法 |
TW202248443A (zh) * | 2021-02-28 | 2022-12-16 | 美商應用材料股份有限公司 | 選擇性沉積的表面處理 |
JP7305700B2 (ja) | 2021-04-19 | 2023-07-10 | 株式会社Kokusai Electric | 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム |
JP2022171414A (ja) | 2021-04-30 | 2022-11-11 | 東京応化工業株式会社 | 表面処理方法、基板表面の領域選択的製膜方法及び表面処理剤 |
US11859277B2 (en) * | 2021-05-21 | 2024-01-02 | Applied Materials, Inc. | Catalyst enhanced molybdenum deposition and gap fill |
JP7097482B1 (ja) | 2021-07-26 | 2022-07-07 | 東京応化工業株式会社 | 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法 |
US20230029867A1 (en) * | 2021-07-30 | 2023-02-02 | Taiwan Semiconductor Manufacturing Company Limited | Conductive structures with bottom-less barriers and liners |
KR20230033128A (ko) * | 2021-08-27 | 2023-03-08 | 삼성전자주식회사 | 반도체 소자 |
JP2023087564A (ja) | 2021-12-13 | 2023-06-23 | 東京応化工業株式会社 | 基板表面処理方法、基板表面の領域選択的製膜方法、及び表面処理剤 |
WO2023172736A1 (en) * | 2022-03-11 | 2023-09-14 | Lam Research Corporation | Methods of selective deposition and chemical delivery systems |
JP2024061924A (ja) | 2022-10-24 | 2024-05-09 | 嶺南大學校 産學協力團 | バリア層及びバリア層の形成方法並びに配線基板 |
Family Cites Families (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SE393967B (sv) * | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) * | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4761269A (en) * | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) * | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
CA2157038C (en) | 1993-02-26 | 2005-04-12 | Yasuo Kamuro | Plant growth promoter |
FI100409B (fi) * | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US5654589A (en) | 1995-06-06 | 1997-08-05 | Advanced Micro Devices, Incorporated | Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
JP4236707B2 (ja) * | 1995-09-14 | 2009-03-11 | 日産自動車株式会社 | 化学的気相成長法及び化学的気相成長装置 |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JP3150095B2 (ja) * | 1996-12-12 | 2001-03-26 | 日本電気株式会社 | 多層配線構造の製造方法 |
US5953596A (en) * | 1996-12-19 | 1999-09-14 | Micron Technology, Inc. | Methods of forming thin film transistors |
JP3050152B2 (ja) | 1997-01-23 | 2000-06-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6872429B1 (en) * | 1997-06-30 | 2005-03-29 | Applied Materials, Inc. | Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber |
US5904565A (en) * | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
JP4097747B2 (ja) * | 1997-08-07 | 2008-06-11 | 株式会社アルバック | バリア膜形成方法 |
US6100184A (en) * | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US5933761A (en) | 1998-02-09 | 1999-08-03 | Lee; Ellis | Dual damascene structure and its manufacturing method |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6048790A (en) * | 1998-07-10 | 2000-04-11 | Advanced Micro Devices, Inc. | Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient |
KR100275738B1 (ko) * | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
US6188134B1 (en) | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
US6144050A (en) | 1998-08-20 | 2000-11-07 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with strontium barrier film and process for making same |
US6077775A (en) | 1998-08-20 | 2000-06-20 | The United States Of America As Represented By The Secretary Of The Navy | Process for making a semiconductor device with barrier film formation using a metal halide and products thereof |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
KR100282927B1 (ko) | 1999-02-02 | 2001-02-15 | 정수홍 | 장벽금속막을 구비한 금속 배선 및 그 제조방법 |
KR100320743B1 (ko) | 1999-03-04 | 2002-01-19 | 우재영 | 항산화, 미백, 보습, 면역증강 및 항여드름 작용을 나타내는 목질진흙버섯 자실체 및 배양균사체 추출물, 그 제조방법 및 용도 |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6207567B1 (en) | 1999-04-12 | 2001-03-27 | United Microelectronics Corp. | Fabricating method of glue layer and barrier layer |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6184128B1 (en) * | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
-
2000
- 2000-08-23 US US09/644,636 patent/US6391785B1/en not_active Expired - Lifetime
- 2000-08-24 AU AU68003/00A patent/AU6800300A/en not_active Abandoned
- 2000-08-24 KR KR1020027002333A patent/KR100737305B1/ko active IP Right Grant
- 2000-08-24 JP JP2001519484A patent/JP4043785B2/ja not_active Expired - Lifetime
- 2000-08-24 EP EP00955875A patent/EP1206799A1/en not_active Ceased
- 2000-08-24 WO PCT/US2000/023252 patent/WO2001015220A1/en active Application Filing
- 2000-10-16 TW TW089117141A patent/TW478045B/zh not_active IP Right Cessation
-
2002
- 2002-04-15 US US10/123,492 patent/US6664192B2/en not_active Expired - Lifetime
-
2003
- 2003-12-08 US US10/731,656 patent/US6852635B2/en not_active Expired - Lifetime
-
2007
- 2007-09-11 JP JP2007235613A patent/JP5207690B2/ja not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
JP2003508897A (ja) | 2003-03-04 |
WO2001015220A1 (en) | 2001-03-01 |
US6852635B2 (en) | 2005-02-08 |
US6391785B1 (en) | 2002-05-21 |
KR20020047126A (ko) | 2002-06-21 |
JP2008078647A (ja) | 2008-04-03 |
KR100737305B1 (ko) | 2007-07-09 |
US6664192B2 (en) | 2003-12-16 |
EP1206799A1 (en) | 2002-05-22 |
US20020155722A1 (en) | 2002-10-24 |
TW478045B (en) | 2002-03-01 |
US20040121616A1 (en) | 2004-06-24 |
JP4043785B2 (ja) | 2008-02-06 |
AU6800300A (en) | 2001-03-19 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5207690B2 (ja) | 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 | |
JP5173098B2 (ja) | ダマシン・メタライゼーションのためのコンフォーマルライニング層 | |
US6727169B1 (en) | Method of making conformal lining layers for damascene metallization | |
JP5057355B2 (ja) | 集積回路内での金属層の選択的形成 | |
KR101506019B1 (ko) | 금속 카바이드 막의 기상 증착 | |
TWI848101B (zh) | 利用釕填充特徵之方法 | |
JP4674061B2 (ja) | 薄膜形成方法 | |
KR101013231B1 (ko) | 환원펄스를 이용한 원자층증착에 의한 질화금속증착 | |
JP4825422B2 (ja) | 絶縁層の上部表面から延びる複数のトレンチを有する多孔質絶縁層を含む集積回路を製造する方法 | |
JP5554806B2 (ja) | 複数の開口部を備える基板上への層の蒸着方法 | |
US7144806B1 (en) | ALD of tantalum using a hydride reducing agent | |
US6358829B2 (en) | Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer | |
US20080242088A1 (en) | Method of forming low resistivity copper film structures | |
US20050085031A1 (en) | Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers | |
JP2003531474A5 (ja) | ダマシン・メタライゼーションのためのコンフォーマルライニング層 | |
JP2008532271A (ja) | 原子層堆積のための表面のプラズマ前処理 | |
JP2007523994A (ja) | バリヤ物質の原子層堆積 | |
KR20150000507A (ko) | 망간 및 망간 니트라이드들의 증착 방법들 | |
KR100551073B1 (ko) | 펄스식 화학기상증착 방식을 이용한 박막 형성 방법 | |
US20240213093A1 (en) | Catalyst-enhanced chemical vapor deposition | |
US20210404060A1 (en) | Vapor deposition of tungsten films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110414 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110419 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110719 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111004 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20111216 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20111221 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120301 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120327 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120626 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120629 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120919 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130129 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130219 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20160301 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
LAPS | Cancellation because of no payment of annual fees |