JP4043785B2 - 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 - Google Patents

集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 Download PDF

Info

Publication number
JP4043785B2
JP4043785B2 JP2001519484A JP2001519484A JP4043785B2 JP 4043785 B2 JP4043785 B2 JP 4043785B2 JP 2001519484 A JP2001519484 A JP 2001519484A JP 2001519484 A JP2001519484 A JP 2001519484A JP 4043785 B2 JP4043785 B2 JP 4043785B2
Authority
JP
Japan
Prior art keywords
ligand
layer
insulating
metal
selectively
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2001519484A
Other languages
English (en)
Other versions
JP2003508897A (ja
Inventor
アレッサンドラ サッタ
カレン マエクス
カイ−エリク エレルス
ビッレ アンテロ サーニラ
ペッカ ユハ ソイニネン
スビ ペー. ハウッカ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2003508897A publication Critical patent/JP2003508897A/ja
Application granted granted Critical
Publication of JP4043785B2 publication Critical patent/JP4043785B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

(発明の属する技術分野)
本方法は、概ね、集積回路の一次加工及び二次加工に関し、さらに詳細には、金属配線構造と、バリア層の選択的堆積とに関する。
【0001】
(発明の背景)
微細化に焦点が当たっており集積回路の複雑さ及び速度要件が増していることによって、さらに高い集積密度が、引き続き必要とされている。これを達成するために、能動素子の寸法及びこれらの素子を接続する構造体の寸法が、縮小され続けている。これらの配線構造は、所望の配線パターンにより異なるが、中間絶縁層により相互に隔てられるかまたは絶縁層を介して導電接続により相互に接続されるかのいずれかである多重の金属レベルを含み得る。この寸法縮小の他に、厳しい速度仕様を満たすことができるさらなる方法が、必要とされている。通常、金属レベルはアルミニウム(Al)層であり、絶縁層は酸化層である。信号遅延を低減させるためには、アルミニウムと比較して伝導率が高い金属層を選択し、及び/または、酸化層に比べて誘電率が低い絶縁層を選択することができる。これらの目的を達成するために、銅含有金属層及び/または銅含有接続部が、近い将来導入されるだろう。
【0002】
配線構造内の銅(Cu)の使用には、一般に知られた欠点がいくつかある。Cuは、周りの絶縁層内に非常に移動しやすく、このことは、信頼性及び信号遅延に負の影響を及ぼす。この問題を解決するために、いくつかの解決方法が提案されてきた。耐熱金属のような材料が、周囲の層内への銅の移動を妨げるためのバリア層として使用されてきた。
【0003】
一般に利用されている技術によって、非選択的方法でバリア層を堆積させることにより、銅イオンの周囲の層内への移動は防止される。図1は、結果として生ずる構造を示している。導電底面10と、絶縁層14内開口部の(トレンチフロア13を含む)絶縁側壁12とが、バリア材料16で覆われている。化学気相成長法(CVD)の場合、バリア16は、コンフォーマルに堆積される。物理気相成長法(PVD)の場合には、開口部の垂直壁及び底部のカバレッジは、構造体の上部と比較して薄い。しかしながら、垂直カバレッジと水平カバレッジとの間の比率は、堆積パワー、堆積バイアスなどのようなプロセスパラメータを変更することにより、ある程度変化させることができる。
【0004】
いくつかの問題は、バリア層の堆積に関連している。バリア層は、絶縁層14、15内の開口部の絶縁側壁12及び導電底壁10上に堆積されることから、底壁12上にバリア層が生じることによって、不都合がいくつか発生する。開口部が金属18で満たされると、上に横たわる金属18と下に横たわる金属20との間のバリア層16は、バリア16が、電子に対してフラックス発散点になることから、構造体へのエレクトロマイグレーション挙動に有害な影響を及ぼす。その結果、次の回路工程中においてエレクトロマイグレーションにより、金属原子について断絶が、生じてしまう。
【0005】
絶縁層内の開口部の底壁10上にバリア層16があることによって、さらに不都合が生じる。バリア層16と下に横たわる導電層20との間の接着が、必ずしも良好とは限らないことから、異なる導電レベル間の電流が影響を受けて、導電パスの信頼性及び抵抗率に不利な影響を及ぼす。
【0006】
米国特許第5,904,565号明細書において、集積回路内の異なるレベル間における銅と銅の直接接続が、開示されている。第1のステップにおいて、バリア層は、ビア内にコンフォーマルに堆積する。第2のステップにおいて、低い方の銅のレベルを覆うバリア層は、異方性エッチングにより選択的に除去される。垂直側壁を覆うバリアは、残っている。この方法は、従来のバリア形成より、プロセスがより複雑でプロセスステップが多いことを意味し、これによって、実行することにより困難が生じる。さらに、コストが増加することになる。
【0007】
結果として、集積回路(IC)内の金属レベルの高品質な導電性挙動が得られるような、絶縁層内に形成された開口部の絶縁表面上に選択的にバリア層を堆積することによって金属と金属の直接接続を形成する方法に対する必要性が、存在する。
【0008】
(発明の概要)
基板上に材料特にバリア材料を選択的に堆積させる方法を本明細書において説明する。本方法は、第2の表面を露出させたまま第1の表面上に材料を選択的に提供し、ここで、第1及び第2の表面の材料組成は異なっている。本方法には、第1の表面をコンディショニングしてその上に配位子を形成し、その後に、第2の表面上には堆積させないようにしながら第1のコンディショニングした表面上にバリア層を堆積させることが含まれることが、好ましい。
【0009】
第1の表面は絶縁層からなり、第2の表面は導電層からなることが望ましい。さらに詳細には、好ましい実施形態において、説明されている少なくとも絶縁層から本質的に導電材料からなる層までを通る開口部の側壁の一部分上にバリア層を堆積させる方法を説明する。本方法には、絶縁層内に開口部を形成し、絶縁側壁の上に配位子を形成するように少なくとも開口部の絶縁側壁をコンディショニングし、その後に、導電側壁上にはバリア層を堆積させないようにしながら絶縁側壁上にバリア層を堆積させることが含まれる。
【0010】
本発明の一態様によれば、本方法には、コンディショニング後に第2の表面上に形成された配位子を除去することが含まれる。
【0011】
本発明の別の態様によれば、コンディショニングは、第1及び第2の表面を変化させ(例えば化学的または物理的変化)、その後に、コンディショニングされた第2の表面をさらに変化させることになる。さらなる変化は、コンディショニング変化を除去すること、または、コンディショニング変化を成長ブロック表面形成に変えることが含まれ得る。典型的なさらなる変化は、還元環境で加熱すること、還元環境でプラズマ処理すること、真空または高圧下で加熱すること、または、クリーニングまたは化学還元のような化学処理を含む。あるいは、第2の表面を変化させることには、第1の表面をコンディショニングする前または後に、成長ブロックまたは犠牲層を形成することが含まれ得る。
【0012】
本発明の実施形態において、前記コンディショニングは、絶縁材料からなる前記開口部の前記側壁の一部分である化学分子と、前記開口部の前記側壁上に配位子を形成する適切な雰囲気との間の化学反応である。コンディショニングは、前記導電材料からなる前記開口部の前記側壁と、前記開口部の前記側壁上に配位子を形成する適切な雰囲気との間の化学反応も含まれ得る。前記コンディショニングは、本質的に導電材料からなる前記側壁上に形成された前記配位子が除去されることを特徴とする追加のステップも含まれ得る。
【0013】
本発明の一態様によれば、バリア層を堆積することは、原子層成長法により実行される。
【0014】
本発明の一態様によれば、絶縁層内に形成された開口部は、ビアホール、コンタクトホール、またはトレンチである。
【0015】
本発明の一態様によれば、絶縁材料は、二酸化ケイ素、窒化ケイ素、シリコンオキシナイトライド、低−k材料または誘電率の低い多孔材料にすることができる。
【0016】
本発明の一態様によれば、配位子は、ヒドロキシル、シアノ、NH2、NH、フルオロ、ブロモ、ヨード、クロロ、メチル、アルコキソ、β−ジケトネート、イソプロポキソ及び他の炭素含有基から、選択される。
【0017】
本発明の一態様によれば、導電材料は、銅、アルミニウム、タングステン、コバルト、銀、金、プラチナ、パラジウム、イリジウム、ロジウムまたはルテニウムにすることができる。
【0018】
本発明の一態様によれば、バリア層には、耐熱金属の窒化物及びケイ素窒化物と、耐熱金属炭化物及びケイ素炭化物と、耐熱金属ホウ化物及びケイ素ホウ化物と、耐熱金属リン化物及びケイ素リン化物と、耐熱金属オキシナイトライド及びシリコンオキシナイトライドと、からなる群から、選択される材料が含まれる。特定の例には、Co、Ta、Ti、TiN、TaN、Si34、WxN、HfxN、MoxN及び/またはそれらのコンパウンドからなる群から選択された材料が含まれる。
【0019】
(好ましい実施形態の詳細な説明)
基板上に、層、好ましくはバリア層を堆積させる方法を、本明細書において説明する。基板は、材料の組成が異なる少なくとも第1の表面及び第2の表面を含んでいる。本方法は、それらの表面の少なくとも1つをコンディショニングしてコンディショニング表面上に配位子を形成し、その後、非コンディショニング表面上への堆積が生じないようにしながら、コンディショニングされた表面上にバリア層を堆積させることを含むのが、好ましい。本明細書で使用されているコンディショニングとは、さらなる堆積のために表面を整えることであり、図示した実施形態においては、所望のバリア層を形成するように該表面を原子層成長(ALD)プロセスを受けやすい状態にすることを含む。逆に、非コンディショニング表面とは、所望のバリア層用ALDプロセスに実質的に反応しないものである。「非コンディショニング」表面は、この表面をコンディショニングしないことによってまたはこの表面上におけるコンディショニングをさらに変化させることによって、得られる。
【0020】
デュアルダマシンメタライゼーション中の選択的バリア堆積に関連して示したが、特に高ステップカバレッジを伴う選択的堆積が所望される場合には、本明細書において開示した原理及び利点が、他の状況において適用されることは、当業者には容易に分かるだろう。本発明は、絶縁及び導電材料の一方と比べて、絶縁及び導電材料の他方の上に選択的に堆積させるために特に有用である。
【0021】
好ましい方法は、基板上に形成された絶縁層を通る開口部の第1の側壁上にバリア層を選択的に堆積させること、及び、第2の側壁を露出させることを含む。第1の側壁は、本質的に絶縁材料からなる開口部の側壁として定義される。第2の側壁は、本質的に導電材料からなる開口部の側壁である。本方法によって、集積回路メタライゼーションスキームによる異なる導電レベル間における直接接続が提供される。導電レベル間のバリア層は、構造体へのエレクトロマイグレーション挙動に有害な影響を及ぼすので、第2の側壁上には、このバリア層は形成されない。
【0022】
特筆したが、好ましい実施形態の特定の目的は、バリア層を選択的に堆積させることに関し、これにより、超大規模集積回路(ULSI)のメタライゼーションにおいてバリアで金属層を覆うという問題は回避される。ダマシン技術によって、水平金属パターン及び垂直金属接続を形成可能となる。これらの接続は、IC内において加工されている2つの水平金属パターン間の導電接続を提供可能とするために必要である。このような接続を提供するためには、通常、第1の開口部は、絶縁層内に、または、2つの異なる導電レベル間の絶縁層のスタック内に、形成する必要がある。このような開口部の一例が、トレンチ、コンタクトホールまたはビアホールである。この開口部は、次のステップにおいて適宜の金属で充填されて、その結果、2つの異なる水平導電レベル間の垂直接続が実現する。周囲の絶縁層内への金属の移動を防止するために、金属を堆積させる前に開口部内に移動バリア層を堆積する。
【0023】
図1におけるのと同様の部分の参照のために同じ参照番号が用いられている、図2(結果として生じた構造)及び図3(中間のプロセス)において、それらの図に関連して、集積回路メタライゼーションについての本発明を図示している。ULSIメタライゼーションスキームにおいて、さらに詳細には、ダマシンアプローチにおいて、水平及び垂直金属接続は、絶縁層14及び15を囲んで形成される。これらの垂直金属接続は、2つの水平導電レベル間に導電接続を提供可能とするために必要である。デュアルダマシンプロセッシングにおいて、垂直接続は、上部水平接続と同時に形成される。本明細書において使用されている「水平」及び「垂直」という用語は、本技術においてウェハまたはチップが素子の表を上にして水平な場合の方向について従来使用されてきた相対的な方向を指すに過ぎないことが、当然であることは理解されよう。
【0024】
このような接続を提供するためには、まず、基板上に形成された絶縁層14、15内に開口部22を形成する。図示したデュアルダマシンに関連して、開口部22は、上方の絶縁層15内に形成されたトレンチと、下方の絶縁層14内のトレンチに沿った別の位置に形成されたコンタクトビアとを含んでいる。他の構成において、開口部が、コンタクトビアだけまたはトレンチだけを有する場合があることを、当業者は理解するだろう。
【0025】
他の技術の中では、絶縁層14、15の上面にハードマスク層を用いることにより、絶縁層14、15内に開口部22を形成することができる。ハードマスク層は、炭化ケイ素にすることができるが、それに限定はされない。絶縁層14、15内の開口部22は、導電層20に隣接しかつそれを露出している。チップ設計の技術水準において、開口部22は、高アスペクト比、すなわち、2:1を越え、しばしば4:1を越えるアスペクト比を有するのが、典型的である。開口部は、より大きな特徴として、例えば、ボンディングパスまたはキャパシタなどの、5μmを越える線幅を有する開口部にすることもできる。
【0026】
開口部22は、第1の側壁12及び第2の側壁10を有している。第1の側壁12は、本質的に絶縁材料からなるとともに「垂直」部分と「水平」トレンチフロア13とを含む、開口部22の側壁として画定されている。第2の側壁10は、本質的に導電材料からなる開口部22の側壁であり、図示した実施形態においては開口部22の底面を指す。絶縁層は、二酸化ケイ素、窒化ケイ素、シリコンオキシナイトライド、低−kポリマーまたは誘電率の低い多孔材料から形成することができる。絶縁層は、炭化ケイ素、窒化ケイ素、または他の種々の無機絶縁材料などの材料で覆うこともできる。基板は、部分的に処理したまたはもとのウェハか、或いは、半導性材料からなるスライス例えばガラススライス、または導電性材料にすることができる。基板には、パターン導電層を含ませることができる。詳細には、前記基板を、部分的に処理されたウェハまたはスライスにする場合、能動及び/または受動素子の少なくとも一部分を予め形成し、かつ/または、これらの素子を接続する構造体の少なくとも一部分を形成することができる。
【0027】
絶縁層14、15内の開口部22は、パターン構造体をリソグラフィー次にエッチングすることによって形成することができる。エッチングは、ドライエッチングまたはウェットエッチングにすることができるが、ドライエッチングが好ましい。エッチプラズマの組成は、絶縁材料の特性により異なる。
【0028】
次のステップにおいて、本技術分野で公知の技術によって、開口部を清浄にすることが、好ましい。
【0029】
開口部22の第1の側壁12上に化学配位子が形成されるように、開口部22の側壁をコンディショニングする。前記化学配位子は、絶縁材料22の化学分子と共有結合する化学基または原子である。コンディショニングは、基板を、従って第1の側壁を空気中にまたは特定の雰囲気中にさらした結果発生し得る化学反応すなわち化学作用であって、その結果、側壁の化学組成が変わる。コンディショニングは、基板、従って第1の側壁を、水蒸気またはカスケードウォーターリンスなどのウェット環境にさらすことによる化学反応であってもよい。アルコールによって、次の堆積のために好適なコンディショニングを提供できる。
【0030】
いくつかの構成においては、コンディショニングは、空気または特定の雰囲気にさらす必要が必ずしもないことも意味し、それは、クリーニングされる開口部を空気中に露出する前に、開口部の側壁上に化学配位子が予め存在するような絶縁層を選択することができるためである。このように自然にコンディショニングされる絶縁層の例として、酸化物含有層がある。特定の雰囲気は、開口部が形成される際のエッチング環境の一部分とすることができる。このようにして、第1の側壁12は、エッチング中にその場でコンディショニングされ、底または第2の側壁10は、清浄にエッチングされる。第2の側壁10の変化は、エッチング中に取り除くことができる。
【0031】
化学配位子は、次のバリア層堆積の少なくとも初期段階の間に雰囲気中に存在する別の化学基または化学分子と化学反応によって選択的に置換可能であるように選択される化学基または原子である。これらの化学配位子(及びそれらのための典型的なソース流体)には、ヒドロキシル(水分及びアルコール)、シアノ(HCN)、NH2(NH3及びN24)、NH(NH3)、フルオロ(フッ素)、ブロモ(臭素)、ヨード(ヨウ素)、クロロ(塩素)、メチル(有機物)、アルコキソ(アルコール)、β−ジケトネート(β−ジケトネート)、イソプロポキソ(イソプロキシド)、及び他の炭素含有基があるが、これらに限定はされない。ソース流体は、一般に、イオン化されて、配位子により容易に付着させるためにラジカル状態で基板に提供され得ることが、理解されよう。化学配位子は、実施形態において示した絶縁材料からなる(トレンチフロア13を含む)第1の側壁12上に形成することが、好ましい。図3は、(トレンチフロア13を含む)第1の絶縁表面12上にのみ形成された水酸基の配位子を示している。
【0032】
導電材料の特性によっては、開口部22の第2の側壁10上に化学配位子を形成することもできる。この場合には、化学配位子は、開口部22の第2の側壁10から選択的に除去することが望ましい。このような選択的除去には、還元環境で基板を適度に加熱すること、または、還元環境でプラズマ処理することを含み得るが、これらに限定はされない。有利には、コンディショニング配位子と種々の材料との間の結合力が異なるために、銅、銀、金及びプラチナなどの金属から配位子を除去することの方が、絶縁材料から同様の配位子を除去することより一般に容易である(すなわち、エネルギーが少なくて済む)。
【0033】
空気または別の雰囲気と接触している第2の側壁10上における導電材料の層は、変化し得る。この変化は、酸化のような化学反応、または、物質の吸着のような物理的変化であり得る。その変化には、例えば、次の堆積化学物質と反応しないかまたは第1の表面上におけるよりかなり遅い堆積になるブロック層を第2の表面10上に形成することも含み得る。非限定的例として、バリア堆積の前にシリコンハライドソースガスのパルスになり得るシリコンハライドにさらすステップによって、−SiXn配位子(ここで、X=F、Cl、BrまたはI、かつ、n=1、2、または3)を形成可能である。これらのブロック配位子は、例えば、第1の表面の絶縁壁上におけるより容易に、第2の表面の(例えば、第1の表面をコンディショニングしている間に形成される自然酸化物または酸化物などの)酸化物上に形成される。
【0034】
第2の表面についての別の典型的な変化には、第2の表面上に犠牲層を形成することが含まれる。非限定的例だが、バリア層形成前に第2の表面上に酸化タングステン層(WO3)を形成可能である。バリア層が、ALDによりWF6及びNH3の交互パルスにより形成された窒化タングステン(WN)を含有している場合には、WN堆積中に、特に以下に示すようにWF6のパルス中に、犠牲層をゆっくりエッチング除去することができる。
【0035】
WO3(固体) + 2WF6(気体) → 3WOF4(気体)
(例えば、成長ブロック層または犠牲層の残りなどの)変化させた層は、どれも、バリア形成後の(例えば、銅充填などの)さらなる堆積の前に除去することが好ましい。材料により異なるが、変化したものの除去には、真空下または高圧下で基板を加熱すること、またはクリーニングステップ、化学還元、選択的エッチング、またはきちんと時間を決めたウェットエッチングなどの化学処理が含まれ得る。すでに形成された及び次のステップにおいて形成される導電材料18、20の特性により異なるものの、変化させた層は、必ずしも特定のプロセスステップにおいて除去する必要はないが、さらなるプロセス中に除去する場合が時にあり得ることに、留意されたい。例えば、以下の説明から理解されるだろうが、開口部22を導電材料18で充填またはライニングする間に、表面の変化は、自然に除去され得る。
【0036】
さらなるステップにおいて、開口部22の第1の側壁12上にバリア層26を選択的に堆積する。バリア層26は、本質的に、開口部10の第2の側壁10上には形成されない、すなわち、下にある導電層20の表面はバリア層で覆われない。好ましい実施形態においては、本質的に導電材料からなりよって堆積が生じない前記第2の側壁10上には、配位子は形成されない。絶縁層の特定の化学構造すなわち化学配位子があることによって、バリア層を選択的に堆積可能である。第1の側壁12上にある化学配位子は、堆積雰囲気中にある原子または分子と反応する。開口部の第2の側壁10上にある化学原子または分子は、堆積雰囲気中にある原子または分子とは反応しない。堆積雰囲気とは、本質的にバリア層形成に必要である化学成分からなる化学溶液、蒸気または気体である。結果として、バリア層26が、開口部22の第1の側壁12上へ選択的に堆積する。
【0037】
バリア層26は、原子層成長法(ALD)により堆積することが好ましい。ALDは、原子層膜成長による、材料と堆積雰囲気との間における化学分子または原子の交換に基づくものである。化学分子または原子の交換とは、化学反応である。層26は、連続的なステップにおいて形成され、各ステップには、化学反応または吸着により1つの原子層が形成されることが含まれる。バリア層26は、周りの絶縁層14、15内への金属イオンの移動を防止する材料からなる。バリア層26は、耐熱金属と、耐熱金属の窒化物及びケイ素窒化物と、耐熱金属炭化物及びケイ素炭化物と、耐熱金属ホウ化物及びケイ素ホウ化物と、耐熱金属リン化物及びケイ素リン化物と、耐熱金属オキシナイトライド及びシリコンオキシナイトライドと、からなる群から選択される材料とすることができるが、これらに限定はされない。バリア層26は、Co、Ta、Ti、TiN、TaN、Si34、WxN、HfxN、MoxN及び/またはそれらのコンパウンド(compound)であることが、好ましい。
【0038】
バリア材料は、金属間パスを遮らないことにより、バリア材料は、必ずしも高い導電性を有する必要がないので、有利である。従って、好ましい実施形態によって、300μΩ・cm未満からバリア材料を絶縁するまでの範囲の抵抗率を有する材料を含めて、広くバリア材料を選択可能であることが、有利である。特に、好ましい絶縁体は、アモルファス絶縁体である。
【0039】
好ましい方法は、原子層成長(ALD)方式であり、これによって、サイクル内の交互パルスでワークピースに反応物が供給される。サイクルごとに、吸着好ましくは化学吸着により、ライニング材料についてわずかほぼ1つの単層が形成されることが、好ましい。基板温度は、化学吸着を容易にする領域内に維持される。特に、基板温度は、吸着される種と下にある表面との間の損なわれていない化学結合を維持する程度かつ反応物種が分解しないのに十分低い温度に維持される。他方で、基板温度は、反応物が縮合せずかつ各相において所望の表面反応のための活性化エネルギーを提供するのに十分高く維持される。所定の種々のALD反応のための適切な温度領域は、表面の末端及び含まれる反応種により異なることは、当然である。
【0040】
各サイクルの各パルスまたは相は、実質的に自己限定的(self-limiting)であることが好ましい。以下に示した例において、各相は、自己終結的である(self-terminating)(すなわち、吸着好ましくは化学吸着された単層において、その相の化学物質と反応性でない表面が残る)。反応前駆体が、各相において過剰に供給されて、構造表面を飽和させる。表面が飽和することによって、(以下にさらに詳細に説明するように、(物理的大きさによる制限を受けやすい)全ての有効反応部位を反応物が占めることが保証され、それと同時に、反応物に対してより長くさらされる場所における過度の膜成長が自己終結により防止されることが、保証される。同時に、飽和及び自己終結する化学物質によって、第1の表面12のすぐれたステップカバレッジが、保証される。
【0041】
図4及び以下の表1は、典型的なプロセスを示している。特定の実施形態によるガス流の連続を表しており、それは、典型的ではあるがそれに限定するものではない。示した例において、導電性窒化物、より詳細には窒化金属は、ワークピースに金属ソースガスと窒素ソースガスとを交互に供給することによって形成される。各サイクルについて第1のまたは金属の相107では、望ましくは窒素ソースガスがない状態で金属含有材料の層が化学吸着される。各サイクルについて第2のまたは窒素相111では、望ましくは金属ソースガスがない状態で、堆積された金属含有層上において窒素含有材料が反応するかまたはそれが吸着される。他の構成においては、相の順番を逆にすることができること、及び、反応物の除去またはパージステップを先行するまたは後続する反応物のパルスの一部とみなすことができるということが、理解されよう。
【0042】
ライニング材料が形成されるダマシン構造表面(すなわち、トレンチフロア13を含むが第2の表面10は除いた第1の表面12)は、まず、金属ソースガスと反応する表面を提供するように、終結される。金属相107の反応物は、ある好ましいダマシン構造の酸化物及び窒化物表面上に、異なる表面を終結させることなく、化学吸着させることができる。
【0043】
金属相107は、自己限定され、その結果、わずか約1つの原子単層が、第1の相の間に堆積されることが、もっとも好ましい。望ましくは、揮発性金属ソースガスが、パルス104において提供される。典型的金属ソースガスは、四塩化チタン(TiCl4)、六フッ化タングステン(WF6)、五塩化タンタル(TaCl5)、タンタルペンタエトキシド、テトラキス(ジメチルアミノ)チタン、ペンタキス(ジメチルアミノ)タンタル、塩化銅(CuCl)及びコッパーヘキサフルオロアセチルアセトネートビニルトリメチルシラン(copper hexafluoroacetylacetonate vinyltrimethylsilane)(Cu(HFAC)VTMS)を含む。
【0044】
金属ソースガスがデュアルダマシンコンタクトビアの底部内へ拡散するのに十分な時間が経過した後、金属ソースガスの流れが遮断されて、金属パルス104が終わる。金属ソースガスがチャンバーからパージされるまで、キャリアガスがパージステップ106において引き続き流されることが、好ましい。
【0045】
パルス104の間に、金属ソースガスは、金属含有種の「単層」を堆積または化学吸着するように、露出され選択的に終結されたワークピースの表面と反応する。反応物は、理論上、ワークピースの露出された層上の利用可能な各部分に化学吸着するが、(特に終結配位子を有する)吸着された種の物理的大きさによって、概ね、単層の一部分に対するサイクルごとのカバレッジが制限されることになる。以下の表1の例においては、ALDプロセスは、およそ0.35Å/サイクルで窒化金属層を成長させ、その結果、約4.2Åのバルク結晶格子パラメータを有するTiNについては、およそ15サイクルごとに堆積された材料から完全な単層が有効に生成される。各サイクルとは、一対の金属ソースガスパルス及び窒素ソースガスパルスに相当する。本明細書において使用されている「単層」とは、従って、堆積中の単層の一部分を指し、主に、パルス104の自己限定効果に関連している。
【0046】
特に、ワークピース上に堆積または吸着した金属含有種は、自己終結して、表面が金属ソースガスとそれ以上反応しなくなる。以下に示す例においては、TiCl4(表1)では、塩化物が終端となったチタンの単層が残る。WF6では、フッ素が終端となったタングステンの単層が残ることになる。同様に他の揮発性ハロゲン化金属では、ハライドが終端となった表面が残ることになり、タンタルペンタエトキシド、テトラキス(ジメチルアミノ)チタン、及びペンタキス(ジメチルアミノ)タンタルのような有機金属では、有機配位子が終端となった表面が残る。金属ソースガスパルス104中において、このような表面は、金属ソースまたは反応物の他の成分とこれ以上反応しない。反応物への過度の露出は、過剰堆積にはならないために、金属相107のプロセス中の化学物質は、自己限定的であると言われる。濃度がより高い反応物にさらに長くさらしても、ワークピースの上面における堆積は、ビアフロアの近くの絶縁表面上における堆積を越えない。示したように、金属相107では、第2の表面10(図3)と容易に反応しないことが、好ましい。
【0047】
次に、サイクル115の第2の相111において、窒素ソースガスのパルス108が、ワークピースに提供される。図示した例において、窒素ソースガスは、アンモニアを含有している。第2の相111は、第1の相107により残された金属含有種の単層を窒素ソースガスに完全にさらすのに十分な時間維持されることが、好ましい。窒素ソースガスが、デュアルダマシンコンタクトビアの底部内へ拡散するのに十分な時間が経過した後、金属ソースガス流が遮断されて、窒素パルス108が終わる。窒素ソースガスがチャンバーからパージされるまで、パージステップ110においてキャリアガスを流し続けることが好ましい。
【0048】
窒素パルス108の間において、窒素ソースガスは、第1の層107により第1の表面12(図3)上に残され自己終結した金属単層と反応するかそれの上に化学吸着する。表1の実施形態において、この化学吸着には、金属単層のハロゲン終端が窒素含有種と入れ替わる、飽和可能な配位子交換反応が含まれる。他の構成においては、中間ゲッターまたはスカベンジ相によって、窒素パルスの前に金属単層のハロゲン終端が先ず取り除かれる。この場合には、第3の相において、窒素含有種は、ゲッター相により露出されたままの金属上の吸着物と反応する。いずれの場合においても、これによって、窒化金属は、第1の表面12上に、好ましくは単一の単層内に選択的に形成される。本プロセスによって化学量論量の窒化金属が残ることが、望ましい。金属相107について説明したように、単層は、吸着された種の物理的大きさのために、全ての反応可能な部分を占める必要はない。ただし、第2の相111は、自己限定的効果も有している。
【0049】
特に、窒素ソースガスは、前の金属ソースガスパルスの間にワークピース表面に化学吸着された金属含有種と反応する。該反応は、パルス108の間で、アンモニアまたは他の窒素ソース(例えば、ヒドラジン、Nラジカルなど)が、窒素及び、窒化金属単層の終端となるNHxテイルと反応しなくなることから、表面終結でもある。さらに、温度及び圧力状態を調節して、金属単層から下にある材料へアンモニアが移動しないようにする。この飽和性で自己限定的な反応相111において濃度がより高い反応物により長く晒しても、ワークピースの上面上に形成される窒化金属の厚さは、ビアフロアの近くの絶縁表面上に形成される窒化金属の厚さを超えない。繰り返すが、第2の表面10は窒素パルスと非反応性であることが、好ましい。
【0050】
(金属ソースパルス104及びパージ106を含む)金属相107と、(窒素ソースパルス108及びパージ110を含む)窒素相108とは、ともに、ALDプロセスにおいて繰り返されるサイクル115を画定している。最初のサイクル115の後、第2のサイクル115aが、実行され、そこでは、金属ソースガスパルス104aが、再度供給される。金属ソースガスは、前のサイクル115において形成された窒化金属表面上に金属含有種を化学吸着する。金属含有種は、晒された表面と容易に反応し、もう一つの単層または金属含有種の単層の一部分に堆積して、再度、金属ソースガスとそれ以上反応しない自己終結した表面が、残る。金属ソースガス流104aは、停止され、チャンバーからパージされ106a、(表1によれば)第2のサイクル115aの第2の相111aでは、窒素ソースガスを供給し、第2の金属単層を窒化物化する。あるいは、窒素相の前に、中間ゲッターまたはスカベンジ相が置かれる。
【0051】
窒化金属が、デュアルダマシン構造体内においてバリア機能を果たすのに十分な厚さに形成されるまで、サイクル115aを少なくとも約10回さらに好ましくは少なくとも20回繰り返す。好ましい実施形態の方法によって、ほぼ完全なステップカバレッジで、約200Å未満のさらに好ましくは100Å未満の厚さの層を形成することができることが、有利である。ステップカバレッジとは、基板の上面と比較した場合の、開口部底部近くの絶縁壁のカバレッジ比率に関連する。示したように、堆積は、導電底面と比べて、絶縁表面12上において選択的に起こることが、好ましい。
【0052】
次のステップにおいて、開口部22は、バリア層26により部分的に覆われ、当該技術において公知の堆積技術を利用して導電材料18(図2)により充填される。結果として、導電材料18で充填された開口部22とその下にある導電層20との間の直接接触が形成される。従って、2つの導電レベル間に発散または途切れは存在せず、バリア材料の固有抵抗に拘わらず、すぐれた導電性挙動がもたらされる。
【0053】
第1の実施形態において、基板上に形成された絶縁層内における開口部内に銅バリア層を堆積するための方法を開示する。
【0054】
絶縁層を基板上に堆積する。基板は、部分的に処理されたウェハまたは元のウェハにすることができる。基板は、部分的に処理されたウェハにすることが好ましい。より低い金属レベル、コンタクトレベルまたはトランジスタレベルになり得る、予め形成した導電回路エレメント20上に、絶縁層14、15を堆積することができる。絶縁層14、15には、上述の材料の1つを含ませることができる。示した実施形態においては、絶縁材料は、二酸化ケイ素の形態を有している。絶縁層14、15は、リソグラフィー及びドライエッチングステップによって、パターンが形成されて、その結果、開口部22が、デュアルダマシンアプローチを利用して二酸化ケイ素層内に形成される。開口部22の第1の側壁12は、二酸化ケイ素からなり、第2の側壁10は、導電材料20からなる。ドライエッチングステップの後には、基板を清浄にする。次のステップにおいて、配位子が二酸化ケイ素層上に形成されるように、例えば水酸化ケイ素の層が形成されるように、二酸化ケイ素層をコンディショニングする。これを得るために、ウェット雰囲気、OHまたはHプラズマのようなHまたはOHラジカルのソースに、絶縁層14、15をさらす。
【0055】
第1の側壁12、すなわち、絶縁層14、15の表面は、プラズマ内にある水素及びOHラジカルと反応する。反応は、プラズマにさらされた二酸化ケイ素の表面のみに限定される。一度、第1の側壁12の表面全体がヒドロキシルテイルまたは配位子により飽和すると、反応は自然に終わる。
【0056】
示した実施形態においては、第1の側壁12は、二酸化ケイ素を含んでおり、大気中にある水分とも反応し得る。コンディショニングは、自然に起こる。
【0057】
導電材料が銅である場合には、その上に犠牲層またはALDブロック層を形成することなどによって、銅層を変化させることができる。上に示したように、銅層の化学構造における変化は、一般に、バリア材料によるライニングより前またはその次のいずれかにおいて、充填する前に除去されるべきである。さらに、該表面の上に吸収されている物質は、除去すべきである。
【0058】
反応物のパルスを交互に繰り返す間に化学基が交換されることに基づく原子成長法(ALD)を利用してTiNバリア層26を選択的に堆積し、各反応物によって材料からわずか約1つの単層が形成されることが、好ましい。基板は、気相内でTiCl4と接触して、その結果、TiCl4と、絶縁材料上にある水酸基との反応が、生じる。開口部22の第2の側壁10上、すなわち、本質的に導電材料からなる側壁10上にはヒドロキシル配位子がないために、導電層とTiCl4との間では、化学反応は生じない。第1の反応生成物が、絶縁表面上に第1の層を形成する。次に、NH3と第1の反応生成物との間において反応が生じ、その結果、第2の層が形成される。次のステップにおいて、十分な厚さのバリア層が形成されるまで、一連の化学反応を繰り返す。
【0059】
下の表1は、超大規模集積化プロセス用デュアルダマシンメタライゼーションスキームにおけるバリア適用に適したTiN層を形成するための典型的な処理レシピを示している。処理レシピは、シングルウェハプロセスモジュールにおける1つのサイクルに相当する。詳細には、フィンランド国のASM Microchemistry Ltd.から市販され入手可能である、「PulsarTM 2000」という商品名で市販され入手可能なシングルウェハALDモジュール内において利用するために、示したパラメータを作成した。
【0060】
以下の表のパラメータは、例示的であるに過ぎないことに留意されたい。各プロセス相は、開口部22の少なくとも第1の表面を飽和させるようにコンディショニングすることが、望ましい。パージステップは、反応相の間においてビアから反応物を除去するようにコンディショニングする。同様のALDプロセスによって、約20:1を超えるアスペクト比を伴いボイド内において90%より上のステップカバレッジが達成されることが測定された。本明細書における開示を考慮すると、当業者は、許容可能な堆積速度で相を飽和させ自己終結させるために、異なる反応チャンバーのための及び選択した異なる条件のための堆積条件を容易に改良、置換、または、そうではなく変更することができる。
【0061】
本明細書において説明したALDプロセスは、反応物の供給が、トレンチ及びビアの表面を飽和させるのに十分である限りは、圧力及び反応物の濃度に比較的非感受性であることが、有利である。その上、本プロセスは、低温で操作可能である。バックエンドプロセス(back-end process)中に熱供給を維持しながら比較的速い堆積速度を達成するためには、プロセスを通じて約200℃と500℃との間にワークピースの温度を維持することが、好ましい。温度は、さらに好ましくは、約350℃と400℃との間に維持し、最も好ましくは、380℃と400℃との間に維持する。チャンバー内の圧力は、milli Torrの範囲から大気圧以上の範囲にすることができるが、好ましくは、約1Torrと500Torrとの間に維持し、さらに好ましくは、約10Torrと100Torrとの間に維持する。
【0062】
【表1】
Figure 0004043785
上の表1は、デュアルダマシン構造のトレンチ及びコンタクトビア内への窒化チタン(TiN)バリアについてのALD用パラメータを示している。示したように、金属ソースガスには、四塩化チタン(TiCl4)が含まれ、キャリアガスには、窒素(N2)が含まれ、窒素ソースガスには、好ましくは、アンモニア(NH3)が含まれる。
【0063】
第1のサイクルの第1の相において、TiCl4は、デュアルダマシントレンチ及びコンタクトビアの(例えば、OH−またはNHx−が終端となった)第1の表面12上に化学吸着する。金属ソースガスには、他のプロセスパラメータの場合、ダマシン表面を飽和させるのに十分な割合のキャリア流が含まれることが、好ましい。チタン錯体の単層が、トレンチ及びビア表面上に残り、この単層は、クロライドにより自己終結する。第2の表面10上をコンディショニングしないことまたはコンディショニングを変えないことによって、TiCl4が第2の表面に吸着しないかまたはそれと反応しないことが、有利である。
【0064】
リアクターには、金属ソースガスをより小さい及び/またはより多い反応種へ変換する触媒が含まれることが望ましい。示した実施形態においては、好ましい反応チャンバーは、チタンの壁を有し、この壁によって、TiCl4がTiCl3へ変換されることが有利である。種は、小さい程、ビア内へ拡散しやすくなり、サイクルごとに占める反応可能な部位が多くなって、活性部位上への化学吸着がさらに容易となる。従って、触媒によって、堆積速度を高めることができる。当業者は、他の化学物質に対しては他の触媒を使用可能であることを容易に理解するだろう。
【0065】
TiCl4流を止めて引き続きキャリアガス流によりパージした後、NH3のパルスをワークピースに供給する。アンモニアには、他のプロセスパラメータの場合、金属含有単層の表面を飽和させるのに十分なキャリア流が含まれることが、好ましい。NH3は、配位子交換反応において金属単層のクロライド−終端表面と容易に反応し、窒化チタン(TiN)の単層を形成する。反応は、前に化学吸着された利用可能な金属クロライド錯体の数により制限される。アンモニアもキャリアガスもいずれもが、結果として生成した窒化チタン単層とはこれ以上反応はせず、窒素及びNHx架橋終端を有する単層が残る。好ましい温度及び圧力パラメータによって、さらに、アンモニアの金属単層への拡散が防止される。これらの条件下におけるNH3の反応物は、コンディショニングされていないまたはコンディショニングを変化させた(例えばブロック層または犠牲層を有する)第2の表面10とは反応しないことが、有利である。
【0066】
次のサイクルにおいて、第1の相では、TiCl4を導入し、TiCl4は、窒化チタンの単層の表面と容易に反応し、再度、クロライド−終端チタン層が残る。次に、第2のサイクルの第2の相は、第1のサイクルについて説明した通りである。これらのサイクルは、窒化チタンが所望の厚さに形成されるまで繰り返される。
【0067】
示した実施形態において、キャリアガスは、引き続き、各サイクルの両方の相の間一定の速度で流れる。ただし、交互に繰り返すガスパルスの間において、チャンバを排気することにより反応物を取り除くことができることは、理解されよう。1つの構成において、好ましいリアクターは、パルス堆積している間に一定の圧力を維持するためのハードウェア及びソフトウェアを組み込んでいる。1988年5月31日にPosaに発行された米国特許第4,747,367号明細書及び1988年8月2日にConger他に発行された米国特許第4,761,269号明細書の開示内容は、参照により本明細書に組み込まれたものとする。
【0068】
第1の表面は、(コンディショニングしていないまたは変化させた)第2の表面より(コンディショニングされた)第1の表面とより容易に反応するために、上記の堆積は、第1の表面に対して選択的となる。
【0069】
部分的に選択的である表面によって、所望の選択的な結果を得ることができることに留意されたい。例えば、いくつかのALDプロセスでは、特にALDプロセス自体が、金属上において競合エッチング反応を引き起こす場合には、絶縁体と比較してさらに遅い速度で金属上に堆積が行われることになる。同様に、材料が異なることにより、第2の表面に比較して第1の表面上における堆積速度が異なり得る。従って、部分的に選択的堆積をすることによって、金属表面と比較して絶縁表面上の層がより厚くなる。第1の表面上の所望の層をいくらか薄くすることになり、第2の表面上のより薄い層が完全にエッチングされた後にきっちり時間を合わせて停止される、次の等方性エッチングによって、このプロセスは、完全に選択的なものにすることができる。等方性エッチングは、米国特許第5,904,565号明細書に開示されているように、異方性エッチングより損傷が少なくさらに容易に達成されることが、有利である。
【0070】
次のステップにおいて、選択的バリア層26と露出された第2の表面10とを有する開口部22を銅で充填することができる。バリア層を選択的に形成した後、デュアルダマシン構造体を充填するために使用される方法及び堆積されたバリア層の導電性により異なるが、シード層が望ましい場合がある。示した実施形態においては、図示した窒化金属バリア上に銅の充填材を電気めっきすることが、望ましい。従って、バリア層26及び露出された第2の表面10の上に高導電性シード層をまず形成することが、好ましい。本技術分野において公知のように、シード層は、好ましくは金属層、さらに好ましくは銅を有し、多数のプロセスのどれによっても堆積させることができる。例えば、シード層は、例えばスパッタリングなどの物理気相成長法(PVD)、化学気相成長法(CVD)、原子層成長法(ALD)により形成することができる。CVDプロセスは、より高いステップカバレッジのシード層を堆積させるために利用可能である。有機金属CVD(MOCVD)技術が、例えば、Microelectronic Enginnering誌の第45巻第1号15〜27頁(1999年2月)の、Wolf他による「Cu(HFAC)VTMSを用いた銅化学気相成長プロセス及び装置のシュミレーション」に開示されており、該開示は、参照により本明細書に組み込まれたものとする。下にあるバリア層26が、導電性であれば、その上に、シード層を電気めっきまたは無電解めっき堆積することもできる。ALDによって前の窒化金属バリア層を形成した際に得られた高ステップカバレッジと関連して、このような方法は、多くのデュアルダマシンスキームに対して適切であり得る。
【0071】
シード層もALDにより形成することが、最も好ましい。付着、バリア及びシード層の1つまたはそれ以上を高ステップカバレッジで形成することにより体積が低減することによって、容量が大きくなり導電性充填材をより多く入れることができコンタクトビア及びトレンチが完全に充填される可能性が高まることから、配線の伝導率が高くなる。
【0072】
【表2】
Figure 0004043785
上の表2は、ALD純金属プロセスを示している。相を交互に繰り返すと、塩化銅が、まず吸着し、次にTEBにより還元される。塩化銅は、有機銅種と比較して小さい反応種であることから、ワークピース上における反応部位の迅速かつより完全な飽和が容易になることが、有利である。
【0073】
シード層を形成した後、無電解めっきまたは電気めっきにより導電材料で開口部を充填する。堆積は、開口部のボトムアップ充填を完了するのに十分な厚さまで進行する。
【0074】
本発明は、前述の説明において、いくつかの好ましい実施形態に言及することにより説明されている。ただし、当業者は、他のいくつかの同等の実施形態、または、本発明を実施する他の方法を考えることができるのは、明らかであり、本発明の精神及び範囲は、添付した特許請求の範囲の用語によってのみ限定される。
【図面の簡単な説明】
【図1】 非選択的バリアを含む、集積回路メタライゼーションスキームにおけるデュアルダマシン構造の概略断面図である。
【図2】 本発明の好ましい実施形態による、選択的バリアを含むデュアルダマシン構造の概略断面図である。
【図3】 好ましい実施形態に従って、二次加工の中間ステージによる絶縁表面の選択的コンディショニングを示した、部分的に二次加工されたデュアルダマシン構造の概略断面図である。
【図4】 本発明の好ましい実施形態による、バリア層を堆積させるためのガス流の典型的な図である。

Claims (31)

  1. 原子層成長プロセスを利用して層を選択的に堆積する方法であって、該方法は、
    第1の表面及び第2の表面を備える堆積基板を準備すること、及び、
    前記堆積基板を少なくとも2つの反応物流体に交互に繰り返し晒すことによって、前記第2の表面と比べて選択的に前記第1の表面に層をコーティングすることを含み、
    前記第1の表面及び第2の表面は、異なる材料組成を有しており
    前記第1の表面は、選択的にコーティングされる絶縁材料を含み、前記第2の表面は、導体を含む、
    原子層成長プロセスを利用して層を選択的に堆積する方法。
  2. 前記第1の表面は、集積回路内において絶縁層内の開口部を画定しており、前記第2の表面は、前記開口部により露出された金属エレメントで構成されている請求項に記載の方法。
  3. 選択的コーティングは、前記絶縁材料上にバリア材料を堆積させることを含む請求項に記載の方法。
  4. 前記バリア材料は、導電性であり、300μΩ・cm未満の抵抗率を有している請求項に記載の方法。
  5. 前記バリア材料は、窒化金属を含む請求項に記載の方法。
  6. 前記バリア材料は、窒化チタンを含む請求項に記載の方法。
  7. 前記バリア材料は、絶縁体である請求項に記載の方法。
  8. 前記反応物流体と反応させるために少なくとも前記第1の表面をコンディショニングすることをさらに含む請求項1に記載の方法。
  9. コンディショニングが、前記第1の表面上に選択的に配位子を形成することを含む請求項に記載の方法。
  10. コンディショニングが、前記第1の表面及び前記第2の表面上に配位子を形成し、次に、前記第2の表面上の前記配位子を変化させることを含む請求項に記載の方法。
  11. 前記配位子を変化させることは、該配位子を成長ブロック層へ変換することを含む請求項10に記載の方法。
  12. 前記配位子を変化させることは、前記第2の表面から選択的に前記配位子を除去することを含む請求項10に記載の方法。
  13. 前記配位子を除去することは、前記基板を加熱することを選択的に含む請求項12に記載の方法。
  14. 前記配位子を除去することは、還元環境に前記基板をさらすことを選択的に含む請求項13に記載の方法。
  15. 前記配位子を除去することは、さらに前記基板を真空にさらすことを選択的に含む請求項13に記載の方法。
  16. 前記配位子を除去することは、さらに前記基板を高圧にさらすことを選択的に含む請求項13に記載の方法。
  17. 前記配位子を除去することは、化学還元を選択的に含む請求項12に記載の方法。
  18. 前記配位子を除去することは、前記第2の表面をクリーニングすることを含む求項12に記載の方法。
  19. コンディショニングは、前記基板を水分にさらすことを含む請求項に記載の方法。
  20. 前記第1の表面は、酸化物の形態を備え、コンディショニングは、前記基板をソースHまたはOHラジカルにさらすことを含む請求項に記載の方法。
  21. コンディショニングは、前記第1の表面上に、ヒドロキシル、シアノ、NH2、NH、フルオロ、ブロモ、ヨード、クロロ、メチル、アルコキソ、β−ジケトネート及びイソプロポキソからなる群より選択された配位子を形成することを含む請求項に記載の方法。
  22. 前記第1の表面をコーティングする前に、さらに前記第2の表面上に犠牲層を形成することを含む請求項1に記載の方法。
  23. 前記犠牲層は、前記少なくとも2つの反応物流体にさらすことによるエッチングを受けやすい材料を含んでいる請求項22に記載の方法。
  24. 準備することは、金属エレメントを露出させるために絶縁層内の開口部をプラズマエッチングすることを含み、これによって、前記絶縁層上にはその上にコンディショニング配位子がある前記第1の表面が、金属エレメント上にはその上にコンディショニング配位子がない前記第2の表面が、生じる請求項に記載の方法。
  25. 前記選択的に堆積された層は、部分的に二次加工された集積回路内において開口部の絶縁側壁上にバリア層をさらに備え選択的コーティングは、
    導電表面は露出させたまま、前記部分的に二次加工された集積回路の絶縁表面上に配位子を形成すること、及び、
    前記絶縁表面上の前記配位子と反応する気相反応物を導入して、前記絶縁表面上にバリア材料を選択的に堆積すること、
    を含む請求項1に記載の方法
  26. 気相反応物を導入することは、原子層成長プロセスにおいて、少なくとも第1及び第2の気相反応物を交互に導入することを含む請求項25に記載の方法。
  27. 前記配位子は、ヒドロキシル、シアノ、NH2、NH、フルオロ、ブロモ、ヨード、クロロ、メチル、アルコキソ、β−ジケトネート、イソプロポキソからなる群より選択される請求項25に記載の方法。
  28. 前記導電表面から前記配位子を除去することをさらに含む請求項25に記載の方法。
  29. 前記配位子を除去することは、還元することを含む請求項28に記載の方法。
  30. 配位子を形成することは、前記絶縁表面を水分にさらすことを含む請求項25に記載の方法。
  31. 配位子を形成することは、ヒドロキシルテイルを形成することを含む請求項25に記載の方法。
JP2001519484A 1999-08-24 2000-08-24 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法 Expired - Lifetime JP4043785B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US15048699P 1999-08-24 1999-08-24
US60/150,486 1999-08-24
US15979999P 1999-10-15 1999-10-15
US60/159,799 1999-10-15
US17694400P 2000-01-18 2000-01-18
US60/176,944 2000-01-18
PCT/US2000/023252 WO2001015220A1 (en) 1999-08-24 2000-08-24 Method for bottomless deposition of barrier layers in integrated circuit metallization schemes

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007235613A Division JP5207690B2 (ja) 1999-08-24 2007-09-11 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法

Publications (2)

Publication Number Publication Date
JP2003508897A JP2003508897A (ja) 2003-03-04
JP4043785B2 true JP4043785B2 (ja) 2008-02-06

Family

ID=27386981

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001519484A Expired - Lifetime JP4043785B2 (ja) 1999-08-24 2000-08-24 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
JP2007235613A Expired - Fee Related JP5207690B2 (ja) 1999-08-24 2007-09-11 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2007235613A Expired - Fee Related JP5207690B2 (ja) 1999-08-24 2007-09-11 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法

Country Status (7)

Country Link
US (3) US6391785B1 (ja)
EP (1) EP1206799A1 (ja)
JP (2) JP4043785B2 (ja)
KR (1) KR100737305B1 (ja)
AU (1) AU6800300A (ja)
TW (1) TW478045B (ja)
WO (1) WO2001015220A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10941301B2 (en) 2017-12-28 2021-03-09 Tokyo Ohka Kogyo Co., Ltd. Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
CN1575518A (zh) * 2001-09-26 2005-02-02 应用材料有限公司 阻挡层和籽层的集成
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20030050672A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법
JP3941099B2 (ja) * 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100820780B1 (ko) * 2002-06-29 2008-04-10 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
JP4159824B2 (ja) * 2002-08-19 2008-10-01 富士通株式会社 半導体装置及びその製造方法
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
KR100466332B1 (ko) * 2002-12-14 2005-01-14 동부전자 주식회사 반도체 소자의 제조 방법
KR100476482B1 (ko) * 2002-12-14 2005-03-21 동부전자 주식회사 반도체 소자의 장벽 금속층 형성 방법
KR100477816B1 (ko) * 2002-12-30 2005-03-22 주식회사 하이닉스반도체 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
US20040126482A1 (en) * 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10306314B3 (de) * 2003-02-14 2004-10-28 Infineon Technologies Ag Verfahren zur Erzeugung leitfähiger Strukturen mit Submikrometerabmessungen mittels elektrochemischer Abscheidung
FR2851371B1 (fr) * 2003-02-18 2005-06-03 St Microelectronics Sa Procede de reparation d'un circuit electronique integre comprenant la realisation d'une isolation electrique
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4959333B2 (ja) * 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3819381B2 (ja) * 2003-07-07 2006-09-06 株式会社半導体理工学研究センター 多層配線構造の製造方法
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
KR100513801B1 (ko) * 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
JP2007516599A (ja) 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
MXPA06001857A (es) * 2003-08-20 2006-05-04 Hunter Douglas Persiana retractil con tablillas plegadizas.
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
DE10345461B3 (de) * 2003-09-30 2005-08-11 Infineon Technologies Ag Selektive sequentielle Gasphasenabscheidung und Verfahren zur Ausbildung von Kragenstrukturen für Lochgräben in Halbleitersubstraten
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
KR100621765B1 (ko) * 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP4537721B2 (ja) * 2004-02-02 2010-09-08 ルネサスエレクトロニクス株式会社 成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
EP1776321A1 (en) * 2004-06-24 2007-04-25 Beneq Oy Method for doping material and doped material
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7233071B2 (en) * 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
WO2006059261A2 (en) * 2004-12-01 2006-06-08 Koninklijke Philips Electronics N.V. A method of forming an interconnect structure on an integrated circuit die
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
JP2009508003A (ja) * 2005-09-08 2009-02-26 アプライド マテリアルズ インコーポレイテッド 大面積エレクトロニクス用のパターン形成無電解金属化処理
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070243452A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Reliable fuel cell electrode design
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2024533A1 (en) 2006-05-30 2009-02-18 Fuji Film Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
WO2008016650A2 (en) * 2006-07-31 2008-02-07 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100790897B1 (ko) * 2006-11-21 2008-01-03 삼성전자주식회사 반응성 이온을 이용한 원자층 증착 방법 및 그 장치
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100834283B1 (ko) 2006-12-28 2008-05-30 동부일렉트로닉스 주식회사 금속 배선 형성 방법
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
EP2109876B1 (en) 2007-02-13 2015-05-06 Fuji Film Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US9177908B2 (en) * 2007-04-30 2015-11-03 Taiwan Semiconductor Manufacturing Company, Limited Stacked semiconductor capacitor structure
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
JP2010539332A (ja) * 2007-09-13 2010-12-16 ヴェロシス インコーポレイテッド 多孔質無電解めっき
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
WO2009037531A1 (en) 2007-09-20 2009-03-26 Freescale Semiconductor, Inc. Improvements for reducing electromigration effect in an integrated circuit
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
TW200939510A (en) * 2007-11-19 2009-09-16 Applied Materials Inc Solar cell contact formation process using a patterned etchant material
WO2009067475A1 (en) * 2007-11-19 2009-05-28 Applied Materials, Inc. Crystalline solar cell metallization methods
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5597551B2 (ja) 2008-02-01 2014-10-01 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 移動基材のプラズマ表面処理の装置、方法および当該方法の使用
WO2009099325A1 (en) 2008-02-08 2009-08-13 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi_layer stack structure with improved wvtr barrier property
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
DE102008035815A1 (de) * 2008-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
US7951637B2 (en) * 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8323523B2 (en) * 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
GB201117242D0 (en) 2011-10-06 2011-11-16 Fujifilm Mfg Europe Bv Method and device for manufacturing a barrier layer on a flexible subtrate
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
WO2013106225A1 (en) 2012-01-12 2013-07-18 Applied Materials, Inc. Methods of manufacturing solar cell devices
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
JP5809596B2 (ja) 2012-05-07 2015-11-11 住友電気工業株式会社 半導体装置およびその製造方法
JP2013235895A (ja) * 2012-05-07 2013-11-21 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US11579344B2 (en) 2012-09-17 2023-02-14 Government Of The United States Of America, As Represented By The Secretary Of Commerce Metallic grating
US9580828B2 (en) 2012-09-17 2017-02-28 The United States of America, as represented by the Secretary of Commerce (NIST) Self-terminating growth of platinum by electrochemical deposition
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10276393B2 (en) 2015-01-26 2019-04-30 Kokusai Electric Corporation Method of manufacturing semiconductor device
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
CN106328578B (zh) * 2015-06-18 2019-05-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP6492289B2 (ja) * 2015-10-14 2019-04-03 パナソニックIpマネジメント株式会社 部品供給装置および部品装着装置
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US11028477B2 (en) 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
WO2017083469A1 (en) * 2015-11-13 2017-05-18 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
EP3424070B1 (en) 2016-03-03 2024-03-27 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
CN108778739B (zh) 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
WO2017189135A1 (en) 2016-04-25 2017-11-02 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10242885B2 (en) 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
KR102509390B1 (ko) 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US11033930B2 (en) * 2018-01-08 2021-06-15 Applied Materials, Inc. Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
DE102018131694A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
KR20210092840A (ko) 2018-12-14 2021-07-26 램 리써치 코포레이션 3d nand 구조체 상의 원자 층 증착
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10998263B2 (en) 2019-06-13 2021-05-04 International Business Machines Corporation Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device
KR20220047333A (ko) 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11286556B2 (en) * 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US11646226B2 (en) * 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
US11444024B2 (en) 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
US20220139772A1 (en) * 2020-11-02 2022-05-05 Intel Corporation Interconnect structures with area selective adhesion or barrier materials for low resistance vias in integrated circuits
JP7547189B2 (ja) 2020-12-21 2024-09-09 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022171414A (ja) 2021-04-30 2022-11-11 東京応化工業株式会社 表面処理方法、基板表面の領域選択的製膜方法及び表面処理剤
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill
JP7097482B1 (ja) 2021-07-26 2022-07-07 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
US20230029867A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Conductive structures with bottom-less barriers and liners
KR20230033128A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 반도체 소자
JP2023087564A (ja) 2021-12-13 2023-06-23 東京応化工業株式会社 基板表面処理方法、基板表面の領域選択的製膜方法、及び表面処理剤
TW202400828A (zh) * 2022-03-11 2024-01-01 美商蘭姆研究公司 選擇性沉積方法及化學品輸送系統
JP2024061924A (ja) 2022-10-24 2024-05-09 嶺南大學校 産學協力團 バリア層及びバリア層の形成方法並びに配線基板

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
ES2166774T3 (es) 1993-02-26 2002-05-01 Zeon Corp Composicion promotora del crecimiento de plantas.
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JP4236707B2 (ja) * 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US5953596A (en) * 1996-12-19 1999-09-14 Micron Technology, Inc. Methods of forming thin film transistors
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100282927B1 (ko) 1999-02-02 2001-02-15 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
KR100320743B1 (ko) 1999-03-04 2002-01-19 우재영 항산화, 미백, 보습, 면역증강 및 항여드름 작용을 나타내는 목질진흙버섯 자실체 및 배양균사체 추출물, 그 제조방법 및 용도
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10941301B2 (en) 2017-12-28 2021-03-09 Tokyo Ohka Kogyo Co., Ltd. Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate

Also Published As

Publication number Publication date
US20020155722A1 (en) 2002-10-24
WO2001015220A1 (en) 2001-03-01
KR100737305B1 (ko) 2007-07-09
EP1206799A1 (en) 2002-05-22
US6852635B2 (en) 2005-02-08
JP5207690B2 (ja) 2013-06-12
US20040121616A1 (en) 2004-06-24
AU6800300A (en) 2001-03-19
JP2008078647A (ja) 2008-04-03
US6391785B1 (en) 2002-05-21
KR20020047126A (ko) 2002-06-21
JP2003508897A (ja) 2003-03-04
TW478045B (en) 2002-03-01
US6664192B2 (en) 2003-12-16

Similar Documents

Publication Publication Date Title
JP4043785B2 (ja) 集積回路のメタライゼーションスキームにおけるバリア層のボトムレス堆積方法
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
JP6998945B2 (ja) ルテニウムライナーと共に銅のエレクトロマイグレーションを改善するドープされた選択的な金属キャップ
JP5057355B2 (ja) 集積回路内での金属層の選択的形成
US7405143B2 (en) Method for fabricating a seed layer
US6358829B2 (en) Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer
US20080242088A1 (en) Method of forming low resistivity copper film structures
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20080008823A1 (en) Deposition processes for tungsten-containing barrier layers
JP2003531474A5 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
JP2005513813A (ja) 基板上に半導体集積回路用銅配線を形成する方法
JP2006507677A (ja) シーリング多孔質構造
KR20150000507A (ko) 망간 및 망간 니트라이드들의 증착 방법들
WO2013082370A1 (en) Doped tantalum nitride for copper barrier applications
US6468907B2 (en) Method of manufacturing a copper wiring in a semiconductor device
KR101069630B1 (ko) 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
US20240213093A1 (en) Catalyst-enhanced chemical vapor deposition

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20050427

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070314

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070606

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070613

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070911

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071017

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071114

R150 Certificate of patent or registration of utility model

Ref document number: 4043785

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term