CN1575518A - 阻挡层和籽层的集成 - Google Patents

阻挡层和籽层的集成 Download PDF

Info

Publication number
CN1575518A
CN1575518A CNA028213084A CN02821308A CN1575518A CN 1575518 A CN1575518 A CN 1575518A CN A028213084 A CNA028213084 A CN A028213084A CN 02821308 A CN02821308 A CN 02821308A CN 1575518 A CN1575518 A CN 1575518A
Authority
CN
China
Prior art keywords
seed layer
layer
copper
metal
copper alloy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028213084A
Other languages
English (en)
Inventor
程华(音译)
陈凌(音译)
于基科(音译)
常美(音译)
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201110379185.8A priority Critical patent/CN102361004B/zh
Publication of CN1575518A publication Critical patent/CN1575518A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

本发明一般地涉及通过沉积阻挡层、在阻挡层上沉积籽层和在籽层上沉积导电层来填充特征。在一个实施方式中,籽层包括沉积在阻挡层上的铜合金籽层。例如,铜合金籽层可以包括铜和金属,如铝、镁、钛、锆、锡及其组合。在另一个实施方式中,籽层包括沉积在阻挡层上的铜合金籽层和沉积在铜合金籽层上的第二籽层。铜合金籽层可以包括铜和金属,如铝、镁、钛、锆、锡及其组合。第二籽层可以包括金属,如非掺杂铜。在仍另一个实施方式中,籽层包括第一籽层和第二籽层。第一籽层可以包括金属,如铝、镁、钛、锆、锡及其组合。第二籽层可以包括金属,如非掺杂铜。

Description

阻挡层和籽层的集成
技术领域
本发明一般地涉及沉积阻挡层和阻挡层之上的籽层的装置和方法。更具体地,本发明涉及沉积阻挡层和在阻挡层之上沉积包含铜和其它金属的籽层的装置和方法。
背景技术
对于下一代半导体器件大规模集成(VLSI)和超大规模集成(ULSI),可靠地制造亚微米或更小特征是关键之一。然而,由于电路技术的条纹受限制,VLSI和ULSI技术中互连的缩小的尺寸对加工能力设置了额外的要求。这种技术核心的多层面互连需要对高长宽比特征的精确加工,例如通路和其它互连。这些互连的可靠形成对VLSI和ULSI成功以及对提高各个衬底的电路密度和质量的连续努力都非常重要。
在电路密度增加时,通路、触点和其它特征,以及它们之间的介电材料的宽度减小到亚微米尺寸(例如,小于0.20微米或更小),然而介电层的厚度基本上不变,结果特征的长宽比即它们的高度除以宽度增加。许多传统的沉积工艺在填充长宽比超过4∶1的亚微米结构时有困难,特别是在长宽比超过10∶1时。因此,在形成具有高长宽比的基本上无空洞和无接缝的亚微米特征方面正在进行大量的努力。
目前,铜及其合金成为选择用于亚微米互连的金属,因为铜具有比铝更低的电阻率(1.7μΩ-cm,与铝的3.1μΩ-cm相比),以及更高的电流承载能力和高得多的电迁移阻力。这些性能对于支持在多层面集成时经历的更高电流密度和提高器件速度都非常重要。并且,铜具有良好的导热率并可在高纯状态获得。
铜金属化可以通过各种技术来实现。典型的方法通常包括在特征上物理气相沉积阻挡层,在阻挡层上物理气相沉积铜籽层,然后在铜籽层上电镀铜导电材料层以填充该特征。最后,所沉积的各层和介电层被平面化,例如通过化学机械抛光(CMP),以限定导电互连特征。
然而,使用铜的一个问题是铜扩散到硅、二氧化硅和其它介电材料中,可能损害器件的完整性。因此,保形阻挡层对防止铜扩散变得越来越重要。氮化钽已经被用作阻挡材料以防止铜扩散到下面的层中。然而,先前使用的氮化钽和其它阻挡层的一个问题是这些阻挡层对于在其上沉积铜是很差的浸润剂。例如,在这些阻挡层上沉积铜籽层时,铜籽层可能团聚并变得不连续,可能阻止在铜籽层上一致性地沉积铜导电材料层(例如电镀铜层)。在其它的例子中,对具有在这些阻挡层上沉积的铜层的衬底结构进行的高温下的随后处理可能导致反浸润和形成空洞。在仍另一个例子中,通过使用所述器件形成的器件中的热应力可能导致在铜层中产生空洞和器件损坏。因此,需要改善的互连结构和沉积互连结构的方法。
发明内容
本发明一般地涉及通过沉积阻挡层、在阻挡层上沉积籽层并在籽层上沉积导电层来填充特征。在一个实施方式中,籽层包括沉积在阻挡层上的铜合金籽层。例如,铜合金籽层可以包括铜和金属,如铝、镁、钛、锆、锡及其组合。在另一个实施方式中,籽层包括沉积在阻挡层上的铜合金籽层和沉积在铜合金籽层上的第二籽层。铜合金籽层可以包括铜和金属,如铝、镁、钛、锆、锡及其组合。第二籽层可以包括金属,如非掺杂铜。在仍另一个实施方式中,籽层包括第一籽层和第二籽层。第一籽层可以包括金属,如铝、镁、钛、锆、锡及其组合。第二籽层可以包括金属,如非掺杂铜。
附图说明
为了获得和详细理解上述的特征、优点和本发明的目的,可以参照在附图中示例说明的实施方式对上文中简要总结的本发明进行更具体的描述。
然而,应当注意,附图仅说明了本发明的典型实施方式,因此不认为是对其范围的限制,因为本发明可以应用到其它等效的实施方式中。
图1是可以用于通过原子层沉积形成一个或多个阻挡层的处理系统的一个实施方式的示意性截面图;
图2A是其上沉积有介电层的衬底的一个实施方式的示意性截面图。
图2B是在图2A的衬底结构上形成阻挡层的一个实施方式的示意性截面图。
图3A-C说明在阻挡层形成的阶段在衬底的一部分上交替化学吸附含钽化合物和含氮化合物的单层的一个实施方式。
图4是可以用于沉积铜合金籽层的能够化学气相沉积的处理系统的一个实施方式的示意性截面图。
图5A-C是在图2B的阻挡层上沉积籽层的实施方式的示意性截面图。
图6是多室处理系统的一个例子的示意性顶视图。
具体实施方式
适合于沉积阻挡层的处理室
图1是处理系统10的一个示例性实施方式的示意性截面图,该处理系统可以用于按照本发明的方案通过原子层沉积形成一个或多个阻挡层。当然,也可以使用其它的处理系统。
处理系统10通常包括处理室100、气体面板130、控制单元110、电源106和真空泵102。处理室100通常容纳支座150,该支座用于在处理室100内支撑例如半导体晶片190的衬底。
在处理室100中,支座150可以通过嵌入的加热元件170来加热。例如,支座可以通过从AC电源向加热元件170提供电流而被电阻加热。依次,晶片190被支座150加热,并可以保持在所需的处理温度范围内,例如,取决于特定的处理,在大约20℃和大约1000℃之间的范围内。
温度传感器172如热电偶可以被嵌入到晶片支座150中以监测支座温度。例如,所测得的温度可以用于反馈回路以控制从电源106施加到加热元件170的电流,使得晶片温度可以被保持或被控制在所需的温度或对于某一处理应用合适的所需温度范围内。支座150也可以使用辐射加热(未示出)或其它加热方法来加热。
真空泵102可以被用于从处理室100抽出处理气体,并且可以用于帮助在处理室100内部维持所需的压力或压力范围内的所需压力。穿过处理室100壁的孔120被用于将处理气体导入到处理室100中。孔120的尺寸通常取决于处理室100的尺寸。
孔120通过阀125被部分地连接到气体面板130。气体面板130可以设置成从两个或更多的气体源135、136通过孔120和阀125向处理室100接收并且然后提供最终的处理气体。气体源135、136可以存储在室温下呈液态的前体,随后,该前体在气体面板130中时被加热,从而将前体转变成蒸气态,导入到处理室100中。气体源135、136也可以适于通过使用载气提供前体。气体面板130可以进而设置成从清洗气体源138通过孔120和阀125向处理室100接收并且然后提供清洗气体。喷头160可以连接到孔120,从而向支座150上的晶片190供给处理气体、清洗气体或其它气体。
喷头160和支座150可以用作用于提供电场而触发等离子体的分开的电极。RF电源162可以连接到喷头160,RF电源163可以连接到支座150,或者RF电源162、163可以分别连接到喷头160和支座150。匹配网络164可以连接到RF电源162、163,可以连接到控制单元110,从而控制提供给RF电源162、163的电力。
控制单元110,如可编程个人计算机、工作站计算机等,也可以设置成在晶片处理程度的不同阶段中控制通过气体面板130以及阀125的不同处理气体的流动。示例性地,控制单元110包括中央处理单元(CPU)112、支持电路114和含有相关的控制软件113的存储器116。除了控制通过气体面板130的处理气体,控制单元110可以设置成负责用于晶片处理中其它行为的自动控制,如晶片输送、温度控制、处理室抽气,与其它行为一起,其中的一些将在本文的其它位置进行描述。
控制单元110可以是用在工业背景中的任何形式的通用计算机处理器中的一种,用于控制不同的处理室和子处理器。CPU112可以使用任何合适的存储器116,如随机存取存储器、只读存储器、软盘驱动器、硬盘,或任何其它形式的数据存储,本地的或远程的。可以将不同的支持电路连接到CPU112,用于支持系统10。所需的软件例程113可以存储在存储器116中或由位于远程(未示出)的第二计算机处理器执行。通过集合地称作信号总线118的大量数字电缆处理控制单元110和晶片处理系统10的各种其它部件之间的双向通信,其中一些如图1。
阻挡层形成
图1所述的示例性的处理室可以用于实施如下的工艺。当然,也可以使用其它的处理室。图2A-2B说明按照本发明的一个或更多的方案用于制作互连结构的阻挡层形成的一个示例性实施方式。
图2A是其上沉积有介电层202的衬底200的一个实施方式的示意性截面图。取决于处理阶段,衬底200可以是硅半导体晶片或在晶片上形成的其它材料层。介电层202可以是氧化物、氧化硅、碳硅氧化物、氟硅、多孔电介质,或其它合适的电介质,所述电介质被形成和图案化以提供延伸到衬底200的露出表面部分202T的接触孔或通路202H。为了清楚,衬底200指在其上进行薄膜处理的任何工件,衬底结构250用于表示衬底200以及在衬底200上形成的其它材料层,如介电层202。本领域的技术人员也应当理解,本发明可以用于双镶嵌工艺流程。
图2B是通过原子层沉积(ALD)在图2A的衬底结构250上形成阻挡层204的一个实施方式的示意性截面图。优选地,阻挡层包括氮化钽层。可以使用的其它阻挡层材料的例子包括钛(Ti)、氮化钛(TiN)、钛硅氮化物(TiSiN)、钽(Ta)、钽硅氮化物(TaSiN)、钨(W)、氮化钨(WN)、钨硅氮化物(WSiN),以及其组合。
为了清楚的原因,将参照包含氮化钽阻挡层的阻挡层的一个实施方式来更详细地描述阻挡层的沉积。在一个方面,氮化钽阻挡层的原子层沉积顺序包括向处理室中提供含钽的化合物和含氮的化合物,如图1的处理室。顺序提供含钽化合物和含氮化合物可能导致在衬底结构250上交替化学吸附含钽化合物的多个单层和含氮化合物的多个单层。
图3A-C说明在集成电路制造阶段中在衬底300的示例部分上交替化学吸附含钽化合物的多个单层和含氮化合物的多个单层,更具体地是在阻挡层形成阶段。在图3A中,通过向处理室如图1所示的处理室中导入含钽化合物305的一个脉冲,在衬底300上化学吸附含钽化合物的单层。认为用于吸附含钽化合物305的单层的化学吸附工艺是自限性的,原因在于由于衬底的表面具有有限数量的用于化学吸附含钽化合物的位置,在给定的脉冲期间仅一个单层被化学吸附到衬底300的表面上。一旦有限数量的位置被含钽化合物305占据,将阻止任何含钽化合物的进一步化学吸附。
含钽化合物305典型地包括带有一个或更多的活性物质315的钽原子310。在一个实施方式中,含钽化合物可以是钽基有机金属前体或其衍生物。优选地,有机金属前体是戊二甲胺钽(pentadimethylamino-tantalum)(PDMAT;Ta(NMe2)5)。PDMAT由于许多原因可以具有优点。PDMAT相对稳定。PDMAT具有适当的蒸气压,这使得供给容易。特别地,可以按低卤化物含量来制造PDMAT。PDMAT的卤化物含量可以按小于100ppm的卤化物含量来制造,并且甚至可以按小于30ppm或甚至小于5ppm的卤化物含量来制造。不希望受理论限制,相信低卤化物含量的有机金属前体是有益的,因为阻挡层中所含的卤化物(如氯)可能侵蚀其上沉积的铜层。
含钽化合物可以是其它的有机金属前体或其衍生物,诸如但不限于戊乙基甲胺钽(pentaethylmethylamino-tantalum)(PEMAT:Ta[N(C2H5CH3)2]5)、戊二乙胺钽(pentadiethylamino-tantalum)(PDEAT:Ta(NEt2)5),以及PEMAT、PDEAT或PDMAT的任何和所有衍生物。其它的含钽化合物包括但不限于TBTDET(Ta(NEt2)3NC4H9或C16H39N4Ta)和钽卤化物,例如TaX5,其中X是氟(F)、溴(Br)或氯(Cl),及其衍生物。
含钽化合物可以作为气体来提供或者在载气的帮助下来提供。可以使用的载气包括但不限于氦(He)、氩(Ar)、氮(N2)和氢(H2)。
在含钽化合物的单层被化学吸附到衬底300之后,过量的含钽化合物通过向处理室中导入一个脉冲的清洗气体从处理室中去除。可以使用的清洗气体包括但不限于氦(He)、氩(Ar)、氮(N2)、氢(H2)和其它气体。
参照图3B,在清洗处理室之后,向处理室内导入一个脉冲的含氮化合物325。可以单独地提供含氮化合物325,或者在载气的帮助下提供。含氮化合物325可以包括带有一个或更多的活性物质335的氮原子330。含氮化合物优选地包括氨气(NH3)。其它可以使用的含氮化合物包括但不限于:x和y为整数的NxHy(例如联氨(N2H4)),二甲基联氨((CH3)2N2H2),丁基联氨(C4H9N2H3),苯基联氨(C6H5N2H3),其它的联氨衍生物,氮等离子体源(例如N2、N2/H2、NH3或N2H4等离子体),2,2’-偶氮异丁烷((CH3)6C2N2),乙基叠氮(C2H5N3),和其它合适的气体。如果需要,可以使用载气供给含氮化合物。
含氮化合物325的单层可以化学吸附在含钽化合物305的单层上。在原子层沉积(ALD)期间表面上前体的成分和结构并未准确知道。不希望受理论限制,相信化学吸附的单层含氮化合物325与单层含钽化合物305反应以形成氮化钽层309。反应物质315、335形成可以通过真空系统从衬底表面输送的副产物340。相信含氮化合物325与含钽化合物305的反应是自限性的,因为只有一个单层的含钽化合物305化学吸附在衬底表面上。在另一理论中,前体在衬底表面上时可以处于中间状态。此外,所沉积的氮化钽层也可以含有多于钽(Ta)和氮(N)简单元素的元素;而是,氮化钽层也可以包含具有碳(C)、氢(H)和/或氧(O)的更复杂的分子。
在含氮化合物325的单层吸附在含钽化合物的单层上之后,通过向处理室内导入另一脉冲的清洗气体去除任何过量的含氮化合物。然后,如图3C所示,如果需要,含钽化合物和含氮化合物单层的交替化学吸附的氮化钽层沉积顺序可以重复,直到达到所需的氮化钽厚度。
在图3A-3C中,氮化钽层形成被描述为从在衬底上化学吸附单层的含钽化合物开始,接着是单层的含氮化合物。可选地,氮化钽层形成可以从在衬底上化学吸附单层的含氮化合物开始,接着是单层的含钽化合物。此外,在可选的实施方式中,在反应气体的脉冲之间泵抽气单独地可以用于防止反应气体的混合。
对于含钽化合物、含氮化合物和清洗气体的每一个脉冲,持续时间是可变的并取决于所采用的处理室的体积容量以及与之相连的真空系统。例如,(1)较低的气体压力需要较长的脉冲时间;(2)较低的气体流速需要较长的时间使室压上升和稳定,需要较长的脉冲时间;(3)大体积处理室要花费较长的时间填充,较长的时间使室压稳定,从而需要较长的脉冲时间。类似地,每个脉冲之间的时间也是可变的并取决于处理室的体积容量以及与之相连的真空系统。通常,含钽化合物或含氮化合物的一个脉冲的持续时间对于化学吸附一个单层的该化合物应当足够长。通常,清洗气体的脉冲时间足够长,以去除反应副产物和/或留在处理室中的任何残留材料。
通常,对于含钽化合物大约1.0秒或更少的脉冲时间和对于含氮化合物大约1.0秒或更少的脉冲时间典型地足以在衬底上化学吸附交替的单层。对于清洗气体大约1.0秒或更少的脉冲时间典型地足以去除反应副产物以及留在处理室中的任何残留材料。当然,可以使用较长的脉冲时间以确保含钽化合物和含氮化合物的化学吸附,并确保反应副产物的去除。
在原子层沉积期间,衬底可以大致维持在选定的含钽化合物的热分解温度以下。对于本文中验证的含钽化合物,在小于100托优选小于50托的处理室压力下,所使用的示例性加热器温度范围大致在大约20℃和大约500℃之间。当含钽气体是PDMAT时,加热器温度优选在大约100℃和大约300℃之间,更优选在大约175℃和大约250℃之间。在其它的实施方式中,应当理解可以使用其它的温度。例如,可以使用高于热分解温度的温度。然而,该温度应当被选择使得多于50%的沉积行为通过化学吸附过程来进行。在另一个例子中,可以使用高于热分解温度的温度,其中在每一个前体沉积期间分解量受限制,使得生长模式类似于原子层沉积生长模式。
在处理室中,如在图1的处理室中通过原子层沉积而沉积氮化钽层的一个示例性的工艺顺序包括在大约100sccm和大约1000sccm之间优选地在大约200sccm和大约500sccm之间的流速下提供戊二甲胺钽(PDMAT)大约1.0秒或更少的时间周期,在大约100sccm和大约1000sccm之间优选地在大约200sccm和大约500sccm之间的流速下提供氨大约1.0秒或更少的时间周期,并且在大约100sccm和大约1000sccm之间优选地在大约200sccm和大约500sccm之间的流速下提供清洗气体大约1.0秒或更少。加热器温度优选地保持在大约100℃和大约300℃之间,处理室压力在大约1.0和大约5.0托之间。这种工艺提供厚度在大约0.5埃和大约1.0埃每循环之间的厚度。可以重复交替的顺序,直到达到所需的厚度。
在一个实施方式中,阻挡层如氮化钽阻挡层被沉积成大约50埃或更少的侧壁覆盖度。在另一个实施方式中,阻挡层被沉积成大约20埃或更少的侧壁覆盖度。在仍另一个实施方式中,阻挡层被沉积成大约主0埃或更少的侧壁覆盖度。厚度大约10埃或更少的阻挡层被认为是足以防止铜扩散的阻挡层。在一个方面,薄阻挡层的优点是可以用于填充具有高长宽比的亚微米或更小的特征。当然,可以使用具有大于50埃的侧壁覆盖度的阻挡层。
阻挡层可以被进一步等离子体退火。在一个实施方式中,阻挡层可以用氩等离子体或氩/氢等离子体来进行等离子体退火。对于200mm直径的衬底,提供给RF电极的RF电源可以在大约100W和大约2000W、优选地在大约500W和大约1000W之间,对于300mm直径的衬底,优选在大约1000W和大约2000W之间。处理室的压力可以小于100托,优选地在0.1托和大约5托之间,更优选地在大约1托和3托之间。加热器温度可以在大约20℃和大约500℃之间。等离子体退火可以在一次循环、多次循环或在形成阻挡层之后进行。
在上文中,阻挡层原子层沉积的实施方式被描述成在衬底上反应物单层的化学吸附。本发明也包括其中反应物被沉积成多于或少于一个单层的实施方式。本发明也包括其中反应物没有按自限性的方式沉积的实施方式。本发明也包括其中其中阻挡层204主要按化学气相沉积工艺沉积的实施方式,在化学气相沉积中反应物被顺序或同时供给。本发明也包括其中阻挡层204按物理气相沉积工艺沉积的实施方式,在物理气相沉积中靶包括待沉积的材料(即氮气中的钽靶,用于沉积氮化钽)。
适于沉积籽层的处理室
在一个实施方式中,籽层可通过任何合适的技术而沉积,如物理气相沉积、化学气相沉积、无电沉积或这些技术的组合。用于沉积籽层的合适的物理气相沉积技术包括高密度等离子体物理气相沉积(HDP PVD)或校准的或长行程的溅射。一种类型的HDP PVD是自离子化的等离子体物理气相沉积。能够自离子化等离子体物理气相沉积籽层的处理室的一个例子是SIPTM室,可以从AppliedMaterials,Inc.of Santa Clara,California获得。在名称为“RotatingSputter Magnetron Assembly”的美国专利6,183,614中描述了能够自离子化等离子体物理气相沉积的处理室的示例性实施方式,该专利在与本发明不矛盾的情形下通过引用结合在本文中。
图4是可以用于沉积籽层的能够物理气相沉积的处理系统410的一个实施方式的示意性截面图。当然,也可以使用其它的处理系统和其它类型的物理气相沉积。
处理系统410包括真空室412,密封至PVD靶414,该靶由要溅射沉积在晶片416上的材料组成,该晶片固定在加热器座418上。固定在处理室内的罩420保护处理室412的壁不受所溅射的材料的影响并提供阳极接地面。可选的DC电源422使靶414相对于罩420负偏置。
气体源424通过质流控制器426向处理室412提供溅射工作气体,典型地是化学惰性的气体氩。真空系统428将处理室保持在低压下。基于计算机的控制器430控制包括DC电源422和质流控制器426的反应器。
当氩被允许进入处理室时,靶414和罩420之间的DC电压将氩激发成等离子体,并且充正电的氩离子被吸引到充负电的靶414。离子以足够的能量轰击靶414,并导致靶原子或原子束从靶414处被溅射。一些靶粒子轰击晶片416,从而沉积在其上,从而形成靶材料的薄膜。
为了提供有效的溅射,在靶414的背面设置磁控管432。它具有在磁体434、436附近在处理室内产生磁场的相对的磁体434、436。磁场俘获电子,为了保持电中性,离子密度也增加,从而邻近磁控管432在处理室内形成高密度等离子体区438。磁控管432通常绕位于靶414中心处的旋转轴458旋转,从而在靶414的溅射时实现完全覆盖。
座418产生DC自偏置,从等离子体吸引离子化的粒子穿过邻近晶片416的等离子体鞘。该效应可以由座电极418的附加DC或RF偏置来加强,以对穿过等离子鞘的离子化的粒子朝晶片416附加加速,从而控制溅射沉积的方向性。
籽层形成
图4所示的示例性处理室可以用于实施以下的工艺。当然,可以使用其它的处理室。图5A-5C是在阻挡层上沉积籽层的示例性实施方式的示意性截面图。
图5A所示的一个实施方式包括在图2B的阻挡层204上沉积铜合金籽层502,以及在籽层502上沉积铜导电材料层506,以填充特征。在本说明书中使用的术语“铜导电材料层”被限定为包括铜或铜合金的层。铜合金籽层502包括有助于其上随后的材料沉积的铜金属合金。铜合金籽层502可以包括铜和第二金属,如铝、镁、钛、锆、锡、其它金属,以及其组合。第二金属优选包括铝、镁、钛,及其组合,并且更优选包括铝。在某些实施方式中,铜合金籽层按照具有大约0.001原子百分比、大约0.01原子百分比或大约0.1原子百分比的下限和具有大约5.0原子百分比、大约2.0原子百分比或大约1.0原子百分比的上限的浓度包括第二金属。在从任何下限到任何上限的范围内的第二金属的浓度包括在本发明的范围内。铜合金籽层502中第二金属的浓度优选小于大约5.0原子百分比,以降低铜合金籽层502的电阻。在本说明书中使用的术语“层”被限定为一个或更多的层。例如,对于包括铜和浓度在大约0.001百分比和大约5.0原子百分比之间范围内的第二金属的铜合金籽层502,铜合金籽层502可以包括多个层,其中多层的总成分包括铜和浓度在大约0.001原子百分比和大约5.0原子百分比之间的第二金属。为了说明,包括多个层的铜合金籽层502,其中多层的总成分包括铜和浓度在大约0.001原子百分比和大约5.0原子百分比之间的第二金属,其例子可以包括含第二金属的第一籽层和含铜的第二籽层,可以包括含铜/第二金属合金的第一籽层和含铜/第二金属合金的第二籽层,或者可以包括含铜/第二金属合金的第一籽层和含铜的第二籽层等。
铜合金籽层502被沉积到对特征的侧壁的覆盖度至少大约5埃的厚度,或者被沉积到对特征的侧壁的覆盖度至少连续的厚度。在一个实施方式中,铜合金籽层502被沉积在场区域到大约10埃和大约2000埃之间的厚度,对于通过物理气相沉积而沉积的铜合金籽层502,优选在大约500埃和大约1000埃之间。
图5B所示的另一实施方式包括在图2B的阻挡层204上沉积铜合金籽层512、在铜合金籽层512上沉积第二籽层514、以及在第二籽层514上沉积铜导电材料层516,以填充特征。铜合金籽层512包括有助于其上随后沉积材料的铜金属合金。铜合金籽层512可以包括铜和第二金属,如铝、镁、钛、锆、锡、其它金属及其组合。第二金属优选包括铝、镁、钛及其组合,并且更优选包括铝。在某些实施方式中,铜合金籽层按具有大约0.001原子百分比、大约0.01原子百分比或大约0.1原子百分比的下限并且具有大约5.0原子百分比、大约2.0原子百分比或大约1.0原子百分比的上限的浓度包括第二金属。第二金属从任何下限到任何上限的范围内的浓度属于本发明的范围。在一个实施方式中,第二籽层514包括非掺杂铜(即纯铜)。在一个方面,由于电阻率低于相同厚度的铜合金籽层512,并且由于较高的耐表面氧化性,使用包括非掺杂铜的第二籽层514。
铜合金籽层512可以在特征的侧壁上被沉积到小于一个单层的厚度(即亚单层厚度或不连续层)。在一个实施方式中,在场区域处铜合金籽层512和第二籽层514的结合厚度在大约10埃和大约2000埃之间,对于采用物理气相沉积而沉积的铜合金籽层512和第二籽层514,优选在大约500埃和大约1000埃之间。
图5C所示的另一实施方式包括在图2B的阻挡层204上沉积第一籽层523,以及在第二籽层524上沉积铜导电材料层526,以填充特征。第一籽层523包括从铝、镁、钛、锆、锡及其组合构成的组中选择的金属。优选地,第一籽层包括铝。在一个实施方式中,第二籽层514包括非掺杂铜(即纯铜)。
第一籽层523可以在特征的侧壁上被沉积到小于一个单层的厚度(即亚单层厚度或不连续层)。在一个实施方式中第一籽层被沉积到小于大约50埃侧壁覆盖度、优选小于大约40埃侧壁覆盖度的厚度,以降低组合籽层的电阻。在场区域处第一籽层523和第二籽层524的组合厚度在大约10埃和大约2000埃之间,对于采用物理气相沉积而沉积的第一籽层523和第二籽层524,优选地在大约500埃和大约1000埃之间。
铜合金籽层502、512,第一籽层523或第二籽层514、524可以通过如下的技术来沉积,包括物理气相沉积、化学气相沉积、原子层沉积、无电沉积或这些技术的组合。通常,如果使用物理气相沉积技术来沉积籽层,则如图4所述的处理室412的处理室包括如靶414的靶,所述靶具有类似于希望沉积的金属或金属合金的成分。例如,为了沉积铜合金籽层502、512,靶可以包括铜和第二金属,如铝、镁、钛、锆、锡、其它金属及其组合。第二金属优选包括铝。在某些实施方式中,靶包括按具有大约0.001原子百分比、大约0.01原子百分比或大约0.1原子百分比的下限和具有大约5.0原子百分比、大约2.0原子百分比或大约1.0原子百分比的上限的浓度的第二金属。从任何下限到任何上限的范围内第二金属的浓度属于本发明的范围。在另一个例子中,为了沉积第一籽层523,靶包括从铝、镁、钛、锆、锡及其组合构成的组中选择的金属。如果籽层通过化学气相沉积或原子层沉积来沉积,则如图1所示的处理室的处理室适于供给要沉积的金属或金属合金的合适的金属前体。
在如图4所示的处理室的处理室中通过物理气相沉积来沉积籽层的一个示例性工艺包括使用要沉积的材料的靶。处理室可以保持在大约0.1毫托和大约10毫托之间的压力下。靶可以在大约5千瓦和大约100千瓦之间的功率下DC偏置。座可以在大约0和大约1000瓦的功率下RF偏置。座可以不被加热(即室温)。
铜导电材料层506、516、526可以通过电镀、物理气相沉积、化学气相沉积、无电沉积或这些技术的组合而沉积。优选地,由于在电镀工艺中可以获得自下而上的生长,铜导电材料层506、516、526通过电镀来沉积。在2000年9月5日发布、名称为“Electro DepositionChemistry”的美国专利6,113,771中描述了一个示例性的电镀方法,并且在不与本发明矛盾的情形下通过引用结合在本文中。
已经观察到在与阻挡层上的非掺杂铜籽层相比时,如铜-铝籽层的铜合金籽层在阻挡层上具有改善的粘附性。由于铜合金籽层在阻挡层上具有良好的粘附性,铜合金籽层对于在其上沉积的材料用作良好的浸润剂。不希望受理论限制,相信铜和铜籽层中的其它金属的浓度提供具有良好浸润性能和良好电性质的籽层。进一步相信由于铜合金籽层对于其上材料的粘附性提供了改善的界面,可以使用具有小于一个单层的总厚度的铜合金籽层,只要如非掺杂籽层的第二籽层被沉积在上面以提供至少一个组合的连续籽层。
类似地,已经观察到在与阻挡层上的非掺杂籽层相比时,如铝籽层的金属籽层在阻挡层上提供了改善的粘附性。因为金属籽层在阻挡层上具有良好的粘附性,金属籽层对于其上沉积的材料用作良好的浸润剂。不希望受理论限制,相信由于金属层对于其上材料的粘附提供了改善的界面,如金属层上沉积的非掺杂铜籽层,可以使用具有小于一个单层的总厚度的如铝籽层的金属籽层。
本文中公开的籽层在阻挡层上具有改善的粘附性,并且对于其上沉积的材料具有良好的浸润性。因此,通过在铜导电材料层沉积期间、在随后的高温处理期间、以及在器件使用时的热应力下减少团聚、反浸润或铜导电材料层中空洞的形成的可能性,籽晶层改善了器件的可靠性。
在一个方面,籽层可以和任何阻挡层一起使用,并且可以和通过任何技术沉积的阻挡层一起使用。籽层可以通过任何沉积技术来沉积。并且,可以通过任何沉积技术在籽层上沉积如铜导电材料层的导电材料层。
本发明可以填充具有小于大约0.2微米开口宽度并且具有大于大约4∶1、大约6∶1或大约10∶1的长宽比的窗口的优点。
本文中公开的工艺可以在独立的处理室中进行,或者在具有多个处理室的多室处理系统中进行。图6是适于进行本文中公开的工艺的多室处理系统600的一个例子的示意性顶视图。该设备是一个ENDURATM系统,并可以从Applied Materials,Inc.,of Santa Clara,California购得。在1993年2月16日发布、名称为“Stage VacuumWafer Processing System and Method”(Tepman等人)的美国专利5,186,718中公开了类似的多室处理系统,其中在不与本公开矛盾的情形下通过引用结合在本文中。系统600的具体实施方式用来说明本发明,不应用于限制本发明的范围。
系统600通常包括加载闸室602、604,用于把衬底传送进和传送出系统600。典型地,由于该系统600处于真空下,加载闸室602、604可以将引入系统600的衬底“抽下去”。第一机械手610可以在加载闸室602、604、处理室612、614、传送室622、624和其它的室616、618之间传送衬底。第二机械手630可以在处理室632、634、636、638和传送室622、624之间传送衬底。如果对于将由系统600进行的特定工艺没有必要,可以从系统600中去除处理室612、614、632、634、636、638。
在一个实施方式中,系统600被设置使得处理室634适于沉积铜合金籽层502。例如,用于沉积铜合金籽层502的处理室634可以是一个物理气相沉积室、一个化学气相沉积室或一个原子层沉积室。系统600可以进一步设置成使得处理室632适于沉积阻挡层204,其中铜合金籽层502适于被沉积在阻挡层上。例如,用于沉积阻挡层204的处理室632可以是原子层沉积室、化学气相沉积室或物理气相沉积室。在一个特定实施方式中,处理室632可以是原子层沉积室,如图1所示的处理室,而处理室634可以是物理气相沉积室,如图4所示的处理室。
在另一个实施方式中,系统600被设置使得处理室634适于沉积铜合金籽层512,并且使得处理室636适于在铜合金籽层512上沉积第二籽层514。例如,用于沉积铜  合金籽层512的处理室634和/或用于沉积第二籽层的处理室636可以是物理气相沉积室、化学气相沉积室或原子层沉积室。系统600可以进一步被设置使得处理室632适于沉积阻挡层204,其中铜合金籽层512被沉积在阻挡层上。例如,用于沉积阻挡层204的处理室632可以是原子层沉积室、化学气相沉积室或物理气相沉积室。在一个特定实施方式中,处理室632可以是原子层沉积室,如图1所示的处理室,而处理室634、636可以是物理气相沉积室,如图4所示的处理室。
在另一个实施方式,系统600被设置使得处理室634适于沉积金属籽层523,并且使得处理室636适于在金属籽层523上沉积第二籽层。例如,用于沉积金属籽层523的处理室634和/或用于沉积第二籽层524的处理室636可以是物理气相沉积室、化学气相沉积室或原子层沉积室。该系统可以进一步被设置使得处理室632适于沉积阻挡层204,其中在阻挡层上沉积金属籽层523。例如,用于沉积阻挡层204的处理室632可以是原子层沉积室、化学气相沉积室或物理气相沉积室。在一个特定实施方式中,处理室632可以是原子层沉积室,如图1所示的处理室,而处理室634、636可以是物理气相沉积室,如图4所示的处理室。
在一个方面,可以在真空下的多室处理系统中进行阻挡层204和籽层(如铜合金籽层502、铜合金籽层512和第二籽层514,或金属籽层523和第二籽层524)的沉积,以防止空气或其它的杂质进入各层中,并保持阻挡层204上的籽结构。
处理室600的其它实施方式属于本发明的范围。例如,在系统上特定处理室的位置可以改变。在另一个例子中,单处理室可以适于沉积两个不同的层。
实例
实例1
通过原子层沉积在衬底上沉积TaN层,达到大约20埃的厚度。在TaN层上通过物理气相沉积沉积籽层,达到大约100埃的厚度。籽层包括以下组成中的任一个:1)采用含非掺杂铜的靶沉积的非掺杂铜,2)采用含铝浓度为大约2.0原子百分比的铜-铝靶沉积的含铝浓度大约2.0原子百分比的铜合金,3)采用含锡浓度为大约2.0原子百分比的铜-锡靶沉积的含锡浓度大约2.0原子百分比的铜合金,或4)采用含锆浓度为大约2.0原子百分比的铜-锆靶沉积的含锆浓度大约2.0原子百分比的铜合金。在氮(N2)和氢(H2)的环境中,所得的衬底在大约380℃下退火大约15分钟的一段时间。
扫描电子显微照片表现出在退火后非掺杂铜层的团聚。铜-锆合金表现出少于非掺杂铜的团聚。铜-锡合金表现出少于铜-锆合金的团聚。铜-铝合金表现出不明显的团聚。
实例2
采用含铝浓度大约2.0原子百分比的铜-铝靶,通过物理气相沉积将含大约2.0原子百分比的铝的铜-铝合金膜沉积在不同的衬底上。所得的衬底包括1)在ALD TaN层上沉积的厚度大约50埃的铜-铝层,2)在大约100埃的Ta层上沉积到大约50埃厚度的铜-铝层,3)在ALD TaN层上沉积到大约100埃厚度的铜-铝层,4)在氮化硅(SiN)层上沉积到大约100埃的厚度的铜-铝层,和5)在氧化硅层上沉积到大约100埃厚度的铜-铝层。在氮(N2)和氢(H2)的环境中,所得的衬底在大约380℃下退火大约15分钟的一段时间。扫描电子显微照片表现出在各种衬底上的铜-铝合金没有明显的团聚。
实例3
采用含铝浓度大约2.0原子百分比的铜-铝合金靶,通过物理气相沉积将含铝浓度大约2.0原子百分比的铜-铝合金膜在ALD TaN层上沉积到50埃或100埃的厚度。在氮(N2)和氢(H2)的环境中,所得的衬底在大约380℃、大约450℃或大约500℃的温度下退火大约15分钟的一段时间。扫描电子显微照片表现出对于在大约380℃或大约450℃温度下退火的衬底铜-铝合金没有明显的团聚。对于在大约500℃的温度下退火的衬底铜-铝合金表现出开始发生某些反浸润。
实例4
采用含铝浓度大约2.0原子百分比的铜-铝合金靶,通过物理气相沉积将含铝浓度大约2.0原子百分比的铜-铝合金膜在ALD TaN层上沉积到50埃或100埃的厚度。在氮(N2)和氢(H2)的环境中,所得的衬底在大约450℃的温度下退火大约30分钟的时间。扫描电子显微照片表现出对于在大约450℃温度下退火30分钟时间的衬底铜-铝合金没有明显的团聚。
尽管前述内容涉及本发明的优选实施方式,可以想到本发明的其它和进一步的实施方式,而不背离其基本范围,本发明的范围由权利要求书来限定。

Claims (76)

1.一种用于填充特征的方法,包括:
沉积阻挡层;
在阻挡层上沉积籽层,该籽层包括铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属;以及
在籽层上沉积铜导电材料层。
2.一种在阻挡层上沉积籽层、用于随后在籽层上沉积导电材料层的方法,包括:
在阻挡层上沉积铜合金籽层,该铜合金籽层包括铜和浓度在大约0.001原子百分比和大约5.0原子百分比之间的金属,所述金属从铝、镁、钛、锆、锡及其组合构成的组中选择。
3.一种在阻挡层上沉积籽层、用于随后在籽层上沉积导电材料层的方法,包括:
在阻挡层上沉积铜合金籽层,该铜合金籽层包括铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属;以及
在铜合金籽层上沉积第二籽层。
4.根据权利要求3的方法,其中所述铜合金籽层包括浓度在大约0.001原子百分比和大约5.0原子百分比之间的金属。
5.根据权利要求2或3的方法,其中所述铜合金籽层包括浓度在大约0.01原子百分比和大约2.0原子百分比之间的金属。
6.根据权利要求2或3的方法,其中所述铜合金籽层包括浓度在大约0.1原子百分比和大约1.0原子百分比之间的金属。
7.一种用于在阻挡层上沉积籽层、以便随后在籽层上沉积导电材料层的方法,包括:
在阻挡层上沉积第一籽层,该第一籽层包括从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属;以及
在第一籽层上沉积第二籽层。
8.根据权利要求7的方法,其中所述第一籽层被沉积到在亚单层和大约50埃之间的侧壁覆盖度。
9.根据权利要求7的方法,其中所述第一籽层被沉积到在亚单层和大约40埃之间的侧壁覆盖度。
10.根据权利要求3或7的方法,其中所述铜导电材料层被沉积在第二籽层上。
11.一种制备用于铜金属化的衬底结构的方法,包括:
沉积阻挡层,达到大约50埃或更小的侧壁覆盖度;以及
在阻挡层上沉积籽层,该籽层包括铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属。
12.根据权利要求11的方法,其中所述阻挡层被沉积到大约20埃或更小的侧壁覆盖度。
13.根据权利要求11的方法,其中所述阻挡层被沉积到大约10埃或更小的侧壁覆盖度。
14.根据权利要求1或11的方法,其中所述籽层包括铜和该金属的铜合金籽层。
15.一种用于填充特征的方法,包括:
沉积阻挡层;
在阻挡层上沉积铜合金籽层,该铜合金籽层包括铜和浓度在大约0.01原子百分比和5.0原子百分比之间的一种金属,该金属从铝、镁、钛、锆、锡及其组合构成的组中选择;以及
在铜合金籽层上沉积铜导电材料层。
16.根据权利要求1、11或15的方法,其中所述阻挡层通过从原子层沉积、化学气相沉积、物理气相沉积及其组合构成的组中选择的一种技术而沉积。
17.一种用于填充特征的方法,包括:
通过原子层沉积而沉积阻挡层;
在阻挡层上沉积铜合金籽层,该铜合金籽层包括铜和浓度在大约0.01原子百分比和5.0原子百分比之间的一种金属,该金属从铝、镁、钛、锆、锡及其组合构成的组中选择;
在铜合金籽层上沉积第二籽层;以及
在第二籽层上沉积铜导电材料层。
18.根据权利要求2、3、15或17的方法,其中所述铜合金籽层通过从物理气相沉积、化学气相沉积、原子层沉积、无电沉积及其组合构成的组中选择的一种技术来沉积。
19.一种用于填充特征的方法,包括:
通过原子层沉积而沉积阻挡层;
在阻挡层上沉积第一籽层,达到在亚单层和大约50埃之间的侧壁覆盖度,该第一籽层包括铝;
在第一籽层上沉积第二籽层;以及
在第二籽层上沉积导电材料层。
20.根据权利要求7或19的方法,其中所述第一籽层通过从物理气相沉积、化学气相沉积、原子层沉积、无电沉积及其组合构成的组中选择的一种技术来沉积。
21.根据权利要求3、7、17或19的方法,其中所述第二籽层通过从物理气相沉积、化学气相沉积、原子层沉积、无电沉积及其组合构成的组中选择的一种技术来沉积。
22.根据权利要求1、15、17或19的方法,其中所述铜导电材料层通过从电镀、无电沉积、化学气相沉积、物理气相沉积及其组合构成的组中选择的一种技术来沉积。
23.一种制备用于电镀铜的衬底结构的方法,包括:
通过原子层沉积而沉积阻挡层;以及
在阻挡层上沉积籽层,该籽层包括铜和铝。
24.根据权利要求23的方法,其中该籽层包括铜和铝的铜合金籽层,铝按在大约0.001原子百分比和大约5.0原子百分比之间的浓度存在于铜合金籽层中。
25.根据权利要求1、11、23的方法,其中所述籽层包括沉积在阻挡层上的第一籽层和沉积在第一籽层上的第二籽层。
26.根据权利要求25的方法,其中所述第一籽层包括铜和铝的铜合金籽层,铝按在大约0.001原子百分比和大约5.0原子百分比之间的浓度存在于铜合金籽层中,并且其中第二籽层包括非掺杂铜。
27.根据权利要求24的方法,其中所述铜合金籽层按在大约0.01原子百分比和大约2.0原子百分比之间的浓度包括铝,并且,其中第二籽层包括非掺杂铜。
28.根据权利要求26的方法,其中所述铜合金籽层按在大约0.01原子百分比和大约2.0原子百分比之间的浓度包括铝。
29.根据权利要求24的方法,其中所述铜合金籽层按在大约0.1原子百分比和大约1.0原子百分比之间的浓度包括铝。
30.根据权利要求26的方法,其中所述铜合金籽层按在大约0.1原子百分比和大约1.0原子百分比之间的浓度包括铝。
31.根据权利要求25的方法,其中所述第一籽层包括侧壁覆盖度在亚单层和大约50埃之间的铝,并且其中第二籽层包括非掺杂铜。
32.根据权利要求1、11、15、17、19或23  的方法,其中所述阻挡层包括从钛、氮化钛、钛硅氮化物、钽、氮化钽、钽硅氮化物、钨、氮化钨、钨硅氮化物及其组合构成的组中选择的一种材料。
33.根据权利要求1或11的方法,其中所述籽层采用从物理气相沉积、化学气相沉积、原子层沉积、无电沉积及其组合构成的组中选择的一种技术来沉积。
34.根据权利要求25的方法,其中所述第一籽层包括铜和该金属的铜合金籽层。
35.根据权利要求25的方法,其中所述第一籽层包括该金属。
36.根据权利要求3、7或17的方法,其中所述第二籽层包括非掺杂铜。
37.根据权利要求34的方法,其中所述第二籽层包括非掺杂铜。
38.根据权利要求35的方法,其中所述第二籽层包括非掺杂铜。
39.一种用于物理气相沉积籽层的靶,包括:
铜;和
从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属,其中该金属按在大约0.001原子百分比和大约5.0原子百分比之间的浓度存在于靶中。
40.根据权利要求39的靶,其中所述金属按在大约0.01原子百分比和大约2.0原子百分比之间的浓度存在于靶中。
41.根据权利要求39的靶,其中所述金属按在大约0.1原子百分比和大约1.0原子百分比之间的浓度存在于靶中。
42.一种适用于沉积籽层的处理室,包括:
包含铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属的靶,其中该金属按在大约0.001原子百分比和大约5.0原子百分比之间的浓度存在于靶中。
43.根据权利要求42的处理室,其中所述金属按在大约0.01原子百分比和大约2.0原子百分比之间的浓度存在于靶中。
44.根据权利要求42的处理室,其中所述金属按在大约0.1原子百分比和大约1.0原子百分比之间的浓度存在于靶中。
45.一种用于处理衬底的系统,包括:
用于沉积铜合金籽层的第一室,其中所述铜合金籽层包括铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属,其中该金属按在大约0.01原子百分比和大约2.0原子百分比的浓度存在于铜合金中。
46.根据权利要求45的系统,还包括用于沉积阻挡层的第二室,其中所述铜合金籽层被沉积在阻挡层上。
47.根据权利要求46的系统,其中所述第二室从原子层沉积室、化学气相沉积室和物理气相沉积室构成的组中选择。
48.根据权利要求46的系统,其中所述第一室是物理气相沉积室,而所述第二室是原子层沉积室。
49.根据权利要求46的系统,还包括与所述第一室和所述第二室相联系的传送室。
50.根据权利要求46的系统,其中所述室中的至少两个是单室。
51.一种用于处理衬底的系统,包括:
用于沉积铜合金籽层的第一室,其中该铜合金籽层包括铜和从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属,以及
用于在铜合金籽层上沉积非掺杂铜籽层的第二室。
52.根据权利要求51的系统,还包括用于沉积阻挡层的第三室,其中所述铜合金籽层被沉积在阻挡层上。
53.一种用于处理衬底的系统,包括:
用于沉积金属籽层的第一室,其中该金属从铝、镁、钛、锆、锡及其组合构成的组中选择,以及
用于在金属籽层上沉积非掺杂铜籽层的第二室。
54.根据权利要求53的系统,还包括用于沉积阻挡层的第三室,其中所述金属籽层被沉积在阻挡层上。
55.根据权利要求45、51或53的系统,其中所述第一室从物理气相沉积室、化学气相沉积室、原子层沉积室和无电沉积室构成的组中选择。
56.根据权利要求51或53的系统,其中所述第二室从物理气相沉积室、化学气相沉积室、原子层沉积室和无电沉积室构成的组中选择。
57.根据权利要求52或54的系统,其中所述第三室从原子层沉积室、化学气相沉积室和物理气相沉积室中选择。
58.根据权利要求52或54的系统,其中所述第一室是物理气相沉积室,所述第二室是物理气相沉积室,而所述第三室是原子层沉积室。
59.根据权利要求52或54的系统,还包括与所述第一室、所述第二室和所述第三室相联系的传送室。
60.根据权利要求52或54的系统,其中所述室中的至少两个是单室。
61.一种结构,包括:
其上形成有介电层的衬底,所述介电层具有在其中形成到达衬底上表面的孔;
在介电层中形成的至少一个阻挡层;
在该至少一个阻挡层上形成的铜合金籽层,所述合金籽层包括铜和浓度在大约0.001原子百分比和大约5.0原子百分比之间的金属,所述金属从铝、镁、钛、锆、锡及其组合构成的组中选择;以及
在该铜合金籽层上形成的铜导电材料层。
62.根据权利要求61的结构,其中所述铜合金籽层包括浓度在大约0.01原子百分比和大约2.0原子百分比之间的所述金属。
63.根据权利要求61的结构,其中所述铜合金籽层包括浓度在大约0.1原子百分比和大约1.0原子百分比之间的所述金属。
64.一种结构,包括:
其上形成有介电层的衬底,所述介电层具有在其中形成到达衬底上表面的孔;
在介电层中形成的至少一个阻挡层;
在该至少一个阻挡层上形成的铜合金籽层,所述合金籽层包括铜和一种金属,所述金属从铝、镁、钛、锆、锡及其组合构成的组中选择;
在铜合金籽层上形成的第二籽层;以及
在第二籽层上形成的铜导电材料层。
65.根据权利要求64的结构,其中所述第二籽层包括非掺杂铜。
66.根据权利要求64的结构,其中所述铜合金籽层包括浓度在大约0.001原子百分比和大约5.0原子百分比之间的所述金属。
67.根据权利要求64的结构,其中所述铜合金籽层包括浓度在大约0.01原子百分比和大约2.0原子百分比之间的所述金属。
68.根据权利要求64的结构,其中所述铜合金籽层包括浓度在大约0.1原子百分比和大约1.0原子百分比之间的所述金属。
69.一种结构,包括:
其上形成有介电层的衬底,所述介电层具有在其中形成到达衬底上表面的孔;
在介电层上形成的至少一个阻挡层;
在该至少一个阻挡层上形成的第一籽层,该第一籽层包括从铝、镁、钛、锆、锡及其组合构成的组中选择的一种金属;
在第一籽层上形成的第二籽层;以及
在该第二籽层上形成的铜导电材料层。
70.根据权利要求69的结构,其中所述第二籽层包括非掺杂铜。
71.根据权利要求69的结构,其中所述第一籽层具有在亚单层和大约50埃之间的侧壁覆盖度。
72.根据权利要求69的结构,其中所述第一籽层具有在亚单层和大约40埃之间的侧壁覆盖度。
73.根据权利要求61、64或69的结构,其中所述阻挡层包括从钛、氮化钛、钛硅氮化物、钽、氮化钽、钽硅氮化物、钨、氮化钨、钨硅氮化物及其组合构成的组中选择的一种材料。
74.根据权利要求61、64或69的结构,其中所述阻挡层具有大约50埃或更小的侧壁覆盖度。
75.根据权利要求61、64或69的结构,其中所述阻挡层具有大约20埃或更小的侧壁覆盖度。
76.根据权利要求61、64或69的结构,其中所述阻挡层具有大约10埃或更小的侧壁覆盖度。
CNA028213084A 2001-09-26 2002-09-09 阻挡层和籽层的集成 Pending CN1575518A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201110379185.8A CN102361004B (zh) 2001-09-26 2002-09-09 阻挡层和籽层的集成

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 2001-09-26
US09/965,370 2001-09-26
US09/965,369 2001-09-26
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201110379185.8A Division CN102361004B (zh) 2001-09-26 2002-09-09 阻挡层和籽层的集成

Publications (1)

Publication Number Publication Date
CN1575518A true CN1575518A (zh) 2005-02-02

Family

ID=27420751

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201110379185.8A Expired - Fee Related CN102361004B (zh) 2001-09-26 2002-09-09 阻挡层和籽层的集成
CNA028213084A Pending CN1575518A (zh) 2001-09-26 2002-09-09 阻挡层和籽层的集成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201110379185.8A Expired - Fee Related CN102361004B (zh) 2001-09-26 2002-09-09 阻挡层和籽层的集成

Country Status (5)

Country Link
EP (1) EP1433202A2 (zh)
JP (1) JP2005528776A (zh)
KR (1) KR20040045007A (zh)
CN (2) CN102361004B (zh)
WO (1) WO2003028090A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101714521B (zh) * 2008-09-30 2011-10-26 瑞萨电子株式会社 半导体器件及其制造方法
CN101937864B (zh) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 接触孔填充方法
CN101533829B (zh) * 2008-02-28 2012-06-13 瑞萨电子株式会社 半导体装置及其制造方法
CN102790009A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 降低铜电镀工艺中边缘效应的方法及铜互连结构制造方法
CN103839920A (zh) * 2012-11-20 2014-06-04 意法半导体公司 用于具有掺杂浓度水平梯度的互连结构的铜种子层
CN105845620A (zh) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
CN1795290B (zh) * 2003-05-27 2010-06-16 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的前体的方法和设备
KR100968312B1 (ko) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 저-k 물질 상의 TaN 확산장벽 영역의 PE-ALD
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
WO2009031886A2 (en) * 2007-09-07 2009-03-12 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US9245765B2 (en) 2009-10-16 2016-01-26 Empire Technology Development Llc Apparatus and method of applying a film to a semiconductor wafer and method of processing a semiconductor wafer
KR101139696B1 (ko) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 화학기상 증착장치
JP2012060015A (ja) * 2010-09-10 2012-03-22 Hitachi Cable Ltd 電子デバイス配線用Cu合金スパッタリングターゲット材、及び素子構造
KR101357171B1 (ko) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 화학기상증착장치
JP2012151417A (ja) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd 薄膜トランジスタ回路基板及びその製造方法
JP6013901B2 (ja) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法
US8981564B2 (en) * 2013-05-20 2015-03-17 Invensas Corporation Metal PVD-free conducting structures
JP6527030B2 (ja) 2015-06-19 2019-06-05 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
JP6771613B2 (ja) * 2019-05-09 2020-10-21 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JP3955386B2 (ja) * 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR20010001543A (ko) * 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101533829B (zh) * 2008-02-28 2012-06-13 瑞萨电子株式会社 半导体装置及其制造方法
CN101714521B (zh) * 2008-09-30 2011-10-26 瑞萨电子株式会社 半导体器件及其制造方法
CN101937864B (zh) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 接触孔填充方法
CN102790009A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 降低铜电镀工艺中边缘效应的方法及铜互连结构制造方法
CN103839920A (zh) * 2012-11-20 2014-06-04 意法半导体公司 用于具有掺杂浓度水平梯度的互连结构的铜种子层
CN105845620A (zh) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置

Also Published As

Publication number Publication date
EP1433202A2 (en) 2004-06-30
JP2005528776A (ja) 2005-09-22
WO2003028090A3 (en) 2003-09-12
CN102361004A (zh) 2012-02-22
WO2003028090A2 (en) 2003-04-03
CN102361004B (zh) 2016-02-10
KR20040045007A (ko) 2004-05-31

Similar Documents

Publication Publication Date Title
CN1575518A (zh) 阻挡层和籽层的集成
US6936906B2 (en) Integration of barrier layer and seed layer
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
KR102565626B1 (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US6464779B1 (en) Copper atomic layer chemical vapor desposition
US6878402B2 (en) Method and apparatus for improved temperature control in atomic layer deposition
US7601393B2 (en) Controlling the temperature of a substrate in a film deposition apparatus
US6368880B2 (en) Barrier applications for aluminum planarization
US6797620B2 (en) Method and apparatus for improved electroplating fill of an aperture
CN1568376A (zh) 沉积材料层的方法
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
TW201732070A (zh) 透過整合流程系統形成低電阻觸點的方法
JP2005528808A (ja) 銅膜の堆積
CN1806325A (zh) 用于铜金属化的ald氮化钽的集成
US7442267B1 (en) Anneal of ruthenium seed layer to improve copper plating
TWI223867B (en) Method for forming a metal interconnect on a substrate
WO2002017388A2 (en) Method of improving the adhesion of copper
TW200929384A (en) Vapor deposition of tungsten materials
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Open date: 20050202