KR20040045007A - 배리어층 및 시드층 통합 - Google Patents

배리어층 및 시드층 통합 Download PDF

Info

Publication number
KR20040045007A
KR20040045007A KR10-2004-7004515A KR20047004515A KR20040045007A KR 20040045007 A KR20040045007 A KR 20040045007A KR 20047004515 A KR20047004515 A KR 20047004515A KR 20040045007 A KR20040045007 A KR 20040045007A
Authority
KR
South Korea
Prior art keywords
seed layer
layer
copper
chamber
atomic percent
Prior art date
Application number
KR10-2004-7004515A
Other languages
English (en)
Inventor
후아 청
링 첸
지크 유
메이 창
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/965,369 external-priority patent/US20030057526A1/en
Priority claimed from US09/965,373 external-priority patent/US6936906B2/en
Priority claimed from US09/965,370 external-priority patent/US20030059538A1/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040045007A publication Critical patent/KR20040045007A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/024Deposition of sublayers, e.g. to promote adhesion of the coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • C23C14/165Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

본 발명은 배리어층을 증착하고, 상기 배리어층 상에 시드층을 증착하고, 상기 시드층 상에 전도성층을 증착함으로써 피쳐를 충진시키는 것에 관한 것이다. 일 실시예에서, 시드층은 배리어층 상에 증착되는 구리 합금 시드층을 포함한다. 예를 들어, 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 조합과 같은 금속을 포함한다. 또다른 실시예에서, 시드층은 배리어층 상에 증착된 구리 합금 시드층과 상기 구리 합금 시드층 상에 증착되는 제 2 시드층을 포함한다. 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합과 같은 금속을 포함한다. 제 2 시드층은 도핑되지 않은 구리와 같은 금속을 포함한다. 또다른 실시예에서, 시드층은 제 1 시드층과 제 2 시드층을 포함한다. 제 1 시드층은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합과 같은 금속을 포함한다. 제 2 시드층은 도핑되지 않은 구리와 같은 금속을 포함한다.

Description

배리어층 및 시드층 통합{INTEGRATION OF BARRIER LAYER AND SEED LAYER}
신뢰성있게 제조되는 서브-미크론 및 작은 피쳐(feature)는 차세대 반도체 장치의 초고밀도 집적회로(VLSI) 및 극초고밀도 집적회로(ULSI)에 대한 주요한 기술중 하나이다. 그러나, 회로 기술의 프린지가 프레스됨에 따라, VLSI 및 ULSI 기술에서 상호접속부의 수축 치수는 처리 용량에 따라 부가적인 요구조건을 갖게되었다. 이러한 기술의 핵심에 놓여있는 다중레벨 상호접속부는 비아 및 다른 상호접속부와 같은 높은 종횡비 피쳐의 정확한 처리를 요구한다. 이러한 상호접속부의 신뢰성있는 형성은 VLSI 및 ULSI 성공 및 회로 밀도 및 개별 기판의 품질을 강화시키기 위한 지속적인 노력에 있어 중요하다.
회로 밀도가 증가함에 따라, 비아, 콘택 및 다른 피쳐의 폭 뿐만 아니라 이들 사이의 유전 재료는 서브-미크론 치수(예를 들어 0.20 마이크로미터 이하)로 감소되는 반면, 유전 재료의 두께는 거의 일정하게 유지되어, 결과적으로 피쳐에 대한 종횡비, 즉, 이들의 폭으로 나눈 높이는 증가한다. 다양한 통상적인 증착 공정은 4:1을 초과하는 종횡비, 특히 10:1을 초과하는 종횡비가 존재하는 서브미크론 구조를 불충분하게 채운다. 따라서, 고종횡비를 갖으며 보이드가 없고 시임(seam)이 없는 서브-미크론 피쳐의 형성에 관련한 노력이 지속적으로 진행되고 있다.
현재, 구리 및 그의 합금이 서브-미크론 상호접속 기술에 선택적인 재료가 되고 있는데 이는 구리가 알루미늄보다 낮은 저항력(3.1μΩ-cm 알루미늄에 비해1.7μΩ-cm)을 갖고, 높은 전류 보유력 및 상당히 높은 전자이동 저항성을 갖기 때문이다. 이러한 특성은 고레벨 집적으로 인한 높은 전류 밀도 및 장치 속도 증가를 지지하는데 있어 중요하다. 또한, 구리는 양호한 열전도성을 갖으며 높은 순수 상태에서 유용하다.
구리 금속화는 다양한 기술로 달성될 수 있다. 전형적인 방법은 피쳐 상에 배리어층의 물리적 기상 증착, 배리어층 상에 구리 시드층의 물리적 기상 증착, 및 상기 구리 시드층 상의 구리 전도성 재료층을 전기도금하여 피쳐를 채우는 단계를 포함한다. 마지막으로, 증착된 층들 및 유전층들은 화학적 기계적 연마(CMP)에 의해 평탄화처리되어, 전도성 상호접속 피쳐를 형성한다.
그러나, 구리 사용이 갖는 문제점은 구리가 실리콘, 실리콘 이산화물, 다른 절연 재료 속으로 확산되어 장치 보존을 방해할 수 있다는 것이다. 따라서, 구리 확산을 방지하는데 있어 컨포멀한 배리어층의 중요성이 증가하고 있다. 탄탈 질화물이 하부에 놓인 층들속으로 구리의 확산을 방지하는 배리어 재료로서 사용된다. 그러나, 탄탈 질화물 및 다른 배리어층들의 사용하기 이전에 이들 배리어층들은 그위에 구리 증착에 대한 열악한 습윤제로 다양한 문제를 야기시킬 수 있다는 문제점이 있다. 예를 들어, 이들 배리어층 상에 구리 시드층을 증착하는 동안, 구리 시드층은 덩어리화되어 단절되어, 구리 시드층 상에 구리 전도성 재료층의 균일한 증착(즉, 구리층의 전기도금)을 방해한다. 또다른 예에서, 이들 배리어층 상에 증착되는 구리층을 갖는 기판 구조물의 차후 고온 처리는 구리층내의 보이드 형성 및 디웨팅(dewetting)을 야기시킨다. 또다른 예에서, 장치 사용을 통해 형성된 장치의 열적 스트레스는 구리층내의 보이드 형성 및 장치 불량을 야기시킨다. 따라서, 개선된 상호접속 구조물 및 상호접속 구조물을 증착하는 개선된 방법을 제공하는 것이 요구된다.
본 발명은 배리어층 및 상기 배리어층 상에 시드층을 증착하는 장치 및 방법에 관한 것이다. 특히, 본 발명은 배리어층을 증착하고 상기 배리어층 상에 구리 및 다른 금속을 포함하는 시드층을 증착하는 장치 및 방법에 관한 것이다.
도 1은 원자층 증착에 의해 1개 이상의 배리어층을 형성하는데 사용되는 처리 시스템의 일실시예의 개략적 단면도.
도 2a는 상부에 유전층이 증착된 기판의 일실시예의 개략적 단면도.
도 2b는 도 2a의 기판 구조물 상에 형서된 배리어층의 일실시예의 개략적 단면도.
도 3a-c는 배리어층 형성 단계에서 기판의 일부상에 질소를 함유한 화합물 및 탄탈을 함유한 화합물의 단분자층의 교번식 화학흡착의 일실시예를 나타내는 도면.
도 4는 구리 합금 시드층을 증착하느데 사용되는 물리적 기상 증착을 가능케하는 처리 시스템의 일실시예를 개략적으로 나타내는 단면도.
도 5a-c는 도 2b의 배리어층 상에 시드층을 증착하는 실시예를 개략적으로 나타내는 단면도.
도 6은 다중-챔버 처리 시스템의 일실시예의 상부도.
본 발명은 배리어층을 증착하고, 상기 배리어층 상에 시드층을 증착하고, 상기 시드층 상에 전도성층을 증착함으로써 피쳐를 채우는 것에 관한 것이다. 일 실시예에서, 상기 시드층은 배리어층 상에 증착되는 구리 합금 시드층을 포함한다. 예를 들어, 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금과 같은 금속을 포함할 수 있다. 또다른 실시예에서, 상기 시드층은 배리어층상에 증착된 구리 합금 시드층 및 상기 구리 합금 시드층 상에 증착되는 제 2 시드층을 포함한다. 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금과 같은 금속을 포함한다. 제 2 시드층은 도핑되지 않은 구리와 같은 금속을 포함한다. 또다른 실시예에서, 상기 시드층은 제 1 시드층 및 제 2 시드층을 포함한다. 제 1 시드층은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금과 같은 금속을 포함한다. 제 2 시드층은 도핑되지 않은 구리와 같은 금속을 포함한다.
본 발명의 상기 개시된 특징, 장점 및 목적은 첨부된 도면을 설명하는 실시예를 참조로 상기 간략히 요약된 본 발명을 보다 상세히 이해할 수 있을 것이다.
그러나, 첨부된 도면은 단지 본 발명의 실시예를 설명하기 위한 것이며 발명의 범주내에서 등가의 실시예가 적용될 수 있다는 것을 주목해야 한다.
배리어층 증착용 처리 챔버
도 1은 본 발명의 특징에 따른 원자층 증착에 의해 1개 이상의 배리어층을 형성하는데 사용되는 처리 시스템(10)의 일 실시예를 개략적으로 나타내는 단면도이다. 물론, 다른 처리 시스템이 사용될 수도 있다.
전체적으로 처리 시스템(10)은 처리 챔버(100), 가스 패널(130), 제어 유니트(110), 전원장치(106), 및 진공 펌프(102)를 포함한다. 일반적으로 처리 챔버(100)에는 처리 챔버(100)내에 반도체 웨이퍼(190)와 같은 기판을 지지하는데 사용되는지지 페데스탈(150)이 제공된다.
챔버(100)에서, 지지 페데스탈(150)은 내장된 가열 부재(170)에 의해 가열될 수 있다. 예를 들어, 페데스탈(150)은 AC 전원 장치로부터 가열 부재(170)로 전류를 인가함으로써 저항성 가열된다. 차례로 웨이퍼(190)는 페데스탈(150)에 의해 가열되어 원하는 처리 온도 범위, 예를 들어 특정 고정에 따라 약 20℃ 내지 약 1000℃ 사이의 범위 내에서 유지될 수 있다.
열전대(thermocouple)와 같은 온도 센서가 페데스탈 온도를 모니터하기 위해 웨이퍼지지 페데스탈(150)에 내장될 수 있다. 예를 들어, 측정된 온도는 웨이퍼 온도가 원하는 온도에서 또는 특정 공정 분야에 적합한 원하는 온도 범위 내에서 유지되고 제어될 수 있도록, 전원장치(106)로부터 가열 부재(170)로 인가된 전류를 제어하기 위한 피드백 루프에 사용된다. 또한 페데스탈은 방사열(미도시) 또는 다른 가열 방법을 사용하여 가열될 수 있다.
진공 펌프(102)는 처리 챔버(100)로부터 처리 가스를 배기시키고 챔버(100)내부의 압력 범위내에서 원하는 압력 유지를 보조하는데 사용될 수 있다. 챔버(100) 벽을 관통하는 오리피스(120)가 처리 챔버(100) 속으로 처리 가스를 주입하는데 사용된다. 통상적으로 오리피스(120)의 크기는 처리 챔버(100)의 크기에 따라 좌우된다.
오리피스(120)는 밸브(125)에 의해 일부 가스 패널(130)에 결합된다. 가스 패널(130)은 2개 이상의 가스 소스(135, 136)로부터 오리피스(120) 및 밸브(125)를 통해 처리 챔버(100)로 최종 처리 가스를 수용하고 제공하도록 구성된다. 처리 가스 소스(135, 136)는 실온에서 액체 상태로 전구체를 저장하고, 가스 패널(130)에서는 챔버(100) 속으로의 주입을 위해 이들은 증기-가스 상태로 전환된다. 또한 가스 소스(135,136)는 캐리어 가스의 사용을 통해 전구체를 제공하도록 조절된다. 또한 가스 패널(130)은 오리피스(120)와 밸브(125)를 통해 정화 가스 소스(138)로부터 처리 챔버(100)로 정화 가스를 수용하고 제공하도록 구성된다. 샤워헤드(160)는 처리 가스, 정화 가스, 또는 다른 가스가 지지 페데스탈(150) 상의 웨이퍼(190)를 향해 전달되도록 오리피스(120)와 결합된다.
샤워헤드(160)와 지지 페데스탈(150)는 플라즈마를 점화시키기 위한 전계를 제공하기 위해 공간을 두고 떨어져 있는 전극으로서의 역할을 한다. RF 전원(162)은 샤워헤드(160)에 결합될 수 있고, RF 전원(163)은 지지 페데스탈(150)에 결합될 수 있고, 또는 RF 전원(162,163)은 각각 샤워헤드(160)와 지지 페데스탈(150)에 결합될 수 있다. 매칭 네트워크(164)는 RF 전원(162,163)에 공급된 전력을 제어하기 위해 제어 유니트(110)에 결합될 수 있는 RF 전원(162,163)에 결합된다.
프로그램가능한 퍼스널 컴퓨터, 워크 스테이션과 같은 제어 유니트(110)가 웨이퍼 처리 시퀀스의 상이한 단계 동안 밸브(125) 뿐만 아니라 가스 패널(130)을 통해 다양한 처리 가스의 흐름을 제어하도록 구성될 수 있다. 예시적으로, 제어 유니트(110)는 중앙 처리 장치(CPU)(112), 지지 회로(114), 및 관련 제어 소프트웨어(113)를 포함하는 메모리(116)를 포함한다. 가스 패널(130)을 통과하는 처리 가스의 제어 이외에, 제어 유니트(110)는 웨이퍼 처리시에 사용되는 다른 동작-본 명세서에 개시되는 다른 경우에 있어 웨이퍼 전달, 온도 제어, 챔버 배기-의 자동화된 제어를 위해 구성될 수 있다.
제어 유니트(110)는 다양한 챔버 및 서브-프로세서들을 제어하기 위한 산업 셋티에 사용될 수 있는 범용 컴퓨터 프로세서 형태중 하나 일 수 있다. CPU(112)는 랜덤 액서스 메모리, 리드 온리 메모리, 플로피 디스크 드라이브, 하드 디스크와 같은 임의의 적합한 메모리, 또는 국부적, 원격적 디지털 저장의 임의의 다른 형탤 수 있다. 다양한 지지 회로가 시스템(10)을 지지하기 위한 CPU(112)에 결합될 수 있다. 소프트웨어 루틴(113)은 원하는 대로 원격적으로 위치된 제 2 컴퓨터 프로세서(미도시)에 의해 실행되거나 또는 메모리(116) 내에 저장될 수 있다. 제어 유니트(110)와 웨이퍼 처리 시스템(10)의 다양한 다른 부품 사이의 양지향성 통신은 도 1에 일부 도시된 신호 버스(18)로서 집합적으로 간주되는 다양한 신호 케이블을 통해 처리된다.
배리어층 형성
도 1에 개시된 것처럼 예시적 챔버는 이하 공정을 수행하는데 사용된다. 물론 다른 공정 챔버가 사용될 수 있다. 도 2a-2b는 본 발명의 다양한 특성에 따라 상호접속 구조물의 제조를 위한 배리어층 형성의 실시예이다.
도 2a는 상부에 유전층(202)이 증착된 기판(200)의 일실시예의 개략적 단면도이다. 처리 단계에 따라, 기판(200)은 실리콘 반도체 웨이퍼, 또는 웨이퍼 상에 형성되는 다른 재료층일 수 있다. 유전층(202)은 산화물, 실리콘 산화물, 탄소-실리콘-산화물, 불소-실리콘, 다공성 유전체, 또는 기판(200)의 노출된 표면 부분(202T)으로 연장되는 콘택 홀 또는 비아(202T)를 제공하기 위해 형성되고 패턴화된 다른 적합한 유전체일 수 있다. 명료성을 위해, 기판(200)은 그위에서 막 처리가 수행되는 임의의 제품으로 간주되며, 기판 구조물(250)은 유전층(202)과 같이, 기판(200) 상에 형성되는 다른 재료층 뿐만 아니라 기판(200)으로 표시하는데 사용된다. 본 발명의 기술은 듀얼 다마신 공정 흐름에 사용될 수 있음을 당업자는 알 것이다.
도 2b는 원자층 증착(ALD)에 의해 도 2a의 기판 구조물(250) 상에 형성된 배리어층(204)의 일실시예를 개략적으로 나타내는 단면도이다. 바람직하게 배리어층은 티타늄 질화물층을 포함한다. 사용될 수 있는 다른 배리어층 재료의 예로는 티타늄(Ti), 티타늄 질화물(TiN), 티타늄 실리콘 질화물(TiSiN), 탄탈(Ta), 탄탈 실리콘 질화물(TaSiN), 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 실리콘 질화물(WSiN), 및 이들의 조합을 포함한다.
명료성을 위해, 배리어층 증착은 탄탈 질화물 배리어층을 포함하는 배리어층의 일실시예를 참조로 보다 상세히 설명된다. 일면에서, 탄탈 질화물 배리어층의 원자층 증착은 탄탈을 함유하는 화합물과 질소를 함유하는 화합물을 도 1의 처리 챔버와 같은 처리 채버에 연속하여 제공하는 단계를 포함한다. 탄탈을 함유하는 화합물과 질소를 함유하는 화합물의 연속적인 제공은 탄기판 구조물(250) 상에 탄탈을 함유하는 화합물의 단분자층 및 질소를 함유하는 화합물의 단분자층의 교번식 화학흡착을 야기시킨다.
도 3a-c는 집적 회로 제조 단계, 특히 배리어층 형성 단계에서 기판(300)의 예시 부분 상에 탄탈을 함유한 화합물 및 질소를 함유한 화합물의 단분자층의 교번식 화학흡착의 예를 나타낸다. 도 3a에서, 탄탄을 함유한 화합물의 단분자층은 도 1에 도시된 처리 챔버와 같은 처리 챔버 속으로 탄탈을 함유한 화합물(305) 펄스를 주입함으로써 기판(300) 상에 화학흡착된다. 탄탈을 함유한 화합물(305)의 단분자층을 흡수하는데 사용되는 화학흡착 공정은 기판 표면은 탄탈을 함유하는 화합물을 화학흡착하기 위한 한정된 수의 사이트(site)를 갖기 때문에 주어진 펄스 동안에 기판(300) 표면 상에는 단지 하나의 단분자층만이 화학흡착될 수 있도록 자체-제한된다. 일단 한정된 수의 사이트가 탄탈을 함유한 화합물(305)에 의해 점유되면, 임의의 탄탈을 함유한 화합물의 추가적인 화학흡착이 차단된다.
전형적으로 탄탈을 함유한 화합물(305)은 1개 이상의 반응성 종(315)을 갖는 탄탈 원자(310)를 포함한다. 일 실시예에서, 탄탈을 함유한 화합물은 탄탈 기재 유기금속 전구체 또는 그의 유도체일 수 있다. 바람직하게 유기금속 전구체는 펜타디메틸아미노-탄탈(PDMAT;Ta(NMe2)5)이다. PDMAT는 다수의 이유로 바람직하게 사용될 수 있다. PDMAT는 비교적 안정하다. PDMAT는 전달을 용이하게 하는 적절한 기상 압력을 갖는다. 특히, PDMAT는 낮은 할로겐화물 성분으로 제조된다. PDMAT의 할로겐화물 성분은 100ppm 이하의 할로겐화물 성분으로 제조되며, 심지어 30ppm 이하 또는 심지어 5ppm 이하의 할로겐화물 성분으로 제조될 수 있다. 이론에 제한을 두지 않고, 낮은 할로겐화물 성분을 갖는 유기금속 전구체는 배리어층에 통합된 할로겐화물(염소와 같은)이 그위에 증착되는 구리층을 공격할 수 있기 때문에 바람직하다.
탄탈을 함유한 화합물은 다른 유기금속 전구체 또는 펜타에틸메틸아미노-탄탈(PEMAT;Ta[N(C2H5CH3)2]5), 펜타디메틸아미노-탄탈(PDEAT;Ta(NEt2)5), 및 PEMAT,PDEAT 또는 PDMAT 유도체의 일부 또는 전부로 제한되지 않는 이들의 유도체일 수 있다. 다른 탄탈을 함유한 화합물은 제한되지 않고 TBTDET(Ta(NEt2)3NC4H9또는 C16H39N4Ta) 및 탄탈 할로겐화물, 예를 들어 TaX5(여기서 X는 불소(F), 브롬(Br) 또는 염소(Cl)이다) 및 이들의 유도체를 포함한다.
탄탈을 함유한 화합물은 가스로서 제공되거나 또는 캐리어 가스의 도움으로 제공될 수 있다. 사용되는 캐리어 가스의 예는 제한되지 않고, 헬륨(He), 아르곤 (Ar), 질소(N2) 및 수소(H2)를 포함한다.
탄탈을 함유하는 화합물의 단분자층이 기판(300)상에서 화학흡착된 후, 과잉의 탄탈을 함유한 화합물이 그의 정화 가스의 펄스 주입에 의해 처리 챔버로부터 제거된다. 사용되는 정화 가스의 예로는 제한되지 않고, 헬륨(He), 아르곤 (Ar), 질소(N2), 수소(H2) 및 다른 가스를 포함한다.
도 3b를 참조로, 처리 챔버가 정화된 이후, 질소를 함유한 화합물(325)의 펄스가 처리 챔버에 주입된다. 질소를 함유한 화합물(325)은 단독으로 제공되거나 또는 캐리어 가스의 도움으로 제공될 수 있다. 질소를 함유한 화합물(325)은 1개 이상의 반응 종(33%)을 갖는 질소 원자(330)를 포함한다. 질소를 함유한 화합물은 바람직하게 암모니아 가스(NH3)를 포함한다. 사용될 수 있는 다른 질소를 함유한 화합물은 제한되지 않고 NxHy(x 및 y는정수)(예를 들어, 히드라진(N2H4)), 디메틸 히드라진((CH3)2N2H2), t-부틸히드라진(C4H9N2H3), 페닐히드라진(C6H5N2H3), 또는 다른 히드라진 유도체, 질소 플라즈마 소스(예를 들어, N2, N2/H2, NH3, 또는 N2H4플라즈마), 2,2'-아조이소부탄((CH3)6C2N2), 에틸아지드(C2H5N3), 및 다른 적합한 가스를 포함한다. 필요하다면 질소를 함유한 화합물을 전달하는데 캐리어 가스가 사용될 수 있다.
질소를 함유한 화합물(325)의 단분자층은 탄탈을 함유한 화합물(305)의 단분자층상에 화학흡착될 수 있다. 원자층 증착(ALD) 동안에 표면 상의 전구체의 조성 및 구조는 정확히 공지되지 않았다. 이론에 제한되지 않고, 질소를 함유한 화합물(325)의 화학흡착된 단분자층은 탄탈 질화물층(309)을 형성하기 위해 탄탈을함유한 화합물(305)의 단분자층과 반응한다. 반응종(315,335)은 진공 시스템에 의해 기판 표면으로부터 전달되는 부산물(340)을 형성한다. 탄탈을 함유하는 화합물(305)과 질소를 함유하는 화합물(325)의 반응은, 탄탈을 함유하는 화합물(305)의 단지 하나의 단분자층만이 기판 표면상에 화학흡착되기 때문에 자체 제한된다. 또다른 이론으로, 전구체는 기판 표면상에서 중간 상태에 있을 수 있다. 또한, 증착된 탄탈 질화물층은 많은 탄탈(Ta) 또는 질소(N)의 간단한 원자 뿐만 아니라, 오히려 탄탈 질화물층은 탄소(C), 수소(H), 및/또는 산소(O)를 갖는 보다 복잡한 분자를 포함할 수 있다.
질소를 함유한 화합물(325)의 단분자층이 탄탈을 함유한 화합물의 단분자층 상에 화학흡착된 후, 과잉의 질소를 함유한 화합물은 그내부에 정화 가스의 또다른 펄스를 주입함으로서 처리 챔버로부터 제거된다. 이후, 도 3c에 도시된 것처럼 필요하다면 원하는 탄탈 질화물 두께가 달성될 때까지, 탄탈을 함유하는 화합물 및 질소를 함유하는 화합물의 단분자층들의 교번 화학흡착의 탄탈 질화물층 증착 시퀀스가 반복된다.
도 3a-3c에서는, 질소를 함유한 화합물의 단분자층에 의해 수반되는 기판 상의 탄탈을 함유한 화합물의 단분자층의 화학흡착을 시작으로 탄탈 질화물층 형성이 도시된다. 선택적으로, 탄탈 질화물층 형성은 탄탈을 함유한 화합물의 단분자층에 의해 수반되는 기판상의 질소를 함유한 화합물의 단분자층의 화학흡착으로 시작될 수 있다. 또한, 선택적인 실시예에서, 반응 가스의 펄스 사이에 단독의 펌프 배기는 반응 가스의 혼합을 방지하는데 사용될 수 있다.
탄탈을 함유한 화합물, 질소를 함유한 화합물 각각의 펄스에 대한 시간 기간 및 펄스 가스는 그와 결합되는 진공 시스템 뿐만 아니라 사용되는 증착 챔버의 체적 용량에 따라 변한다. 예를 들어, (1)가스의 낮은 챔버 압력은 긴 펄스 시간을 요구한다; (2) 낮은 가스 흐름 속도는 요구되는 긴 펄스 시간을 상승시키고 안정화시키기 위해 챔버 압력에 대한 긴 시간을 요구한다; (3)큰-체적 챔버는 요구되는 긴 펄스 시간을 안정화시키기 위해 챔버 압력에 대해 충진을 위해 길게 선택된다. 유사하게, 각각의 펄스 사이의 시간은 그와 결합되는 진공 시스템 뿐만 아니라 처리 챔버의 체적 용량에 따라 변한다. 일반적으로, 탄탈을 함유한 화합물 또는 질소를 함유하 화합물의 펄스 시간 기간은 화합물의 단분자층의 화학흡착을 위해 추분히 길어야 한다. 일반적으로, 정화 가스의 펄스 시간은 처리 챔버에 남아있는 반응 부산물 및/또는 잔류물을 제거하도록 충분히 길어야 한다.
일반적으로, 탄탈을 함유한 화합물에 대한 약 1.0 초 이하의 펄스 시간 및 질소를 함유한 화합물에 대한 약 1.0초의 펄스 시간은 기판 상의 교번 단분자층을 화학흡착하기 위해 충분한다. 정화 가스의 약 1.0초 이하의 펄스 시간은 처리 챔버에 남아있는 임의의 잔류물 뿐만 아니라 반응 부산물을 제거하기에 충분하다. 물로, 긴 펄스 시간이 탄탈을 함유한 화합물 및 질소를 함유한 화합물의 화학흡착 및 반응 부산물의 제거를 위해 사용될 수 있다.
원자층을 증착하는 동안, 기판은 대략 선택된 탄탈을 함유한 화합물의 열적 분해 온도 이하로 유지된다. 본 명세서에서 간주되는 탄탈을 함유한 화합물이 사용하는 예시적 히터 온도 범위는 약 20℃ 내지 약 500℃ 사이이며 챔버 압력은 약100torr 이하, 바람직하게는 50torr 이하이다. 탄탈을 함유한 가스는 PDMAT이며, 히터 온도는 바람직하게는 약 100℃ 내지 약 300℃ 사이, 보다 바람직하게는 약 175℃ 내지 250℃ 사이이다. 본 실시예에서, 다른 온도가 사용될 수도 있다. 예를 들어, 열적 분해 온도 이상의 온도가 사용될 수 있다. 그러나, 온도는 증착 동작의 50퍼센트 이상이 화학흡착 공정에 의해 이루어지도록 선택되어야 한다. 또다른 예에서, 각각의 전구체 증착 동안 분해량이 제한되는 동안 성장 모드가 원자층 증착 성장 모드와 유사하도록 제한되게 열적 분해 온도 이상의 온도가 사용될 수 있다.
도 1의 처리 챔버와 같은 처리 챔버에서 원자층 증착에 의해 탄탈 질화물층을 증착하는 예시적 공정은, 연속적으로 약 100sccm 내지 약 1000sccm 사이의 흐름 속도, 바람직하게는 200sccm 내지 500sccm의 흐름 속도에서, 약 1.0초 이하의 시간 주기 동안 펜타디메틸아미노-탄탈(PDMAT)을 제공하는 단계; 약 100sccm 내지 약 1000sccm 사이의 흐름 속도, 바람직하게는 약 200sccm 내지 500sccm 사이의 흐름 속도에서 약 1.0초 이하의 시간 주기 동안 암모니아, 및 약 100sccm 및 약 1000sccm 사이의 흐름 속도, 바람직하게는 약 200sccm 내지 500sccm 사이의 흐름 속도에서 약 1.0초 이하의 시간 주기 동안 정화 가스를 제공하는 단계를 포함한다. 바람직하게 히터 온도는 약 1.0torr 내지 약 5.0torr 사이의 챔버 압력에서 약 100℃ 내지 약 300℃ 사이로 유지된다. 이러한 공정은 주기당 약 0.5Å 내지 약 1.0Å 사이의 두께에 있는 탄탈 질화물층을 제공한다. 교번 시퀀스는 원하는 두께가 달성될 때까지 반복된다.
일 실시예에서, 탄탈 질화물과 같은 배리어층이 약 50Å 이하의 측벽 커버리지로 증착된다. 또다른 실시예에서, 배리어층은 약 20Å 이하의 측벽 커버리지로 증착된다. 또다른 실시예에서, 배리어층은 약 10Å 이하의 측벽 커버리지로 증착된다. 약 10Å 이하의 두께를 갖는 배리어층은 구리 확산을 방지하는데 바람직한 배리어층일 것으로 여겨진다. 일면에서, 얇은 배리어층은 높은 종횡비를 갖는 서브 미크론의 작은 피쳐를 채우는데 바람직하다. 물론, 50Å 이상의 측벽 커버리지를 갖는 배리어층이 사용될 수 있다.
또한 배리어층은 플라즈마 어닐링될 수 있다. 일 실시예에서, 배리어는 아르곤 플라즈마 또는 아르곤/수소 플라즈마를 사용하여 플라즈마 어닐링될 수 있다. RF 전극에 공급된 RF 전력은 200mm 직경 웨이퍼에 대해서는 약 100W 내지 약 200W 사이, 바람직하게는 약 500W 내지 약 1000W 사이로 공급되며 300mm 직경 웨이퍼에 대해서는 약 1000W 내지 약 2000W 사이로 제공되는 것이 바람직하다. 챔버 압력은 100torr 이하이며, 바람직하게는 0.1torr 내지 약 5torr, 보다 바람직하게는 약 1torr 내지 3torr이다. 히터 온도는 약 20℃ 내지 약 500℃ 사이이다. 플라즈마 어닐링은 한주기, 다수의 주기 이후, 또는 배리어층의 형성 이후에 수행될 수 있다.
배리어층의 원자층 증착의 실시예는 기판상의 반응물의 단분자층의 화학흡착으로서 개시되었다. 또한 본 발명은 반응물이 단분자층 이상 또는 이하에 증착되는 실시예를 포함한다. 또한 본 발명은 반응물이 자체-제한되는 방식으로 증착되지 않는 실시예를 포함한다. 또한 본 발명은 배리어층(204)이 반응물이 순차적으로 또는 동시적으로 전달되는 화학적 기상 증착 공정에서 주로 증착되는 실시예를 포함한다. 또한 본 발명은 배리어층(204)이 타겟(즉, 탄탈 질화물의 증착을 위해 질소 분위기에서 탄탈 타겟)이 증착되는 재료를 포함하는 물리적 기상 증착에서 증착되는 실시예를 포함한다.
시드층 증착을 위한 처리 챔버
일 실시예에서, 시드층은 물리적 기상 증착, 화학적 기상 증착, 무전해 증착, 또는 이들 기술들의 조합과 같은 임의의 적합한 기술에 의해 증착될 수 있다. 시드층의 증착에 적합한 물리적 기상 증착 기술은 고밀도 플라즈마 물리적 기상 증착(H에 PVD) 또는 콜리메이티드 또는 롱 쓰루(long throw) 스퍼터링과 같은 기술을 포함한다. HDP PVE의 일 형태로는 자기-이온화 플라즈마 물리적 기상 증착이 있다. 시드층의 자기-이온화 플라즈마 기상 증착이 가능한 챔버의 예로는 캘리포니아 산타 클라라의 Applie Materials, Inc.에서 시판되는 SIPTM이 있다. 자기-이온화 물리적 기상 증착이 가능한 챔버의 실시예로는 본 명세서와 모순되지 않는 범위로 참조되는 "Rotating Sputter Magnetron Assembly" 란 명칭의 미국 특허 번호 6,183,614호에 개시된다.
도 4는 시드층 증착에 사용되는 물리적 기상 증착을 가능케하는 처리 시스템(410)의 일 실시예의 개략적 단면도이다. 물론, 다른 처리 시스템 및 다른 형태의 물리적 기상 증착이 이용될 수 있다.
처리 시스템(410)은 히터 페데스탈(418)에 고정되는 웨이퍼(416)상에 스퍼터증착되는 재료로 이루어진 PVD 타겟(414)으로 밀봉된 진공 챔버(412)를 포함한다. 챔버내에 고정된 차폐물(420)은 스퍼터되는 재료로부터 챔버(412) 벽을 보호하고 애노드 접지 평면을 제공한다. 선택가능한 DC 전원장치(422)는 차폐물(420)에 대해 타겟(414)을 네거티브 바이어스시킨다.
가스 소스(424)는 스퍼터링 작업 가스, 전형적으로 화학적으로 불활성인 아르곤 가스를 질량 흐름 조절기(426)을 통해 챔버(412)에 공급한다. 진공 시스템(428)은 낮은 압력에서 챔버를 유지한다. 컴퓨터에 기초한 제어기(430)는 DC 전원장치(422) 및 질량 흐름 제어기(426)를 포함하는 반응기를 제어한다.
아르곤이 챔버속으로 진입할 때, 타겟(414)과 차폐물(420) 사이의 DC 전압은 플라즈마 속으로 아르곤을 점화시키며, 포지티브로 하전된 아르곤 이온은 네거티브로 하전된 타겟(414)에 부착된다. 실제(substantial) 에너지에서 이온은 타겟을 가격하여 타겟(414)으로부터 스퍼터되는 타겟 원자 또는 원자 클러스터가 발생된다. 타겟 입자의 일부는 웨이퍼(416)을 가격하여 그위에 증착되어, 타겟 재료의 막을 형성한다.
효과적인 스퍼터링을 제공하기 위해, 마그네트론(432)이 타겟(414) 후방에 위치된다. 자석(434,436)에 이웃하는 챔버내에 자기장을 형성하는 마주하는 자석(434,436)이 제공된다. 자기장은 전자를 포획하며, 중성의 전하에 대해, 마그네트론(432)에 인접한 챔버내에 고밀도 플라즈마 영역(438)이 형성되도록 이온 밀도가 증가된다. 통상적으로 마그네트론(432)은 타겟(414)의 스퍼터링시에 완벽한 커버리지를 달성하기 위해 타겟(414)의 중심에서 회전축(458) 부근을 회전한다.
페데스탈(418)은 DC0자기 바이어스되어, 웨이퍼(416)에 인접한 플라즈마 외장(sheath)에 대해 플라즈마로부터 이온화되고 스퍼터된 입자를 유인한다. 이러한 효과는 플라즈마 외장에 대해 추출되는 이온화된 입자가 웨이퍼(416)를 향해 부가적으로 가속되어, 스퍼터 증착의 방향성을 제어하도록 페데스탈 전극의 부가적인 DC 또는 RF 바이어싱으로 강화될 수 있다.
시드층 형성
도 4에 도시된 예시적 챔버는 이하의 공정을 수행하는데 사용된다. 물론, 다른 공정 챔버가 사용될 수 있다. 도 5a-5c는 배리어층 상에 시드층을 증착하는 실시예의 개략적 단면도이다.
도 5a에 도시된 것처럼, 일 실시예는, 도 2b의 배리어층(204) 상에 구리 합금 시드층(502)을 증착하고 상기 구리 합금 시드층(502) 상에 구리 전도성 재료층(506)을 증착하여 피쳐를 채우는 단계를 포함한다. 본 명세서에서 사용되는 "구리 전도성 재료층"이란 용어는 구리 또는 구리 합금을 포함하는 층으로 정의된다. 구리 합금 시드층(502)은 그위에 차후 재료 증착을 보조하는 구리 금속 합금을 포함한다. 구리 합금 시드층(502)은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 다른 금속 및 이들의 조합과 같은 제 2 금속을 포함한다. 바람직하게 제 2 금속은 알루미늄, 마그네슘, 티타늄 및 이들의 합금을 포함하며 보다 바람직하게는 알루미늄을 포함한다. 소정 실시예에서, 구리 합금 시드층은 약 0.001원자 퍼센트, 약 0.01 원자 퍼센트, 또는 약 0.1 원자 퍼센트의 하한 범위 및 약 5.0원자 퍼센트, 약 2.0원자 퍼센트, 또는 약 1.0 원자 퍼센트의 상한 범위를 갖는 농도에 있는 제 2 금속을 포함한다. 임의의 하한 범위로부터 임의의 상한 범위에 있는 제 2 금속의 농도는 본 발명의 범주내에 포함된다. 구리 합금 시드층(502)내의 제 2 금속의 농도는 구리 합금 시드층(502)의 저항을 낮추기 위해 약 5.0 원자 퍼센트 이하가 바람직하다. 본 명세서에서 사용되는 "층"이란 용어는 1개 이상의 층으로서 정의된다. 예를 들어, 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 범위에 있는 농도의 구리 및 제 2 금속을 포함하는 구리 합금 시드층(502)에 대해, 구리 합금 시드층(502)은 층의 전체 조성이 약 0.001 원자 퍼센트 및 약 5.0 원자 퍼센트 사이의 농도에 있는 구리 및 제 2 금속을 포함하는 다수의 층을 포함한다. 설명을 위해, 층의 전체 조성이 약 0.001 원자 퍼센트 내지 약 5.0원자 퍼센트 사이의 농도에 있는 구리 및 제 2 금속을 포함하는 구리 합금 시드층(502)의 예로는, 구리를 포함하는 제 2 금속 및 제 2 시드층을 포함하는 제 1 시드층, 구리/제 2 금속 합금을 포함하는 제 1 시드층과 구리/제 2 금속 합금을 포함하는 제 2 시드층, 또는 구리/제 2 금속 합금을 포함하는 제 1 시드층 및 구리 등을 포함하는 제 2 시드층을 포함할 수 있다.
구리 합금 시드층(502)은 피쳐 측벽의 적어도 약 5Å 커버리지의 두께 또는 피쳐 측벽의 적어도 연속적인 커버리지의 두께로 증착된다. 일 실시예에서, 구리 합금 시드층(502)은 필드 영역에서 물리적 기상 증착에 의해 증착되는 구리 합금 시드층(502)에 대해 약 10Å 내지 약 2000Å, 바람직하게는 약 500Å 내지 약 1000Å 사이의 두께로 증착된다.
도 5b에 도시된 것처럼 또다른 실시예는 도 2b의 배리어층(204) 위에 구리 합금 시드층(512)을 증착하고, 상기 구리 합금 시드층(512) 위에 제 2 시드층(514)을 증착하고, 상기 제 2 시드층(514) 상에 구리 전도성 재료층(516)을 증착하여 피쳐를 채우는 단계를 포함한다. 구리 합금 시드층(512)은 차후 상부에 재료 증착을 보조하는 구리 금속 합금을 포함한다. 구리 합금 시드층(512)은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 다른 금속 및 이들의 조합과 같은 제2 금속을 포함한다. 바람직하게 제 2 금속은 알루미늄, 마그네슘, 티타늄 및 이들의 조합을 포함하며 보다 바람직하게는 알루미늄을 포함한다. 소정 실시예에서, 구리합금 시드층은 약 0.001 원자 퍼센트, 약 0.01 원자 퍼센트, 또는 약 0.1 원자 퍼센트의 하한 범위 및 약 5.0 원자 퍼센트, 약 2.0 원자 퍼센트, 또는 약 1.0 원자 퍼센트의 상한 범위를 갖는 농도에 있는 제 2 금속을 포함한다. 임의의 하한 범위 내지 임의의 상한 범위에 있는 제 2 금속의 농도는 본 발명의 범주내에 포함된다. 일 실시예에서, 제 2 시드층(514)은 도핑되지 않은 구리(즉, 순수한 구리)를 포함한다. 일면에서, 동일한 두께의 구리 합금 시드층(512)보다 전기적 저항성이 낮고 표면 산화에 대한 내성이 강하기 때문에 도핑되지 않은 구리를 포함하는 제 2 시드층(514)이 사용된다.
구리 합금 시드층(512)은 피쳐의 측벽 상에 단분자층 두께 이하(즉, 하위-단분자층(sub-monolayer) 두께 또는 불연속층)로 증착된다. 일 실시예에서, 필드 영역에서 구리 합금 시드층(512)과 제 2 시드층(514) 조합의 두께는 물리적 기상 증착에 의해 증착되는 구리 합금 시드층(512)과 제 2 시드층(514)에 대해 약 10Å 내지 약 2000Å, 바람직하게는 약 500Å 내지 약 1000Å 사이이다.
도 5c에 도시된 또다른 실시예는 도 2b의 배리어층(204) 상에 제 1 시드층(523)을 증착하고, 상기 제 1 시드층(523)위에 제 2 시드층(524)을 증착하고, 상기 제2 시드층(524) 위에 구리 전도성 재료층(526)을 증착하여 피쳐를 채우는 단계를 포함한다. 제 1 시드층(523)은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 조합으로부터 선택된 금속을 포함한다. 바람직하게, 제 1 시드층(523)은 알루미늄을 포함한다. 일 실시예에서, 제 2 시드층(514)은 도핑되지 않은 구리(즉 순수한 구리)를 포함한다.
제 1 시드층(523)은 피쳐 측벽상의 단분자층 두께 이하(즉, 하위-단분자층 두께 또는 불연속층)로 증착된다. 일 실시예에서, 제 1 시드층은 조합된 시드층의 전체 저항을 낮추기 위해 약 50Å 이하의 측벽 커버리지, 바람직하게는 약 40Å 이하의 측벽 커버리지로 증착된다. 필드 영역에서 제 1 시드층(523)과 제 2 시드층(524) 조합의 두께는 물리적 기상 증착에 의해 증착되는 제 1 시드층(523)과 제 2 시드층(524)에 대해 약 10Å 내지 약 2000Å, 바람직하게는 약 500Å 내지 약 1000Å 사이이다.
구리 합금 시드층(502,512), 제 1 시드층(523), 또는 제 2 시드층(514,524)은 물리적 기상 증착, 화학적 기상 증착, 원자층 증착, 무전해 증착, 또는 이들 기술의 조합과 같은 기술에 의해 증착될 수 있다. 일반적으로, 시드층이 물리적 기상 증착 기술을 이용하여 증착되는 경우, 도 4에 도시된 챔버(412)와 같은 챔버는 증착되는 금속 또는 금속 합금과 유사한 조성을 갖는 타겟(414)과 같은 타겟을 포함한다. 예를 들어, 구리 합금 시드층(502,512)을 증착하기 위해, 타겟은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 다른 금속 및 이들의 조합과 같은 제 2 금속을 포함한다. 바람직하게 제 2 금속은 알루미늄을 포함한다. 소정 실시예에서, 타겟은 약 0.001 원자 퍼센트, 약 0.01 원자 퍼센트, 또는 약 0.1 원자 퍼센트의 하한 범위 및 약 5.0 원자 퍼센트, 약 2.0 원자 퍼센트, 또는 약 1.0 원자 퍼센트의 상한 범위를 갖는 농도에 있는 제 2 금속을 포함한다. 임의의 하한 범위내지 임의의 상한 범위의 제 2 금속의 농도는 본 발명의 범주에 포함된다. 또다른 예에서, 제 1 시드층(523)을 증착하기 위해서, 타겟은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함한다. 시드층이 화학적 화학적 기상 증착 또는 원자층 증착에 의해 증착되면, 도 1에 도시된 챔버와 같은 챔버는 증착되는 금속 또는 금속 합금의 적절한 금속 전구체를 전달하도록 조절된다.
도 4의 처리 챔버와 같은 처리 챔버에서 물리적 기상 증착의 의해 시드층을 증착하는 일 실시예의 공정은, 증착되는 재료의 타겟을 이용하는 단계를 포함한다. 처리 챔버는 약 0.1 mtorr 내지 약 10 mtorr 사이의 압력에서 유지된다. 타겟은 약 5kW 내지 약 100kW 사이의 전력으로 DC 바이어스된다. 페데스탈은 약 0 내지 약 1000W 사이의 전력으로 RF-바이어스된다. 페데스탈은 가열되지 않는다(즉, 실온).
구리 전도성 재료층(506,516,526)은 전기도금, 물리적 기상 증착, 화학적 기상 증착, 무전해 증착 또는 이들 기술의 조합에 의해 증착된다. 바람직하게, 구리전도성 재료층(506,516,526)은 전기도금 공정에서 얻어지는 상향식 성장(bottom-up growth) 때문에 전기도금에 의해 증착된다. 전기도금 방법의 예는 2000년 9월 5일 발행된 "Electro Deposition Chemistry"란 명칭의 미국 특허 번호 6,113,771호에 개시되며, 이는 본 발명과 모순되지 않는 범위에서 참조된다.
구리-알루미늄 시드층과 같은 구리 합금 시드층은 배리어층 상의 도핑되지 않은 구리 시드층과 비교할 때 배리어층상의 접착력이 개선된다. 구리 합금 시드층은 배리어 상에서 양호한 접착력을 갖기 때문에, 구리 합금 시드층은 상부에 증착되는 재료에 대해 양호한 습윤제로서 작용한다. 이론에 제한되지 않고, 구리 및 구리 시드층의 다른 금속의 농도는 양호한 습윤성 및 양호한 전기적 특성을 갖는 시드층을 제공할 것으로 여겨진다. 또한, 단분자층 이하의 전체 두께를 갖는 구리 합금 시드층은 도핑되지 않은 시드층과 같은 제 2 시드층이 그위에 적어도 조합된 연속적인 시드층을 제공할 때까지 사용될 수 있으며, 이는 구리 합금 시드층은 그위의 재료의 접착력을 위해 개선된 인터페이스를 제공하기 때문인 것으로 여겨진다.
유사하게, 일루미늄 시드층과 같은 금속 시드층은 배리어층 상의 도핑되지 않은 구리 시드층과 비교할 때 배리어층 상에서 개선된 접착력을 갖는다. 금속 시드층은 배리어층 상에서 양호한 접착력을 갖기 때문에, 금속 시드층은 그위에 증착되는 재료에 대해 양호한 습윤제로서 작용한다. 이론에 제한되지 않고, 단분자층 전체 두께 이하를 갖는 알루미늄 시드층과 같은 금속 시드층이 사용될 수 있으며, 이는 금속층은 금속층 상에 증착되는 도핑되지 않은 구리 시드층과 같이, 그위의재료의 접착력을 위해 개선된 인터페이스를 제공하기 때문인 것으로 여겨진다.
본 명세서에서 개시된 시드층은 그위에 증착되는 구리 전도성 재료층과 같이, 그위에 증착되는 재료에 대해 양호한 습윤성을 갖고 배리어층 상의 접착력을 개선시킨다. 따라서, 시드층은 구리 전도성 재료층을 증착하는 동안, 고온에서의 차후 처리과정 동안, 그리고 장치를 사용하는 동안 장치가 열적 스트레스를 받는 동안 구리 전도성 재료층내의 응집, 디웨팅, 또는 보이드 형성 가능성을 감소시킴으로써 장치의 신뢰성을 증가시킨다.
일 실시예에서, 시드층은 임의의 증착 기술에 의해 증착되는 임의의 배리어층 및 배리어층들로 사용될 수 있다. 또한 시드층은 임의의 증착 기술에 의해 증착될 수 있다. 또한, 구리 전도성 재료층과 같은 전도성 재료층은 임의의 증착 기술에 의해 시드층 상에 증착될 수 있다.
본 발명의 공정은 약 4:1, 약 6:1, 또는 약 10:1 이상의 종횡비를 갖고 약 0.2 미크론 이하의 개구부 폭을 갖는 개구부를 채울 때 바람직하게 사용된다.
본 명세서에 개시된 공정은 개별 챔버내에서 실행되거나 또는 다수의 챔버를 갖는 다중 챔버 처리 시스템에서 수행될 수 있다. 도 6은 본 명세서에서 개시된 공정을 수행하도록 조절되는 다중-챔버 처리 시스템(600)의 일례를 개략적으로 상부에서 본 도면이다. 장치는 ENDURATM 시스템이며 캘리포니아 산타 클라라의 Applied Materials, Inc.로부터 상업적으로 이용가능하다. 유사한 다중-챔버 처리 시스템으로는, 본 명세서와 모순되지 않는 범위에서 참조되는, 1993년 2월 16일라고 발행된 "Stage Vaccum Wafer Processing System and Method"(Tepman 등)이란 명칭의 미국 특허 번호 5,186,718호에 개시되어 있다. 시스템(600)의 특정 실시예는 본 발명을 설명하도록 제공되며 본 발명의 범주를 제한하지 않고 사용된다.
통상적으로 시스템(600)은 시스템(600) 안팎으로 기판을 전달하기 위한 로드록 챔버(602,604)를 포함한다. 전형적으로, 시스템(600)은 진공상태에 있으며, 로드록 챔버(602,604)는 시스템(600)으로 도입되는 기판을 "펌프 다운"시킨다. 제 1 로봇(610)은 로드록 챔버(602,604), 처리 챔버(612,614), 전달 챔버(622,624) 및 다른 챔버(616,618) 사이로 기판을 전달할 수 있다. 제 2 로봇(630)은 처리 챔버(632,634,636,638)와 전달 챔버(622,624) 사이로 기판을 전달할 수 있다. 처리 챔버(612,614,632,634,636,638)는 시스템(600)에 의해 특정 공정이 수행되는 데 있어 필요없다면 시스템(600)으로부터 제거될 수 있다.
일실시예에서, 시스템(600)은 처리 챔버(634)가 구리 합금 시드층(502)을 증착할 수 있도록 구성된다. 예를 들어, 구리 합금 시드층(502)을 증착하기 위한 처리 챔버(634)는 물리적 기상 증착 챔버, 화학적 기상 증착 챔버, 또는 원자층 증착 챔버일 수 있다. 시스템(600)은 배리어층(204)를 증착하도록 조절되며 구리 합금 시드층(502)은 상기 배리어층 위에 증착된다. 예를 들어, 배리어층(204)을 증착하는 처리 챔버(632)는 원자층 증착 챔버, 화학적 기상 증착 챔버, 또는 물리적 기상 증착 챔버일 수 있다. 특정 실시예에서, 처리 챔버(632)는 도 1에 도시된 챔버와같은 원자층 증착 챔버일 수 있고, 처리 챔버(634)는 도 4에 도시된 챔버와 같은 물리적 기상 증착 챔버일 수 있다.
또다른 실시예에서, 시스템(600)은 처리 챔버(634)가 구리 합금 시드층(512)을 증착하도록 조절되고 처리 챔버(636)가 구리 합금 시드층(512)상에 제 2 시드층(514)을 증착하도록 조절될 수 있도록 구성된다. 예를 들어, 구리 합금 시드층(512)을 증착하기 위한 처리 챔버(634) 및/또는 제 2 시드층을 증착하기 위한 처리 챔버(636)는 물리적 기상 증착 챔버, 화학적 기상 증착 챔버, 또는 원자층 증착 챔버일 수 있다. 시스템(600)은 처리 챔버(632)가 그상부에 구리 합금 시드층(512)이 증착되는 배리어층(204)을 증착하도록 조절될 수 있도록 구성될 수 있다. 예를 들어, 배리어층(204)을 증착하기 위한 처리 챔버(632)는 원자층 증착 챔버, 화학적 기상 증착 챔버, 또는 물리적 기상 증착 챔버일 수 있다. 특정 실시예에서, 처리 챔버(632)는 도 1에 도시된 챔버와 같은 원자층 증착 챔버일 수 있고, 처리 챔버(634,636)는 도 4에 도시된 챔버와 같은 물리적 기상 증착 챔버일 수 있다.
또다른 예에서, 시스템(600)은 처리 챔버(634)가 금속 시드층(523)을 증착하고 처리 챔버(636)가 금속 시드층(523) 상에 제 2 시드층(524)을 증착하도록 구성된다. 예를 들어, 금속 시드층(523)을 증착하기 위한 처리 챔버(634) 및/또는 제 2 시드층(524)을 증착하기 위한 처리 챔버(636)는 물리적 기상 증착 챔버, 화학적 기상 증착 챔버, 또는 원자층 증착 챔버일 수 있다. 또한 시스템은 처리 챔버(632)가 그상부에 시드층(523)이 증착되는 배리어층(204)을 증착하도록 조절된다. 예를 들어, 배리어층(204)을 증착하기 위한 처리 챔버(632)는 원자층 증착 챔버, 화학적 기상 증착 챔버, 또는 물리적 기상 증착 챔버일 수 있다. 특정 실시예에서, 처리 챔버(632)는 도 1에 도시된 원자층 증착 챔버일 수 있고, 처리챔버(634,636)는 도 4에 도시된 챔버와 같은 물리적 기상 증착 챔버일 수 있다.
일면에서, 배리어층(204) 및 시드층(구리 합금 시드층(502), 구리 합금 시드층(512) 및 제 2 시드층(514) 또는 금속 시드층(523) 및 제 2 시드층(524))의 증착은 진공하에서 다중-챔버 처리 시스템에서 수행되어 공기 및 다른 불순물이 층 속에 통합되는 것을 방지하고 배리어층(204) 상에 시드 구조물을 유지할 수 있다.
시스템(600)의 다른 실시예는 본 발명의 범주에 포함된다. 예를 들어, 시스템 상의 특정 처리 챔버의 위치는 변경가능하다. 또다른 실시예에서, 단일 처리 챔버는 2개의 상이한 층을 증착할 수 있다.
실시예들
실시예 1
TaN은 약 20Å 두께로 원자층 증착에 의해 기판 상에 증착된다. 시드층은 약 100Å의 두께로 물리적 기상 증착에 의해 TaN층 상에 증착된다. 시드층은, 1) 도핑되지 않은 구리를 포함하는 타겟을 이용하여 증착된 도핑되지 않은 구리, 2) 약 2.0 원자 퍼센트의 농도에 있는 알루미늄을 포함하는 구리-알루미늄 타겟을 이용하여 증착된 약 2.0 원자 퍼센트의 농도에 있는 알루미늄을 포함하는 구리 합금, 3) 약 2.0 원자 퍼센트의 농도에 있는 주석을 포함하는 구리-주석 타겟을 이용하여 증착된 약 2.0 원자 퍼센트 농도의 주석을 포함하는 구리 합금, 또는 4) 약 2.0 원자 퍼센트의 농도에 있는 지르코늄을 포함하는 구리-지르코늄 타겟을 이용하여 증착된 약 2.0 원자 퍼센트의 농도에 있는 지르코늄을 포함하는 구리 합금중 하나를 포함한다. 형성되는 기판은 질소(N2) 및 수소(H2) 분위기에서 약 15분의 시간 주기 동안 약 380℃의 온도에서 어닐링 처리된다.
스캐닝 전자 현미경 사진은 어닐링 후 도핑되지 않은 구리층의 덩어리를 나타낸다. 구리-지르코늄 합금은 도핑되지 않은 구리층 보다 덩어리가 적은 것으로 도시된다. 구리-주석 합금은 구리-지르코늄 합금보다 덩어리가 적은 것으로 도시된다. 구리-알루미늄 합금은 심각한 덩어리가 없는 것으로 도시된다.
실시예 2
약 2.0 원자 퍼센트의 알루미늄을 포함하는 구리-알루미늄 합금막이 2.0 원자 퍼센트의 농도에 있는 알루미늄을 포함하는 구리-알루미늄 타겟을 이용하여 물리적 기상 증착에 의해 상이한 기판상에 증착된다. 형성되는 기판은, 1) ALD TaN층 상에 약 50Å의 두께로 증착된 구리-알루미늄층, 2) 약 100Å Ta 층 상에 약 50Å의 두께로 증착된 구리-알루미늄층, 3) ALD TaN층 상에 약 100Å의 두께로 증착된 구리-알루미늄층, 4) 실리콘 질화물(SiN)층 상에 약 100Å의 두께로 증착된 구리-알루미늄층, 5) 실리콘 산화물층 상에 약 100Å의 두께로 증착된 구리-알루미늄층을 포함한다. 형성되는 기판은 질소(N2) 및 수소(H2) 분위기에서 약 15분의 시간 주기 동안 약 380℃의 온도에서 어닐링된다. 스캐닝 전자 현미경 사진은 다양한 기판상의 구리-알루미늄 합금에 심각한 덩어리가 없다는 것을 나타낸다.
실시예 3
약 2.0 원자 퍼센트의 알루미늄을 포함하는 구리-알루미늄 합금막이 ALD TaN층 상에 50Å 또는 100Å 두께로 2.0 원자 퍼센트의 농도에 있는 알루미늄을 포함하는 구리-알루미늄 타겟을 이용하여 물리적 기상 증착에 의해 증착된다. 형성되는 기판은 질소(N2) 및 수소(H2) 분위기에서 약 15분의 시간 주기 동안 약 380℃, 약 450℃, 또는 약 500℃의 온도에서 어닐링된다. 스캐닝 전자 현미경 사진은 약 380℃ 또는 약 450℃의 온도에서 어닐링된 기판에 대한 구리-알루미늄 합금에 심각한 덩어리가 없다는 것을 나타낸다. 구리-알루미늄 합금은 약 500℃의 온도에서 어닐링된 기판에 대해 다소 디웨팅이 발생되기 시작한다는 것을 나타낸다.
실시예 4
약 2.0 원자 퍼센트의 알루미늄을 포함하는 구리-알루미늄 합금막은 ALD TaN층 상에 약 50Å 또는 약 100Å 두께로 약 2.0 원자 퍼센트의 농도에 있는 알루미늄을 포함하는 구리-알루미늄 타겟을 이용하여 물리적 기상 증착에 의해 증착된다. 형성되는 기판은 질소(N2) 및 수소(H2) 분위기에서 약 30분의 시간 주기 동안 약 450℃의 온도에서 어닐링된다. 스캐닝 전자 현미경 사진은 약 30분의 시간 주기 동안 약 450℃의 온도에서 어닐링된 기판에 대해 구리-알루미늄 합금에 심각한 덩어리가 없다는 것을 나타낸다.
상기 설명은 본 발명의 바람직한 실시예에 관한 것이지만, 이하 첨부되는 청구항의 범주를 이탈하지 않는한 본 발명의 또다른 실시예가 이루어질 수 있다.

Claims (76)

  1. 피쳐를 충진시키는 방법으로서,
    배리어층을 증착하는 단계;
    상기 배리어층 상에 시드층을 증착하는 단계-상기 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금으로 이루어진 그룹에서 선택된 금속을 포함함-; 및
    상기 시드층 상에 구리 전도성 재료층을 증착하는 단계
    를 포함하는 피쳐 충진 방법.
  2. 시드층 상에 전도성 재료층의 순차적인 증착을 위해 배리어층 상에 시드층을 증착하는 방법으로서,
    상기 배리어층 상에 구리 합금 시드층을 증착하는 단계를 포함하며, 상기 구리 합금 시드층은 구리 및 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도의 금속을 포함하고, 상기 금속은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택되는 증착 방법.
  3. 시드층 상에 전도성 재료층의 순차적 증착을 위해 배리어층 상에 시드층을 증착하는 방법으로서,
    상기 배리어층 상에 구리 합금 시드층을 증착하는 단계-상기 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함함-; 및
    상기 구리 합금 시드층 상에 제 2 시드층을 증착하는 단계
    를 포함하는 증착 방법.
  4. 제 3 항에 있어서, 상기 구리 합금 시드층은 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 증착 방법.
  5. 제 2 항 또는 제 3 항에 있어서, 상기 구리 합금 시드층은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 증착 방법.
  6. 제 2 항 또는 제 3 항에 있어서, 상기 구리 합금 시드층은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 증착 방법.
  7. 시드층 상에 전도성 배리어층을 순차적으로 증착하기 위해 배리어층 상에 시드층을 증착하는 방법으로서,
    상기 배리어층 상에 제 1 시드층을 증착하는 단계-상기 제 1 시드층은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함함-; 및
    상기 제 1 시드층 상에 제 2 시드층을 증착하는 단계
    를 포함하는 증착 방법.
  8. 제 7 항에 있어서, 상기 제 1 시드층은 하위-단분자층 내지 약 50Å 사이의 측벽 커버리지로 증착되는 것을 특징으로 하는 증착 방법.
  9. 제 7 항에 있어서, 상기 제 1 시드층은 하위-단분자층 내지 약 40Å 사이의 측벽 커버리지로 증착되는 것을 특징으로 하는 증착 방법.
  10. 제 3 항 또는 제 7 항에 있어서, 상기 구리 전도성 재료층은 제 2 시드층 상에 증착되는 것을 특징으로 하는 증착 방법.
  11. 구리 금속화를 위한 기판 구조물을 제공하는 방법으로서,
    약 50Å 이하의 측벽 커버리지로 배리어층을 증착하는 단계; 및
    상기 배리어층 상에 시드층을 증착하는 단계-상기 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 합금으로 이루어진 그룹에서 선택된 금속을 포함함-
    를 포함하는 기판 구조물 제공 방법.
  12. 제 11 항에 있어서, 상기 배리어층은 약 20Å 이하의 측벽 커버리지로 증착되는 것을 특징으로 하는 기판 구조물 제공 방법.
  13. 제 11 항에 있어서, 상기 배리어층은 약 10Å 이하의 측벽으로 증착되는 것을 특징으로 하는 기판 구조물 제공 방법.
  14. 제 1 항 또는 제 11 항에 있어서, 상기 시드층은 상기 구리 및 금속의 구리 합금 시드층을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  15. 피쳐 충진 방법으로서,
    배리어층을 증착하는 단계;
    상기 배리어층 상에 구리 합금 시드층을 증착하는 단계-상기 구리 합금 시드층은 구리 및 약 0.01 원자 퍼센트 내지 5.0 원자 퍼센트 사이의 농도의 금속을 포함하며, 상기 금속은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금으로 이루어진 그룹에서 선택됨-; 및
    상기 구리 합금 시드층 상에 구리 전도성 재료층을 증착하는 단계
    를 포함하는 피쳐 충진 방법.
  16. 제 1 항, 제 11 항 또는 제 15 항에 있어서, 상기 배리어층은 원자층 증착, 화학적 기상 증착, 물리적 기상 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술로써 증착되는 것을 특징으로 하는 피쳐 충진 방법.
  17. 피쳐 충진 방법으로서,
    원자층 증착에 의해 배리어층을 증착하는 단계;
    상기 배리어층 상에 구리 합금 시드층을 증착하는 단계-상기 구리 합금 시드층은 구리 및 약 0.01 원자 퍼센트 내지 5.0 원자 퍼센트 사이의 농도의 금속을 포함하고, 상기 금속은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 조합으로 이루어진 그룹에서 선택됨-;
    상기 구리 합금 시드층 상에 제 2 시드층을 증착하는 단계; 및
    상기 제 2 시드층 상에 구리 전도성 재료층을 증착하는 단계
    를 포함하는 피쳐 충진 방법.
  18. 제 2 항, 제 3 항, 제 15 항, 또는 제 17 항에 있어서, 상기 구리 합금 시드층은 물리적 기상 증착, 화학적 기상 증착, 원자층 증착, 무전해 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술에 의해 증착되는 것을 특징으로 하는 피쳐 충진 방법.
  19. 피쳐 충진 방법으로서,
    원자층 증착에 의해 배리어층을 증착하는 단계;
    상기 배리어층 상에 하위-단분자층 내지 약 50Å 사이의 측벽 커버리지로 제 1 시드층을 증착하는 단계-상기 제 1 시드층은 알루미늄을 포함함-;
    상기 제 1 시드층 상에 제 2 시드층을 증착하는 단계; 및
    상기 제 2 시드층 상에 전도성 재료층을 증착하는 단계
    를 포함하는 피쳐 충진 방법.
  20. 제 7 항 또는 제 19 항에 있어서, 상기 제 1 시드층은 물리적 기상 증착, 화학적 기상 증착, 원자층 증착, 무전해 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술로써 증착되는 것을 특징으로 하는 피쳐 충진 방법.
  21. 제 3 항, 제 7 항, 제 17 항, 또는 제 19 항에 있어서, 상기 제 2 시드층은 물리적 기상 증착, 화학적 기상 증착, 원자층 증착, 무전해 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술로써 증착되는 것을 특징으로 하는 피쳐 충진 방법.
  22. 제 1 항, 제 15 항, 제 17 항, 또는 제 19 항에 있어서, 상기 구리 전도성 재료층은 전기도금, 무전해 증착, 화학적 기상 증착, 물리적 기상 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술로서 증착되는 것을 특징으로 하는 피쳐 충진 방법.
  23. 구리의 전기도금을 위한 기판 구조물 제공 방법으로서,
    원자층 증착에 의해 배리어층을 증착하는 단계; 및
    상기 배리어층 상에 시드층을 증착하는 단계-상기 시드층은 구리 및 알루미늄을 포함함-
    를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  24. 제 23 항에 있어서, 상기 시드층은 구리 및 알루미늄의 구리 합금 시드층을 포함하며, 상기 알루미늄은 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도의 구리 합금 시드층에 제공되는 것을 특징으로 하는 기판 구조물 제공 방법.
  25. 제 1 항, 제 11 항, 또는 제 23 항에 있어서, 상기 시드층은 상기 배리어층 상에 증착되는 제 1 시드층과 상기 제 1 시드층 상에 증착되는 제 2 시드층을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  26. 제 25 항에 있어서, 상기 제 1 시드층은 구리 및 알루미늄의 구리 합금 시드층을 포함하며, 상기 알루미늄은 약 0.001 원자 퍼센트 및 약 5.0 원자 퍼센트 사이의 농도의 구리 합금 시드층에 제공되며 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  27. 제 24 항에 있어서, 상기 구리 합금 시드층은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도의 상기 알루미늄을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  28. 제 26 항에 있어서, 상기 구리 합금 시드층은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도의 상기 알루미늄을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  29. 제 24 항에 있어서, 상기 구리 합금 시드층은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도의 상기 알루미늄을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  30. 제 26 항에 있어서, 상기 구리 합금 시드층은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도의 상기 알루미늄을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  31. 제 25 항에 있어서, 상기 제 1 시드층은 하위-단분자층 내지 약 50Å 사이의 측벽 커버리지의 알루미늄을 포함하며, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  32. 제 1 항, 제 11 항, 제 15 항, 제 17 항, 제 19 항, 또는 제 23 항에 있어서, 상기 배리어층은 티타늄, 티타늄 질화물, 티타늄 실리콘 질화물, 탄탈, 탄탈 질화물, 탄탈 실리콘 질화물, 텅스텐, 텅스텐 질화물, 텅스텐 실리콘 질화물, 및 이들의 조합으로 이루어진 그룹에서 선택된 재료인 것을 특징으로 하는 기판 구조물 제공 방법.
  33. 제 1 항 또는 제 11 항에 있어서, 상기 시드층은 물리적 기상 증착, 화학적 기상 증착, 원자층 증착, 무전해 증착, 및 이들의 조합으로 이루어진 그룹에서 선택된 기술에 의해 증착되는 것을 특징으로 하는 기판 구조물 제공 방법.
  34. 제 25 항에 있어서, 상기 제 1 시드층은 상기 구리 및 금속의 구리 합금 시드층을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  35. 제 25 항에 있어서, 상기 제 1 시드층은 상기 금속을 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  36. 제 3 항, 제 7 항, 또는 제 17 항에 있어서, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  37. 제 34 항에 있어서, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  38. 제 35 항에 있어서, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 기판 구조물 제공 방법.
  39. 시드층의 물리적 기상 증착용 타겟으로서,
    구리; 및
    알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함하며,
    상기 금속은 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도로 상기 타겟에 제공되는 물리적 기상 증착용 타겟.
  40. 제 39 항에 있어서, 상기 금속은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도로 상기 타겟에 제공되는 것을 특징으로 하는 물리적 기상 증착용 타겟.
  41. 제 39 항에 있어서, 상기 금속은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도로 제공되는 것을 특징으로 하는 물리적 기상 증착용 타겟.
  42. 시드층을 증착하는 챔버로서,
    구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석 및 이들의 합금으로 이루어진 그룹에서 선택된 금속을 포함하는 타겟을 포함하며,
    상기 금속은 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도로 상기 타겟에 제공되는 챔버.
  43. 제 42 항에 있어서, 상기 금속은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도로 상기 타겟에 제공되는 것을 특징으로 하는 챔버.
  44. 제 42 항에 있어서, 상기 금속은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도로 제공되는 것을 특징으로 하는 챔버.
  45. 기판 처리 시스템으로서,
    구리 합금 시드층을 증착하는 제 1 챔버를 포함하며,
    상기 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함하며, 상기 금속은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도로 상기 구리 합금에 제공되는 기판 처리 시스템.
  46. 제 45 항에 있어서, 배리어층 증착을 위한 제 2 챔버를 더 포함하며, 상기구리 합금 시드층은 상기 배리어층 상에 증착되는 것을 특징으로 하는 기판 처리 시스템.
  47. 제 46 항에 있어서, 상기 제 2 챔버는 원자층 증착 챔버, 화학적 기상 증착 챔버, 및 물리적 기상 증착 챔버로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 처리 시스템.
  48. 제 46 항에 있어서, 상기 제 1 챔버는 물리적 기상 증착 챔버이고 상기 제 2 챔버는 원자층 증착 챔버인 것을 특징으로 하는 기판 처리 시스템.
  49. 제 46 항에 있어서, 상기 제 1 챔버 및 제 2 챔버와 연통되는 전달 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.
  50. 제 46 항에 있어서, 상기 챔버들중 적어도 두 개는 단일 챔버인 것을 특징으로 하는 기판 처리 시스템.
  51. 기판 처리 시스템으로서,
    구리 합금 시드층을 증착하는 제 1 챔버-상기 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함함-; 및
    상기 구리 합금 시드층 상에 도핑되지 않은 구리 시드층을 증착하는 제 2 챔버
    를 포함하는 기판 처리 시스템.
  52. 제 51 항에 있어서, 배리어층 증착을 위한 제 3 층을 더 포함하며, 사익 구리 합금 시드층은 상기 배리어층 상에 증착되는 것을 특징으로 하는 기판 처리 시스템.
  53. 기판 처리 시스템으로서,
    금속 시드층을 증착하는 제 1 챔버-상기 금속 시드층은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택되는 금속을 포함함-; 및
    상기 금속 시드층 상에 도핑되지 않은 구리 시드층을 증착하기 위한 제 2 챔버
    를 포함하는 기판 처리 시스템.
  54. 제 53 항에 있어서, 배리어층 증착을 위한 제 3 챔버를 더 포함하며, 상기 금속 시드층은 상기 배리어층 상에 증착되는 것을 특징으로 하는 기판 처리 시스템.
  55. 제 45 항, 제 51 항, 또는 제 53 항에 있어서, 상기 제 1 챔버는 물리적 기상 증착 챔버, 화학적 기상 증착 챔버, 원자층 증착 챔버, 및 무전해 증착 챔버로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 처리 시스템.
  56. 제 51 항 또는 제 53 항에 있어서, 상기 제 2 챔버는 물리적 기상 증착 챔버, 화학적 기상 증착 챔버, 원자층 증착 챔버, 및 무전해 증착 챔버로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 처리 시스템.
  57. 제 52 항 또는 제 54 항에 있어서, 상기 제 3 챔버는 원자층 증착 챔버, 화학적 기상 증착 챔버, 및 물리적 기상 증착 챔버로 이루어진 그룹에서 선택되는 것을 특징으로 하는 기판 처리 시스템.
  58. 제 52 항 또는 제 54 항에 있어서, 상기 제 1 챔버는 물리적 기상 증착 챔버이고, 상기 제 2 챔버는 물리적 기상 증착 챔버이고, 상기 제 3 챔버는 원자층 증착 챔버인 것을 특징으로 하는 기판 처리 시스템.
  59. 제 52 항 또는 제 54 항에 있어서, 상기 제 1 챔버, 제 2 챔버, 및 제 3 챔버와 연통되는 전달 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.
  60. 제 52 항 또는 제 54 항에 있어서, 상기 챔버들중 적어도 두 개는 단일 챔버인 것을 특징으로 하는 기판 처리 시스템.
  61. 상부에 유전층이 형성된 기판-상기 유전층은 상기 기판의 상부 표면에서 내부에 개구부가 형성됨-;
    상기 유전층 상에 형성된 적어도 하나의 배리어층;
    상기 적어도 하나의 배리어층 상에 형성된 구리 합금 시드층-상기 구리 합금 시드층은 구리 및 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도의 금속을 포함하며, 상기 금속은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택됨-; 및
    상기 구리 합금 시드층 상에 형성된 구리 전도성 재료층
    을 포함하는 구조물.
  62. 제 61 항에 있어서, 상기 구리 합금 시드층은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 구조물.
  63. 제 61 항에 있어서, 상기 구리 합금 시드층은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 구조물.
  64. 상부에 유전층이 형성된 기판-상기 유전층은 상기 기판 상부 표면에서 내부에 개부가 형성됨-;
    상기 유전층 상에 형성된 적어도 하나의 배리어층;
    상기 적어도 하나의 배리어층 상에 형성된 구리 합금 시드층- 상기 구리 합금 시드층은 구리 및 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택됨-;
    상기 구리 합금 시드층 상에 형성된 제 2 시드층; 및
    상기 제 2 시드층 상에 형성된 구리 전도성 재료층
    을 포함하는 구조물.
  65. 제 64 항에 있어서, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 구조물.
  66. 제 64 항에 있어서, 상기 구리 합금 시드층은 약 0.001 원자 퍼센트 내지 약 5.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 구조물.
  67. 제 64 항에 있어서, 상기 구리 합금 시드층은 약 0.01 원자 퍼센트 내지 약 2.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 구조물.
  68. 제 64 항에 있어서, 상기 구리 합금 시드층은 약 0.1 원자 퍼센트 내지 약 1.0 원자 퍼센트 사이의 농도의 상기 금속을 포함하는 것을 특징으로 하는 구조물.
  69. 상부에 유전층이 형성된 기판-상기 유전층은 상기 기판의 상부 표면에서 내부에 개구부가 형성됨-;
    상기 유전층 상에 형성된 적어도 하나의 배리어층;
    상기 적어도 하나의 배리어층 상에 형성된 제 1 시드층-상기 제 1 시드층은 알루미늄, 마그네슘, 티타늄, 지르코늄, 주석, 및 이들의 조합으로 이루어진 그룹에서 선택된 금속을 포함함-;
    상기 제 1 시드층 상에 형성된 제 2 시드층; 및
    상기 제 2 시드층 상에 형성된 구리 전도성 재료층
    을 포함하는 구조물.
  70. 제 69 항에 있어서, 상기 제 2 시드층은 도핑되지 않은 구리를 포함하는 것을 특징으로 하는 구조물.
  71. 제 69 항에 있어서, 상기 제 1 시드층은 하위-단분자층 내지 약 50Å 사이의 측벽 커버리지를 갖는 것을 특징으로 하는 구조물.
  72. 제 69 항에 있어서, 상기 제 1 시드층은 하위-단분자층 내지 약 40Å 사이의 측벽 커버리지를 갖는 것을 특징으로 하는 구조물.
  73. 제 61 항, 제 64 항, 또는 제 69 항에 있어서, 상기 배리어층은 티타늄, 티타늄 질화물, 티타늄 실리콘 질화물, 탄탈, 탄탈 질화물, 탄탈 실리콘 질화물, 텅스텐, 텅스텐 질화물, 텅스텐 실리콘 질화물, 및 이들의 조합으로 이루어진 그룹에서 선택된 재료를 포함하는 것을 특징으로 하는 구조물.
  74. 제 61 항, 제 64 항, 또는 제 69 항에 있어서, 상기 배리어층은 약 50Å 이하의 측벽 커버리지를 갖는 것을 특징으로 하는 구조물.
  75. 제 61 항, 제 64 항, 또는 제 69 항에 있어서, 상기 배리어층은 약 20Å 이하의 측벽 커버리지를 갖는 것을 특징으로 하는 구조물.
  76. 제 61 항, 제 64 항, 또는 제 69 항에 있어서, 상기 배리어층은 약 50Å 이하의 측벽 커버리지를 갖는 것을 특징으로 하는 구조물.
KR10-2004-7004515A 2001-09-26 2002-09-09 배리어층 및 시드층 통합 KR20040045007A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US09/965,369 US20030057526A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 US6936906B2 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
US09/965,373 2001-09-26
US09/965,370 2001-09-26
US09/965,369 2001-09-26
US09/965,370 US20030059538A1 (en) 2001-09-26 2001-09-26 Integration of barrier layer and seed layer
PCT/US2002/028715 WO2003028090A2 (en) 2001-09-26 2002-09-09 Integration of barrier layer and seed layer

Publications (1)

Publication Number Publication Date
KR20040045007A true KR20040045007A (ko) 2004-05-31

Family

ID=27420751

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7004515A KR20040045007A (ko) 2001-09-26 2002-09-09 배리어층 및 시드층 통합

Country Status (5)

Country Link
EP (1) EP1433202A2 (ko)
JP (1) JP2005528776A (ko)
KR (1) KR20040045007A (ko)
CN (2) CN102361004B (ko)
WO (1) WO2003028090A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100968312B1 (ko) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 저-k 물질 상의 TaN 확산장벽 영역의 PE-ALD
KR101139696B1 (ko) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 화학기상 증착장치
KR101357171B1 (ko) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 화학기상증착장치
KR20160013066A (ko) * 2013-05-20 2016-02-03 인벤사스 코포레이션 금속 pvd-프리 도전 구조물들

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
CN1795290B (zh) * 2003-05-27 2010-06-16 应用材料股份有限公司 一种用来产生一可用于半导体处理系统的前体的方法和设备
JP4896850B2 (ja) * 2006-11-28 2012-03-14 株式会社神戸製鋼所 半導体装置のCu配線およびその製造方法
WO2009031886A2 (en) * 2007-09-07 2009-03-12 Fujifilm Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
JP5135002B2 (ja) * 2008-02-28 2013-01-30 ルネサスエレクトロニクス株式会社 半導体装置
JP2010087094A (ja) * 2008-09-30 2010-04-15 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
CN101937864B (zh) * 2009-07-03 2012-03-07 中芯国际集成电路制造(上海)有限公司 接触孔填充方法
US9245765B2 (en) 2009-10-16 2016-01-26 Empire Technology Development Llc Apparatus and method of applying a film to a semiconductor wafer and method of processing a semiconductor wafer
JP2012060015A (ja) * 2010-09-10 2012-03-22 Hitachi Cable Ltd 電子デバイス配線用Cu合金スパッタリングターゲット材、及び素子構造
JP2012151417A (ja) * 2011-01-21 2012-08-09 Japan Display Central Co Ltd 薄膜トランジスタ回路基板及びその製造方法
CN102790009B (zh) * 2011-05-16 2015-04-29 中芯国际集成电路制造(上海)有限公司 降低铜电镀工艺中边缘效应的方法及铜互连结构制造方法
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
JP6013901B2 (ja) * 2012-12-20 2016-10-25 東京エレクトロン株式会社 Cu配線の形成方法
CN105845620A (zh) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 铜互连结构的制作方法、半导体器件及电子装置
JP6527030B2 (ja) 2015-06-19 2019-06-05 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
JP6771613B2 (ja) * 2019-05-09 2020-10-21 東京エレクトロン株式会社 めっき処理方法及びめっき処理部品並びにめっき処理システム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JP3955386B2 (ja) * 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR20010001543A (ko) * 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100968312B1 (ko) * 2004-06-02 2010-07-08 인터내셔널 비지네스 머신즈 코포레이션 저-k 물질 상의 TaN 확산장벽 영역의 PE-ALD
KR101139696B1 (ko) * 2010-04-20 2012-05-02 엘아이지에이디피 주식회사 화학기상 증착장치
KR101357171B1 (ko) * 2010-11-12 2014-01-29 엘아이지에이디피 주식회사 화학기상증착장치
KR20160013066A (ko) * 2013-05-20 2016-02-03 인벤사스 코포레이션 금속 pvd-프리 도전 구조물들

Also Published As

Publication number Publication date
EP1433202A2 (en) 2004-06-30
CN1575518A (zh) 2005-02-02
JP2005528776A (ja) 2005-09-22
WO2003028090A3 (en) 2003-09-12
CN102361004A (zh) 2012-02-22
WO2003028090A2 (en) 2003-04-03
CN102361004B (zh) 2016-02-10

Similar Documents

Publication Publication Date Title
US6936906B2 (en) Integration of barrier layer and seed layer
US20030059538A1 (en) Integration of barrier layer and seed layer
US20030057526A1 (en) Integration of barrier layer and seed layer
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
KR20040045007A (ko) 배리어층 및 시드층 통합
US6268288B1 (en) Plasma treated thermal CVD of TaN films from tantalum halide precursors
US10910263B2 (en) Doping control of metal nitride films
US8114789B2 (en) Formation of a tantalum-nitride layer
US6569501B2 (en) Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7348042B2 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7115516B2 (en) Method of depositing a material layer
US6416822B1 (en) Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6841044B1 (en) Chemically-enhanced physical vapor deposition
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US20070065594A1 (en) System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
EP1094504A2 (en) PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法
JP2005531918A (ja) 誘電体材料上のバリヤ層の選択的堆積
TWI354321B (en) Method and system for depositing barrier layer ont
TWI223867B (en) Method for forming a metal interconnect on a substrate
WO2000065126A1 (en) Cvd tantalum nitride plug formation from tantalum halide precursors

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid