TWI385730B - 銅金屬化用之具有變化組成的阻障層之製造方法 - Google Patents

銅金屬化用之具有變化組成的阻障層之製造方法 Download PDF

Info

Publication number
TWI385730B
TWI385730B TW096140318A TW96140318A TWI385730B TW I385730 B TWI385730 B TW I385730B TW 096140318 A TW096140318 A TW 096140318A TW 96140318 A TW96140318 A TW 96140318A TW I385730 B TWI385730 B TW I385730B
Authority
TW
Taiwan
Prior art keywords
barrier layer
layer
metal
nitrogen
barrier
Prior art date
Application number
TW096140318A
Other languages
English (en)
Other versions
TW200837835A (en
Inventor
Hyungsuk Alexander Yoon
Fritz Redeker
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200837835A publication Critical patent/TW200837835A/zh
Application granted granted Critical
Publication of TWI385730B publication Critical patent/TWI385730B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

銅金屬化用之具有變化組成的阻障層之製造方法
本發明係關於一種銅金屬化用之具有變化組成的阻障層之製造方法。
積體電路利用傳導性內連接來將個別元件連接在一半導體基板上,或從外部與積體電路連通。用於通孔及溝槽之內連線金屬化可包含鋁合金及銅。隨著元件尺寸繼續縮放到45奈米接點技術及次45奈米技術,提供無孔洞填銅之沿高深寬比具有良好階梯覆蓋性之連續阻障/晶種層的要求將變得更挑戰。走向45奈米接點或次45奈米技術中之超薄及共形阻障層的動機,在於降低阻障對於通孔及線電阻上的影響。然而,銅與阻障層之不良附著,可能在處理或熱應力期間,引起阻障層與銅之間的脫層,其引起對於電遷移(EM)及應力引發之孔洞的擔心。
如圖1A中所示,藉由習知物理氣相沉積(PVD)處理,阻障突懸104在內連線結構100之頂端附近,習知PVD處理已知由於不良階梯覆蓋性,所以在填銅期間引起金屬線或通孔中的銅孔洞。如圖1A中所示,下部角落103中之阻障材質的有限沉積,亦為引起銅擴散、EM問題及應力引發之孔洞的已知問題。為了確保有足夠的阻障材質於下部角落中,需要將足夠的阻障材質沉積在內連線結構裡,其將在填銅期間導致銅孔洞。因此,吾人需要一更共形的阻障沉積。
除了階梯覆蓋性的考量之外,如氮化鉭(TaN)之阻障層與介電層150附著良好。然而,TaN與銅之間的附著度不好。TaN為一良好的銅擴散阻障。相比下,如鉭(Ta)之阻障層與銅附著良好,但與介電層附著沒那麼好。如圖1B中所示,雖然可沉積一TaN層111來襯墊內連線結構以使TaN接觸介電材質150,且之後可沉積一Ta層來讓銅113與Ta 112接觸。該Ta層作為銅之襯墊層或膠 合層。然而,兩步驟的處理更複雜,且第一TaN之沉積使得內連線結構之深寬比更高,其使得接下來Ta層之階梯覆蓋性的問題更糟。
鑒於前述,需要沉積薄且共形阻障層之系統及處理,其可與圍繞內連線結構之介電層產生良好附著,並也與覆蓋阻障層之銅層產生良好附著,以改善良率及電遷移效能並降低銅內連線之應力引發之孔洞的風險。
廣泛來說,實施例藉由提供改善之處理及系統來滿足上述需求。該改善之處理及系統產生隨著膜厚度增大而氮濃度降低之阻障層。隨著膜厚度而降低氮濃度之阻障層,使得阻障層中具有高濃度氮的一端可以與介電層有良好附著,而阻障層中具有低濃度氮(或富含金屬)之一端可與銅有良好附著。應瞭解可藉由各種方式實施本發明,包括例如一溶液、一方法、一製程、一設備或一系統。以下說明本發明之數種創新的實施例。
在一實施例中,提供一種將阻障層沉積在內連線結構上的方法。該方法包含:(a)提供一原子層沉積環境;(b)在該原子層沉積環境中之第一階段的沉積期間,將具有第一氮濃度之阻障層沉積在內連線結構上。該方法更包含:(c)在該原子層沉積環境中之第二階段的沉積期間,繼續將具有第二氮濃度之阻障層沉積在內連線結構上。
在另一實施例中,提供一種在原子層沉積系統中將阻障層沉積在內連線結構上的方法。該方法包含在該原子層沉積系統中,將隨著膜厚度增大而持續地降低氮濃度之阻障層沉積在內連線結構上。
在還另一實施例中,提供一種在原子層沉積系統中將阻障層沉積在內連線結構上的方法。該方法包含在該原子層沉積系統中,將隨著膜厚度增大而階梯式地降低氮濃度之阻障層沉積在內 連線結構上。
從以下連同附圖之詳細說明,藉由實例對本發明之原理的解說,本發明之優點當可更加清楚。
茲提供數種用於沉積金屬阻障層之例示性實施例,從最初沉積到最後沉積中,該金屬阻障層具有降低之氮濃度以改善最初金屬氮化物阻障層與介質之間的附著,及最後鉭阻障層與銅之間的附著。應瞭解可藉由各種方式實施本發明,包含一製程、一方法、一設備或一系統。以下說明本發明之數種創新的實施例。對於熟悉此項技藝者將顯而易見的是:在缺少部分或全部在此提出之特定細節下,仍可實施本發明。
圖2出示在藉由使用雙鑲嵌製程序列來將內連線結構圖型化後之例示性橫剖面圖。該(等)內連線結構係位於基板50上且具有一介電層115,事先製造該介電層115以在其中形成一金屬化線101。藉由將一溝槽蝕刻至介質106且接著用如銅之傳導材質來將該溝槽填滿,可典型地製造該金屬化線。
在溝槽中,有用來避免銅材質122擴散進入介質106的金屬阻障層120。阻障層120可由耐熱金屬化合物製成,如氮化鉭(TaN)、鉭(Ta)或上述膜的組合。亦可使用其他阻障層材質。阻障層材質可為其他耐熱金屬化合物,包含但不限於:就中鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)、釕(Ru)及鉻(Cr)。照慣例,將耐熱金屬結合如氯(Cl)或氟(F)之反應成分,並被提供有另一氣體以形成一耐熱金屬化合物。例如,四氯化鈦(TiCl4 )、六氟化鎢(WF6 )、五氯化鉭(TaCl5 )、四氯化鋯(ZrCl4 )、四氯化鉿(HfCl4 )、五氯化鉬(MoCl5 )、五氯化鈮(NbCl5 )、五氯化釩(VCl5 )或四氯化鉻(CrCl4 )可用作為耐熱含金屬化合物氣體。
阻障層102係沉積在平坦化銅材質122上,以在介層洞114被蝕刻穿過其上之介電材質106至介電阻障層102時,保護銅材 質122免於過早氧化。該介電阻障層102亦作為選擇性蝕刻中止及銅擴散阻障的功能。例示性介電阻障層102材質包含氮化矽(SiN)或碳化矽(SiC)。
介電層106係沉積在介電阻障層102上。介電層106可由有機矽玻璃(OSG,碳摻雜氧化矽)或其他類型介電材質所製成,較佳的情況是具有低介電常數。例示性二氧化矽可包含PECVD未摻雜TEOS二氧化矽、PECVD氟矽玻璃(FSG)、HDP FSG、OSG、多孔OSG或碳摻雜氧化物(C-氧化物)。低K介電材質之介電常數可約為3.0或更低。亦可使用市售之介電材質,該介電材質包含:加州聖克拉拉之應用材料製造的Black Diamond (I)及Black Diamond (II);聖荷西之Novellus System製造的Coral;亞利桑那鳳凰城之ASM America Inc.製造的Aurora。或者,介電層可被分割成通孔介電層及溝槽介電層。通孔介電層及溝槽介電層可由不同材質製成。在沉積溝槽介電層106之後,藉由已知技術讓保有該結構之基板50經歷圖型化及蝕刻處理以形成介層洞114及溝槽116。
在形成介層洞114及溝槽116之後,將阻障層130及銅層132沉積以襯墊並填滿介層洞114及溝槽116。阻障層130可由耐熱金屬製成,例如氮化鉭(TaN)、鉭(Ta)、釕(Ru)或上述膜之混合組合。亦可使用其他阻障層材質。阻障層材質可為耐熱金屬化合物,包含但不限於:就中鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)及鉻(Cr)。可使用原子層沉積(ALD)、脈衝CVD或循環式層沉積處理來達成阻障層之良好階梯覆蓋性。儘管這些為通常思及之材質,其他阻障層材質亦可被使用。接著沉積銅膜132以填滿介層洞114及溝槽116。
在銅膜132填滿介層洞114及溝槽116之後,藉由化學機械研磨(CMP)來將基板50平坦化,以除去介電層106之表面上的銅材質(或過多銅)及阻障層(或過多阻障)。亦可沉積金屬阻障層來襯裡單鑲嵌接觸、通孔或金屬結構(未顯示)。
圖3A顯示一內連線結構層的概略橫剖面圖300。一金屬阻障 層302係夾在介電層301與銅層303之間。如上所述,介質-阻障界面層304需要富含氮以促進金屬阻障層302與介電層301之間的良好附著。阻障-銅界面層305需要富含阻障金屬以促進金屬阻障層302與銅層303之間的良好附著。為了確保阻障層之良好階梯覆蓋性,所以打算由ALD處理來沉積該阻障層,此係由於ALD處理產生具有較佳階梯覆蓋性之共形膜。
圖3B描繪在積體電路製造階段中之基板350之例示性部分的剖面圖,特別是在利用ALD處理之阻障層355形成的階段。圖3B中所描繪之例示性阻障材質為氮化鉭。藉由將含鉭氣體之脈衝導入ALD處理室,在基板351之表面部分351T上利用化學方法吸附含鉭化合物來形成鉭層352。之後,至少部分地對如氨之含氮氣體的導入做反應,如說明所示,一氮層353被化學吸附在鉭層352上。雖然不希望被理論所限制,然而據信氮層353與鉭層352一樣,係以相似自限方式而形成。在任何組合及與彼此直接接觸中之每一鉭層352及氮層353形成一次層354,不管任一者或兩者或無一者為單層。繼續形成次層354直到達成目標厚度。
在阻障層中之氮濃度可持續地變化,如圖3C中所示;或是隨著金屬阻障層之厚度而階梯式地降低,如圖3D中所示。圖3D顯示氮濃度隨著膜厚度而成三階梯式地降低。然而,階梯數可為二或大於三。當圖3D中的階梯很多時,此氮變化圖型趨近於圖3C之氮濃度圖表。
ALD沉積一般係由利用其中具有淨化之反應物的多重脈衝輸送(如雙脈衝輸送)來完成,如圖4中所示。對於金屬阻障沉積,將含有阻障金屬反應物(M)401之脈衝傳送到基板表面,吹掃用氣體(P)402之脈衝接在其後。對於如鉭之阻障金屬來說,含阻障金屬反應物之範例包含但不限於:五-(一甲基一乙基胺)鉭(PEMAT,Ta(NC2 H5 CH3 )5 )、五-(二乙基胺)鉭(PDEAT;Ta[N(C2 H5 )2 ]5 )、五-(二甲基胺)鉭(PDMAT; Ta[N(CH3 )2 ]5 )及PEMAT、PDEAT或PDMAT之任何和全部的衍生物。其他含鉭前驅物包含不限制:新丁基氨 三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二甲基胺)鉭(TBTDMT)及新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及TBTDET、TBTDMT和TBTEMT之所有的衍生物。此外,其他含鉭前驅物包含不限制如TaX5 之鹵化鉭,其中X為氟(F)、溴(Br)或氯(Cl)及其衍生物。吹掃用氣體的例子包含氦(He)、氖(Ne)、氬(Ar)、氫氣(H2 )、氮氣(N2 )及其組合。含阻障反應物401之脈衝被傳送到基板表面,而在基板表面上形成如Ta之單層阻障金屬。在一實施例中,吹掃用氣體的脈衝為電漿增強(或電漿促進)氣體。如Ta之阻障金屬黏結到由介電材質製成的基板表面。吹掃用氣體402從基板表面移除過量之含阻障金屬反應物401。跟著吹掃用氣體402的脈衝之後,將反應物(B)403的脈衝傳送到基板表面。反應物(B)403可為含氮氣體或含氫之還原氣體。若阻障材質含有氮,如TaN的話,反應物(B)403有可能含有氮。反應物(B)403的例子包含氨(NH3 )、N2 及NO。可使用其他含N前驅物氣體,包含但不限於:就中Nx Hy (x及y為整數,如N2 H4 )、N2 電漿源、NH2 N(CH3 )2 。如果阻障材質包含少量或沒有氮的話,反應物(B)403可為包含氫之還原氣體,如H2 。在一實施例中,反應物(B)403的脈衝為電漿增強(或電漿促進)。在脈衝403之後的是吹掃用氣體404之脈衝。圖4顯示一阻障沉積脈衝的循環。在一次阻障沉積脈衝的循環之後,沉積阻障層之薄層(或相)。施加多次脈衝的循環,直到達成所要之阻障層厚度。脈衝之持續時間係介於約100毫秒到約2秒。阻障層之總厚度係介於約10埃到約50埃,較佳的情況是介於約20埃到約30埃。
圖5A出示在以時間為函數下,反應物及吹掃用氣體之脈衝的實施例,以達成持續地降低阻障層中之氮容量,如圖3C中所示。
藉由將含阻障金屬反應物(M)501之脈衝施加到基板表面上來開始沉積處理。吹掃用氣體(P)之脈衝502接在脈衝501之後,以從基板表面除去過量之含阻障金屬反應物501。之後,施加反應物氣體(B)之脈衝。由於阻障金屬層之氮容量隨著膜厚度降低,所以 用於脈衝503之反應物氣體(B)應含有氮。脈衝503中之反應物與基板表面上之含阻障金屬反應物反應,以形成如TaN之金屬氮化物阻障層。含氮氣體之範例包含氨(NH3 )、氮氣(N2 )及氧化氮(NO)及上述之其他含氮反應物B。脈衝501、502、503及504構成一循環(循環1)。第二循環包含脈衝505、506、507及508。脈衝505同於脈衝501。脈衝506同於脈衝502。脈衝508同於脈衝504。脈衝507使用和脈衝503相同的反應物,然而,脈衝507(tB2 )之持續時間較脈衝503(tB1 )短。含氮氣體B之較短脈衝使得阻障層中之氮的濃度較低。此循環持續到循環N。為了讓氮的濃度從循環1到循環2且繼續到循環N來減小,從循環2繼續到循環N之反應物B的脈衝不應飽和(或未飽和)基板表面。隨著每一循環,具有反應物B之脈衝的持續時間繼續降低,導致在經沉積之阻障層中的氮濃度。在循環N的末端,阻障層達到其目標厚度。在一實施例中,具有反應物B之脈衝的持續時間為零秒,以使頂端阻障表面富含金屬。
如上所述,具有反應物B及吹掃用氣體P之脈衝可為電漿增強。電漿增強吹掃用氣體可緻密化阻障層,且亦可敲落附在阻障層上的過量分子。例如,M前驅物PDMAT為一大分子,其具有附在Ta分子上的化學化合物。在用化學方法將Ta吸附在基板表面上後,化學化合物可保持附在Ta上。吹掃用氣體或反應物B的電漿可將附在Ta上的過量分子敲落,以幫助反應物B與Ta之反應。
在一實施例中,具有一最終電漿處理,以進一步將表面化合物變為更富含金屬。還原電漿可包含如氫或氨之氣體。還原電漿可包含如Ar或He之惰性氣體。此最終電漿處理亦可緻密化阻障層。在另一實施例中,在ALD處理室中之沉積循環後,可將基板移到用來沉積一薄阻障金屬層之PVD處理室,其被稱為阻障金屬薄鍍層。例如,如果阻障金屬為Ta的話,該處理稱之為Ta薄鍍層。如此使得頂端阻障表面變成Ta,其與銅附著良好。
或者,如圖5B中所示,含阻障金屬反應物M之脈衝的持續時間隨著時間而增加,而含氮氣體B之脈衝的持續時間隨著時間而降低。脈衝505'的持續時間tM2 較脈衝501'的持續時間tM1 長,而脈衝507的持續時間tB2 較脈衝503的持續時間tB1 短。含阻障金屬反應物M之較長脈衝及含氮氣體B之較短脈衝,使得循環2中所沉積之阻障層內的氮濃度較循環1中的氮濃度低。此循環持續到循環N。隨著每一循環,具有M之脈衝的持續時間繼續增加,而具有反應物B之脈衝的持續時間繼續降低,導致在經沉積之阻障層中的氮濃度。在循環N的末端,阻障層達到其目標厚度。在一實施例中,具有反應物B之脈衝的持續時間為零秒,以使頂端阻障表面富含金屬。
圖5C顯示沉積處理的另一實施例,該沉積處理產生隨著膜厚度而降低阻障層中之氮容量的阻障層,如圖3C中所示。在此實施例中,於不同沉積循環裡,M及P脈衝保持相同。反應物B為含氮化合物。隨著不同循環,對於反應物B之脈衝時間保持相同。然而,隨著每一沉積循環,反應物B之脈衝中之反應物B的濃度(或量)降低。如圖5C中所示,脈衝553中之反應物B的濃度高於脈衝557。由於隨著每一沉積循環而B之濃度(或量)降低,所以氮濃度隨著膜厚度而降低。同樣地,將沉積循環持續到循環N,此時已經達成目標厚度。
或者,如圖5D中所示,隨著循環可將含阻障金屬反應物M的濃度增加,而降低含氮化合物B之濃度。諸如此類的組合亦可導致隨著膜厚度而降低阻障層中之氮濃度。
如圖3D中所示,創造在最初層中具有高濃度氮及頂端表面上具有低(或無)濃度氮之阻障膜的另一方式,係為創造具有階梯式氮濃度之阻障膜。圖3D顯示三濃度位準。然而,其他濃度位準係可能的,只要氮濃度隨著膜厚度降低。圖6A顯示在時間為函數下之反應物及吹掃用氣體之脈衝的實施例,以達成降低阻障層中之氮容量,如圖3D中所示。
藉由將含阻障金屬反應物(M)601之脈衝施加到基板表面上來開始沉積處理。吹掃用氣體(P)之脈衝602接在脈衝601之後,以從基板表面除去過量之含阻障金屬反應物601。之後,施加反應物氣體(B)之脈衝。由於阻障金屬層之氮容量隨著膜厚度降低,所以用於脈衝603之反應物氣體(B)應含有氮。脈衝603中之反應物與基板表面上之含阻障金屬反應物(M)反應,以形成圖3D中所示具有濃度C1 如TaN之金屬氮化物阻障層。反應物B之脈衝603的持續時間為tB1 。含氮氣體之範例包含氨(NH3 )、氮氣(N2 )及氧化氮(NO)。亦可為上述含氮之其他類型。脈衝601、602、603及604構成一循環,以將在C1 位準之氮濃度下沉積阻障層。重複該循環直到膜厚度達到t1 厚度(X循環),其係顯示於圖3D中。
循環的第二類型包含脈衝611、612、613及614以沉積具有C2 氮濃度之阻障層。脈衝611同於脈衝601。脈衝612同於脈衝602。脈衝614同於脈衝604。脈衝613使用和脈衝603相同的反應物,然而,脈衝613的持續時間tB2 較脈衝603的持續時間tB1 短。含氮氣體B之較短脈衝使得阻障層中之氮的濃度較低。重複此循環直到阻障層達到t2 厚度(Y循環)。之後,循環的第三類型包含脈衝621、622、623及624。具有反應物B之脈衝623的持續時間tB3 較脈衝613的持續時間tB2 短。重複此循環直到達成最終阻障層厚度(Z循環)。在Z循環的末端,阻障層達到其目標厚度。在一實施例中,具有反應物B之脈衝的持續時間為零秒,以使頂端阻障表面富含金屬。
如上所述,具有反應物B及吹掃用氣體P之脈衝可為電漿增強。電漿增強吹掃用氣體可緻密化阻障層,且亦可敲落附在阻障金屬上的過量分子。在一實施例中,具有一最終電漿處理,以進一步將表面化合物變為更富含金屬。還原電漿可包含如氫或氨之氣體。還原電漿可包含如Ar或He之惰性氣體。此最終電漿處理亦可緻密化阻障層。在另一實施例中,在ALD處理室中之沉積循環後,可將基板移到用來沉積一薄阻障層之PVD處理室,其被稱 為阻障薄鍍層。例如,如果阻障金屬為Ta的話,該處理稱之為Ta薄鍍層。如此使得頂端阻障表面變成Ta,其與銅附著良好。
除了圖5A之循環沒有被重複而圖6A之循環被重複以外,圖6A之沉積反應物及吹掃用氣體的脈衝濃度及持續時間與圖5A相同。同樣地,可將說明於圖5B中之觀念(含阻障金屬反應物M及含氮反應物B之持續時間在相同時間變化),應用到沉積具有階梯式變化之氮濃度的阻障層。以圖6A中所示方式來重複說明於圖5B中之每一循環,以達成在氮濃度中之階梯式變化。
圖6B顯示沉積處理的另一實施例,該沉積處理產生隨著膜厚度增大而降低阻障層中之氮容量的阻障層,如圖3D中所示。在此實施例中,隨著不同循環,對於反應物B之脈衝時間保持相同。然而,隨著每一循環,反應物B之脈衝中之反應物B的濃度(或量)降低。如圖6B中所示,脈衝653中之反應物B的濃度高於脈衝663,而脈衝663高於脈衝673。同樣地,持續每一沉積循環直到已經達成目標厚度。在三種類型的沉積循環中,包含氮之反應物B的濃度降低,容許經沉積之阻障層具有隨著膜厚度增大而降低之氮濃度,如圖3D中所示。
如上所述,對於重複圖5B中之循環以沉積具有階梯式變化之氮濃度的阻障層,可將說明於圖5D中之觀念(含阻障金屬反應物M及含氮反應物B之濃度在相同時間變化),應用到沉積具有階梯式變化之氮濃度的阻障層。以圖6A中所示方式來重複說明於圖5D中之每一循環,以達成在氮濃度中之階梯式變化。
圖7A顯示沉積處理的另一實施例,該沉積處理產生隨著膜厚度增大而降低阻障層中之氮容量的阻障層,如圖3D中所示。藉由將含阻障金屬反應物(M)701之脈衝施加到基板表面上來開始沉積處理。吹掃用氣體(P)之脈衝702接在脈衝701之後,以從基板表面除去過量之含阻障金屬反應物701。之後,施加反應物氣體(B)之脈衝。由於阻障金屬層之氮容量隨著膜厚度降低,所以用於脈衝703之反應物氣體(B)應含有氮。脈衝703中之反應物(B)與基板 表面上之含阻障金屬反應物(M)反應,以形成如TaN之金屬氮化物阻障層,該TaN具有如圖3D中所示位於C1之氮濃度。脈衝701、702、703及704構成一循環。重複該循環直到膜厚度達到t1厚度(X循環),其係顯示於圖3D中。
循環的第二類型包含脈衝711、712、713及714以沉積具有C2氮濃度之阻障層。脈衝711同於脈衝701。脈衝712同於脈衝702。脈衝714同於脈衝704。脈衝713使用反應物C,反應物C與含阻障金屬反應物M反應以產生具有較少氮容量C2之阻障層,C2小於由M與反應物B反應所產生之C1濃度。重複脈衝711、712、713及714之循環,直到膜厚度達到t2厚度,其係顯示於圖3D中。循環的第三類型包含脈衝721、722、723及724以沉積具有C3氮濃度之阻障層。脈衝721同於脈衝701及711。脈衝722同於脈衝702及712。脈衝724同於脈衝704及714。脈衝723使用反應物D,反應物D與含阻障金屬反應物M反應以產生具有較少氮容量C3之阻障層,C3小於由M與反應物C反應所產生之C2濃度。重複脈衝721、722、723及724之循環,直到膜厚度達到t3厚度,其係顯示於圖3D中。用於B、C及D之脈衝時間和濃度可相同或不同。B、C、D處理可為熱性或電漿增強。同樣地,使用吹掃用氣體P之處理步驟可為熱性或電漿增強。
如上所述,具有反應物B及吹掃用氣體P之脈衝可為電漿增強。電漿增強吹掃用氣體可緻密化阻障層,且亦可敲落附在阻障金屬上的過量分子。在一實施例中,具有一最終電漿處理,以進一步將表面化合物變為更富含金屬。還原電漿可包含如氫或氨之氣體。還原電漿可包含如Ar或He之惰性氣體。此最終電漿處理亦可緻密化阻障層。在另一實施例中,在ALD處理室中之沉積循環後,可將基板移到用來沉積一薄阻障層之PVD處理室,其被稱為阻障薄鍍層。例如,如果阻障金屬為Ta的話,該處理稱之為Ta薄鍍層。如此使得頂端阻障表面變成Ta,其與銅附著良好。
圖7A中所示之替代性實施例係增加含阻障金屬反應物M 701、711、721之持續時間或濃度,以與B、C及D反應。此組合亦可導致在阻障層中之氮濃度呈階梯式降低。
圖7B顯示沉積處理的另一實施例,該沉積處理產生隨著膜厚度增大而降低阻障層中之氮容量的阻障層,如圖3D中所示。藉由將含阻障金屬反應物(Ma)751之脈衝施加到基板表面上來開始沉積處理。吹掃用氣體(P)之脈衝752接在脈衝751之後,以從基板表面除去過量之含阻障金屬反應物751。之後,施加反應物氣體(B)之脈衝。由於阻障金屬層之氮容量隨著膜厚度降低,所以用於脈衝753之反應物氣體(B)應含有氮。脈衝753中之反應物與基板表面上之含阻障金屬反應物(Ma)反應,以形成如TaN之金屬氮化物阻障層。脈衝751、752、753及754構成一循環,以沉積具有位於C1位準之氮濃度的阻障層。重複該循環直到膜厚度達到t1厚度(X循環),其係顯示於圖3D中。
循環的第二類型包含脈衝761、762、763及764以沉積具有C2氮濃度之阻障層。脈衝761利用不同於脈衝751中之Ma的含阻障金屬反應物Mb。脈衝762同於脈衝752。脈衝764同於脈衝754。脈衝763使用反應物C,反應物C與含阻障金屬反應物Mb反應以產生具有較少氮容量C2之阻障層,C2小於由Ma與反應物B反應所產生之C1濃度。重複脈衝761、762、763及764之循環,直到膜厚度達到t2厚度(Y循環),其係顯示於圖3D中。循環的第三類型包含脈衝771、772、773及774以沉積具有C3氮濃度之阻障層。脈衝771使用不同於脈衝751中之Ma及脈衝761中之Mb的含阻障金屬反應物Mc。脈衝772同於脈衝752及762。脈衝774同於脈衝754及764。脈衝773使用反應物D,反應物D與含阻障金屬反應物Mc反應以產生具有較少氮容量C3之阻障層,C3小於由Mb與反應物C反應所產生之C2濃度。重複脈衝771、772、773及774之循環,直到膜厚度達到t3厚度(Z循環),其係顯示於圖3D中。用於B、C及D之脈衝時間和濃度可相同或不同。B、C、D處理可為熱性或電漿增強。同樣地,使用吹掃用 氣體P之處理步驟可為熱性或電漿增強。
對於如鉭之阻障金屬之含阻障金屬反應物Ma、Mb及Mc的例子,包含但不限於:五-(一甲基一乙基胺)鉭(PEMAT;Ta(NC2 H5 CH3 )5 )、五-(二乙基胺)鉭(PDEAT;Ta[N(C2 H5 )2 ]5 )、五-(二甲基胺)鉭(PDMAT; Ta[N(CH3 )2 ]5 )及PEMAT、PDEAT或PDMAT之任何和全部的衍生物。其他含鉭前驅物包含不限制:新丁基氨三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二甲基胺)鉭(TBTDMT)及新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及TBTDET、TBTDMT和TBTEMT之所有的衍生物。此外,其他含鉭前驅物包含不限制如TaX5 之鹵化鉭,其中X為氟(F)、溴(Br)或氯(Cl)及其衍生物。反應物B、C及D的例子包含氨(NH3 )、N2 及NO。可使用其他含N前驅物氣體,包含但不限於:就中Nx Hy (x及y為整數,如N2 H4 )、N2 電漿源、NH2 N(CH3 )2
如上所述,具有反應物B及吹掃用氣體P之脈衝可為電漿增強。電漿增強吹掃用氣體可緻密化阻障層,且亦可敲落附在阻障金屬上的過量分子。在一實施例中,具有一最終電漿處理,以進一步將表面化合物變為更富含金屬。還原電漿可包含如氫或氨之氣體。還原電漿可包含如Ar或He之惰性氣體。此最終電漿處理亦可緻密化阻障層。在另一實施例中,在ALD處理室中之沉積循環後,可將基板移到用來沉積一薄阻障層之PVD處理室,其被稱為阻障薄鍍層。例如,如果阻障金屬為Ta的話,該處理稱之為Ta薄鍍層。如此使得頂端阻障表面變成Ta,其與銅附著良好。
圖7C顯示圖7B中所示之實施例的替代性實施例,其在圖7B之所有沉積循環中使用單一含氮反應物B。在X循環後,Ma與B反應以沉積具有C1濃度之阻障層。在Y循環後,Mb與B反應以沉積具有C2'(低於C1)濃度之阻障層。在Z循環後,Mc與B反應以沉積具有C3'(低於C2')濃度之阻障層。
圖8A描示例示性晶圓處理系統800之略圖,該系統800可被用於形成符合在此說明之本發明之實施態樣的一或多個阻障層。 系統800包含處理室850、氣體控制盤830和其他硬體組件,如電源供應806及真空泵802。為了清楚的目的,以下將簡短說明處理室850之顯著特徵。
處理室850通常包住一支撐底座851,該支撐底座851係用來支撐處理室850內之如半導體晶圓890的基板。根據處理的必要條件,在層形成之前,可用來自電源供應806之熱源將半導體晶圓890加熱到某種所欲溫度或某種所欲溫度範圍之內。可將晶圓890維持在所欲之處理溫度範圍內,例如約100℃到約400℃,較佳的情況是介於約150℃到約350℃之間。
真空泵802係用來從處理室850中排空處理氣體,且用來幫助維持所欲壓力或腔室850內之壓力範圍中的所欲壓力。穿過腔室850之壁的孔洞820,係用來將處理氣體導入處理室850。孔洞820的大小照慣例取決於處理室850之尺寸。
氣體導管831係連接到氣體控制盤830,以經由氣體導管831將來自三或多個氣體源835、836、838之處理氣體提供至處理室850。反應物源835及836可在室溫下以液相來儲存前驅物,其之後在氣體控制盤830中被加熱以轉換成用於導入腔室850之蒸氣相。氣體控制盤830更用以接收來自吹掃用氣體源838之吹掃用氣體,且之後將吹掃用氣體提供至處理室850。在一實施例中,反應物源835儲存M前驅物,而反應物源836儲存反應物B。對於具有超過一個之M前驅物(Ma、Mb及Mc)的實施例來說,可使用多重反應物源835(835a、835b及835c)。同樣地,對於具有超過一個之B反應物(B、C及D)的實施例來說,可使用多重反應物源836(836B、836C及836D)。
或者,可從處理室之側邊將反應性氣體注入。圖8B描示另一例示性晶圓處理系統870之略圖,該系統870可被用於形成符合在此說明之本發明之實施態樣的一或多個阻障層。在此實施例中,氣體導管831'係連接到氣體控制板830,以經由處理室850之側邊將處理氣體提供至基板890的表面。在一實施例中,以層 流方式將反應性氣體導入基板890之表面。
如上所述,在一或多個阻障層形成期間或其後,基板結構890可遭受到反應用或電漿處理用之電漿處理氣體。儘管不希望囿於理論,在阻障金屬經化學方法吸附在基板表面上後,如Ar濺鍍之電漿處理可幫助移除附在阻障金屬上的有機化合物,如Ta。附在阻障金屬上的有機化合物為阻障金屬前驅物的一部份。電漿處理可幫助從阻障層上移除雜質。電漿處理亦可改善阻障層之品質及密度。關於圖8A,其具有一或多個射頻功率供應器810及812。射頻功率供應器810係連接到流頭860。
流頭860及晶圓支撐底座851提供某種程度上間隔開的電極。在這些電極之間可產生電場,以將導入腔室850之處理氣體激發而提供電漿。應瞭解可使用其他相關於金屬阻障層之非化學性反應氣體,用以實際上從金屬阻障層取代氮,其包含但不限於:氖(Ne)、氙(Xe)、氦(He)及氫氣(H2 )。通常,對於不與氮化鉭膜做化學反應之電漿氣體來說,為了具有N之優先濺鍍,所欲的是具有原子量較接近N而非Ta之電漿氣體原子或分子。然而,可使用化學性反應處理,其中挑選在離開Ta時優先反應來移除N之氣體。
說明於上述各種實施例中的觀念,亦可被用來沉積阻障層中之化合物濃度增加或降低的阻障層。例如,對於其他應用來說,隨著膜厚度的增加而增加阻障層中的氮濃度。在此環境下,隨著沉積循環,B反應物之持續時間及/或濃度增加而不是如上述般的降低。具有增加或降低之濃度的化合物不一定要是氮。其他適當的化合物可得益於此觀念。此觀念應用到需要兩反應物之任何ALD沉積。
雖然本發明已經依據數種實施例來說明,應瞭解熟悉此項技藝者在閱讀前面說明書及研讀圖式後,將可實現各種修改、附加、變更及其等效物。因此,本發明包含所有諸如此類落在本發明之適用精神及範疇下的修改、附加、變更及等效物。除非在申請專利範圍中明確地陳述,否則申請專利範圍中之元件及/或步驟並沒 有意味著任何特定的操作順序。
50‧‧‧基板
100‧‧‧內連線結構
101‧‧‧金屬化線
102‧‧‧介電阻障層
103‧‧‧下部角落
104‧‧‧阻障突懸
106‧‧‧介電層
111‧‧‧TaN層
112‧‧‧Ta
113‧‧‧銅
114‧‧‧介層洞
115‧‧‧介電層
116‧‧‧溝槽
120‧‧‧金屬阻障層
122‧‧‧銅材質
130‧‧‧阻障層
132‧‧‧銅層
150‧‧‧介電層
300‧‧‧剖面圖
301‧‧‧介電層
302‧‧‧金屬阻障層
303‧‧‧銅層
304‧‧‧介質-阻障界面層
305‧‧‧阻障-銅界面層
350‧‧‧基板
351‧‧‧基板
351T‧‧‧表面部分
352‧‧‧鉭層
353‧‧‧氮層
354‧‧‧次層
355‧‧‧阻障層
401~404‧‧‧脈衝
501~508、501'、505'‧‧‧脈衝
551~558、551'、555'‧‧‧脈衝
601~604、611~614、621~624‧‧‧脈衝
651~654、661~664、671~674‧‧‧脈衝
701~704、711~714、721~724‧‧‧脈衝
751~754、761~764、771~774、763'、773'‧‧‧脈衝
800‧‧‧晶圓處理系統
802‧‧‧真空泵
806‧‧‧電源供應
810‧‧‧射頻功率供應器
812‧‧‧射頻功率供應器
820‧‧‧孔洞
830‧‧‧氣體控制盤
831‧‧‧氣體導管
831'‧‧‧氣體導管
835‧‧‧氣體源
835a‧‧‧多重反應物源
835b‧‧‧多重反應物源
835c‧‧‧多重反應物源
836‧‧‧氣體源
836B‧‧‧多重反應物源
836C‧‧‧多重反應物源
836D‧‧‧多重反應物源
838‧‧‧氣體源
850‧‧‧處理室
851‧‧‧支撐底座
860‧‧‧流頭
870‧‧‧晶圓處理系統
890‧‧‧基板
M‧‧‧阻障金屬反應物
P‧‧‧吹掃用氣體
B‧‧‧反應物
C‧‧‧反應物
D‧‧‧反應物
Ma‧‧‧阻障金屬反應物
Mb‧‧‧阻障金屬反應物
Mc‧‧‧阻障金屬反應物
藉由下面詳細說明及隨附圖式,將可輕易地瞭解本發明,且類似的參考數字表示類似的構造元件。
圖1A顯示一沉積有阻障層之內連線結構。
圖1B顯示一沉積有兩阻障層及一銅層之內連線結構。
圖2顯示一沉積有阻障層及銅層之雙鑲嵌內連線結構。
圖3A顯示一內連線結構的橫剖面圖,該內連線結構具有夾於介電層與銅層之間的阻障層。
圖3B顯示在基板表面上由ALD處理所沉積之TaN阻障層的分子結構。
圖3C顯示一隨著膜厚度增大而持續地降低氮濃度之阻障層。
圖3D顯示一隨著膜厚度增大而階梯式地降低氮濃度之阻障層。
圖4顯示一例示性ALD沉積循環。
圖5A顯示一ALD阻障層之例示性沉積脈衝串,該阻障層隨著膜厚度增大而持續地降低氮濃度。
圖5B顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而持續地降低氮濃度。
圖5C顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而持續地降低氮濃度。
圖5D顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而持續地降低氮濃度。
圖6A顯示一ALD阻障層之例示性沉積脈衝串,該阻障層隨著膜厚度增大而階梯式地降低氮濃度。
圖6B顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而階梯式地降低氮濃度。
圖7A顯示一ALD阻障層之例示性沉積脈衝串,該阻障層隨 著膜厚度增大而階梯式地降低氮濃度。
圖7B顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而階梯式地降低氮濃度。
圖7C顯示一ALD阻障層之另一例示性沉積脈衝串,該阻障層隨著膜厚度增大而階梯式地降低氮濃度。
圖8A顯示一例示性ALD沉積系統。
圖8B顯示另一例示性ALD沉積系統。
300‧‧‧剖面圖
301‧‧‧介電層
302‧‧‧金屬阻障層
303‧‧‧銅層
304‧‧‧介質-阻障界面層
305‧‧‧阻障-銅界面層

Claims (44)

  1. 一種在原子層沉積環境中於內連線結構上沉積阻障層的方法,包含:(a)在該原子層沉積環境中之第一階段的沉積期間,將具有第一氮濃度之阻障層沉積在該內連線結構上,該內連線結構係形成於一介電層之上且與該介電層接觸;(b)在該原子層沉積環境中之第二階段的沉積期間,繼續將具有第二氮濃度之阻障層沉積在該內連線結構上,其中該第一氮濃度及第二氮濃度之氮濃度,係分別自該阻障層之第一階段中之一第一初始氮濃度,以及自該阻障層之第二階段中之一第二初始氮濃度,階梯式地降低,且該第一氮濃度於該阻障層接觸該介電層之處為最高;及(c)形成一銅層於該阻障層之上,以使該阻障層中之氮濃度,在該阻障層接觸該銅層之處為最低;該原子層沉積環境實施處理氣體之連續的脈衝輸送以形成該阻障層。
  2. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中繼續步驟(b)直到達成該阻障層之目標厚度,且接著執行步驟(c)。
  3. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該銅層界定形成於該阻障層之上的至少部分之內連線結構。
  4. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中藉由連續地脈衝輸送一阻障金屬前驅物及一氮前驅物到該內連線結構上,而形成該阻障層。
  5. 如申請專利範圍第4項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中在阻障金屬前驅物之每一脈衝後及在氮前驅物之每一脈衝後,具有一吹掃用氣體之脈衝。
  6. 如申請專利範圍第4項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該氮前驅物受到電漿化。
  7. 如申請專利範圍第5項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該吹掃用氣體受到電漿化。
  8. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該阻障層之厚度係介於約10埃到約50埃之間。
  9. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該沉積處理溫度係介於約100℃到約400℃之間。
  10. 如申請專利範圍第1項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中在該阻障層中之一阻障金屬係選自於由鉭(Ta)、鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)、釕(Ru)及鉻(Cr)所組成之群組。
  11. 如申請專利範圍第10項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中一阻障金屬前驅物為下列其中之一:五-(一甲基一乙基胺)鉭(PEMAT)、五-(二乙基胺)鉭(PDEAT)、五-(二甲基胺)鉭(PDMAT)、新丁基氨三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二甲基胺)鉭(TBTDMT)、新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及鹵化鉭TaX5 (其中X為氟(F)、溴 (Br)或氯(Cl))及上述之任意和全部衍生物。
  12. 如申請專利範圍第5項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中吹掃用氣體為下列其中之一:氦(He)、氖(Ne)、氬(Ar)、氫氣(H2 )、氮氣(N2 )及其組合。
  13. 如申請專利範圍第5項之在原子層沉積環境中於內連線結構上沉積阻障層的方法,其中該氮前驅物為氨(NH3 )、N2 及NO及Nx Hy 其中之一(x及y為整數)。
  14. 一種在原子層沉積環境中沉積阻障層的方法,包含:(a)提供一第一內連線結構,其界定於一第一介電層之中;(b)形成一第二介電層於該第一內連線結構之上;(c)形成一溝槽和一介層洞於該第二介電層中,該介層洞提供穿過該第二介電層且至該第一內連線結構的表面之一暴露區域;(d)沉積一阻障層於該溝槽與介層洞以及於該第一內連線結構的該暴露區域之中,在該原子層沉積環境中之第一階段的沉積期間,該沉積具有一第一氮濃度;及(e)在該原子層沉積環境中之第二階段的沉積期間,繼續將具有第二氮濃度之阻障層加以沉積,其中該第一氮濃度及第二氮濃度之氮濃度,係分別自該阻障層之第一階段中之一第一初始氮濃度,以及自該阻障層之第二階段中之一第二初始氮濃度,階梯式地降低,且該第一氮濃度於該阻障層接觸該第二介電層之處為最高;及(f)形成一銅層於該阻障層之上,以使該阻障層中之氮濃度,在該阻障層接觸該銅層之處為最低;該原子層沉積環境實施處理氣體之連續的脈衝輸送以形成該阻障層。
  15. 如申請專利範圍第14項之在原子層沉積環境中沉積阻障層的方法,其中該銅層實質上填滿該溝槽和該介層洞。
  16. 如申請專利範圍第14項之在原子層沉積環境中沉積阻障層的方法,其中該阻障層界定於該第二介電層之上、該溝槽壁之中、該介層洞之中的一塗層。
  17. 如申請專利範圍第14項之在原子層沉積環境中沉積阻障層的方法,其中繼續步驟(e)直到達成該阻障層目標厚度,且接著執行步驟(f)。
  18. 如申請專利範圍第14項之在原子層沉積環境中沉積阻障層的方法,其中藉由連續地脈衝輸送一阻障金屬前驅物及一氮前驅物到該第一內連線結構上,而形成該阻障層。
  19. 如申請專利範圍第14項之在原子層沉積環境中沉積阻障層的方法,其中該阻障層之厚度係介於約10埃到約50埃之間,且沉積處理溫度係介於約100℃到約400℃之間。
  20. 如申請專利範圍第18項之在原子層沉積環境中沉積阻障層的方法,其中在阻障金屬前驅物之每一脈衝後及在氮前驅物之每一脈衝後,具有一吹掃用氣體之脈衝;在該阻障層中之阻障金屬係選自於由鉭(Ta)、鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)、釕(Ru)及鉻(Cr)所組成之群組;該阻障金屬前驅物為下列其中之一:五-(一甲基一乙基胺)鉭(PEMAT)、五-(二乙基胺)鉭(PDEAT)、五-(二甲基胺)鉭(PDMAT)、新丁基氨三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二 甲基胺)鉭(TBTDMT)、新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及鹵化鉭TaX5 (其中X為氟(F)、溴(Br)或氯(Cl))及上述之任意和全部衍生物;該吹掃用氣體為下列其中之一:氦(He)、氖(Ne)、氬(Ar)、氫氣(H2 )、氮氣(N2 )及其組合;該氮前驅物為氨(NH3 )、N2 及NO及Nx Hy 其中之一(x及y為整數)。
  21. 一種沉積金屬阻障層的方法,用以襯墊一經蝕刻之內連線結構於原子層沉積系統中,該方法包含:利用原子層沉積,沉積該金屬阻障層,以襯墊該經蝕刻之內連線結構,將該經蝕刻之內連線結構係被蝕刻進至一介電層中,其中該金屬阻障層的氮濃度隨膜厚度之增加而減少,且其中藉由在處理氣體連續的脈衝輸送中變化一或多處理氣體之化學組成,或變化該處理氣體連續的脈衝輸送之持續時間,或二者之組合,而改變該金屬阻障層的氮濃度,以使得該金屬阻障層的氮濃度在該金屬阻障層與該介電層接觸之處為最高;及形成一銅層於該金屬阻障層之上,以使該金屬阻障層的氮濃度在該金屬阻障層接觸該銅層之處為最低。
  22. 如申請專利範圍第21項之沉積金屬阻障層的方法,其中藉由施行阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體的連續之脈衝輸送來沉積該金屬阻障層;且其中,該含氮氣體與該阻障金屬前驅物反應以形成該金屬阻障層。
  23. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中該含氮氣體的持續時間隨著該連續脈衝輸送之每一循環而降低。
  24. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中 該含氮氣體受到電漿化。
  25. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中該吹掃用氣體受到電漿化。
  26. 如申請專利範圍第21項之沉積金屬阻障層的方法,其中在該金屬阻障層中之阻障金屬係選自於由鉭(Ta)、鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)、釕(Ru)及鉻(Cr)所組成之群組。
  27. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中該阻障金屬前驅物係選自於包含五-(一甲基一乙基胺)鉭(PEMAT)、五-(二乙基胺)鉭(PDEAT)、五-(二甲基胺)鉭(PDMAT)、新丁基氨三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二甲基胺)鉭(TBTDMT)、新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及鹵化鉭TaX5 (其中X為氟(F)、溴(Br)或氯(Cl))及上述之任意和全部衍生物之清單。
  28. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中該吹掃用氣體為下列其中之一:氦(He)、氖(Ne)、氬(Ar)、氫氣(H2 )、氮氣(N2 )及其組合。
  29. 如申請專利範圍第22項之沉積金屬阻障層的方法,其中該含氮氣體係選自於包含氨(NH3 )、N2 及NO及Nx Hy (x及y為整數)之清單。
  30. 如申請專利範圍第21項之沉積金屬阻障層的方法,其中藉由施行阻障金屬前驅物、吹掃用氣體、第一含氮氣體及該吹掃用氣體之連續脈衝輸送來沉積該金屬阻障層;其中,該第一含氮 氣體與該阻障金屬前驅物反應以形成具有第一氮濃度之該金屬阻障層的一第一次層。
  31. 如申請專利範圍第30項之沉積金屬阻障層的方法,其中在該金屬阻障層的該第一次層沉積之後,接著施行該阻障金屬前驅物、該吹掃用氣體、第二含氮氣體及該吹掃用氣體之連續脈衝輸送;且其中,該第二含氮氣體與該阻障金屬前驅物反應以形成具有第二氮濃度之該金屬阻障層的一第二次層,且該第二氮濃度係低於該第一氮濃度。
  32. 如申請專利範圍第21項之沉積金屬阻障層的方法,其中藉由施行一第一阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體之連續脈衝輸送來沉積該金屬阻障層;其中,該含氮氣體與該第一阻障金屬前驅物反應以形成具有第一氮濃度之該金屬阻障層的一第一次層。
  33. 如申請專利範圍第32項之沉積金屬阻障層的方法,其中在該金屬阻障層的該第一次層沉積之後,接著施行一第二阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體之連續脈衝輸送;且其中,該含氮氣體與該第二阻障金屬前驅物反應以形成具有第二氮濃度之該金屬阻障層的一第二次層,且該第二氮濃度係低於該第一氮濃度。
  34. 如申請專利範圍第21項之沉積金屬阻障層的方法,其中藉由施行一第一阻障金屬前驅物、吹掃用氣體、一第一含氮氣體及該吹掃用氣體之連續脈衝輸送來沉積該金屬阻障層;其中,該第一含氮氣體與該第一阻障金屬前驅物反應以形成具有第一氮濃度之該金屬阻障層的一第一次層。
  35. 如申請專利範圍第34項之沉積金屬阻障層的方法,其中在該金屬阻障層的該第一次層沉積之後,接著施行一第二阻障金屬前驅物、吹掃用氣體、一第二含氮氣體及該吹掃用氣體之連續脈衝輸送;且其中,該第二含氮氣體與該第二阻障金屬前驅物反應以形成具有第二氮濃度之該金屬阻障層的一第二次層,且該第二氮濃度係低於該第一氮濃度。
  36. 一種沉積金屬阻障層的方法,用以襯墊一經蝕刻之內連線結構於原子層沉積系統中,該方法包含:利用原子層沉積,沉積該金屬阻障層,以襯墊該經蝕刻之內連線結構,該經蝕刻之內連線結構係被蝕刻至一介電層中,其中該金屬阻障層的氮濃度隨膜厚度之增加而減少,其中藉由施行阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體的連續之脈衝輸送來沉積該金屬阻障層;且其中,該含氮氣體與該阻障金屬前驅物反應以形成該金屬阻障層,且其中該金屬阻障層的氮濃度係藉由在該連續之脈衝輸送期間變化該阻障金屬前驅物之量而加以改變,以使得該金屬阻障層的氮濃度在該金屬阻障層與該介電層接觸之處為最高;及形成一銅層於該金屬阻障層之上,以使該金屬阻障層的氮濃度在該金屬阻障層接觸該銅層之處為最低。
  37. 如申請專利範圍第36項之沉積金屬阻障層的方法,其中在該金屬阻障層中之阻障金屬係選自於由鉭(Ta)、鈦(Ti)、鎢(W)、鋯(Zr)、鉿(Hf)、鉬(Mo)、鈮(Nb)、釩(V)、釕(Ru)及鉻(Cr)所組成之群組。
  38. 如申請專利範圍第36項之沉積金屬阻障層的方法,其中該阻障金屬前驅物係選自於包含五-(一甲基一乙基胺)鉭(PEMAT)、五-(二乙基胺)鉭(PDEAT)、五-(二甲基胺)鉭 (PDMAT)、新丁基氨三-(二乙基胺)鉭(TBTDET)、新丁基氨三-(二甲基胺)鉭(TBTDMT)、新丁基氨三-(一甲基一乙基胺)鉭(TBTEMT)及鹵化鉭TaX5 (其中X為氟(F)、溴(Br)或氯(Cl))及上述之任意和全部衍生物之清單。
  39. 一種沉積金屬阻障層的方法,用以襯墊一經蝕刻之內連線結構於原子層沉積系統中,該方法包含:利用原子層沉積,沉積該金屬阻障層,以襯墊該經蝕刻之內連線結構,該經蝕刻之內連線結構係被蝕刻至一介電層中,其中該金屬阻障層的氮濃度隨膜厚度之增加而階梯式減少,且其中藉由在處理氣體連續的脈衝輸送中變化一或多處理氣體之化學組成,或變化該處理氣體連續的脈衝輸送之持續時間,或二者之組合,而改變該金屬阻障層的氮濃度,以使得該金屬阻障層的氮濃度在該金屬阻障層與該介電層接觸之處為最高;及形成一銅層於該金屬阻障層之上,以使該金屬阻障層的氮濃度在該金屬阻障層接觸該銅層之處為最低。
  40. 如申請專利範圍第39項之沉積金屬阻障層的方法,其中,藉由施行阻障金屬前驅物、吹掃用氣體、具有第一持續時間之第一含氮氣體、及該吹掃用氣體的複數個連續脈衝輸送之循環,以沉積該金屬阻障層;其中,具有該第一持續時間之該第一含氮氣體與該阻障金屬前驅物反應,以形成具有第一氮濃度之該金屬阻障層的一第一次層。
  41. 如申請專利範圍第40項之沉積金屬阻障層的方法,其中在該金屬阻障層的該第一次層沉積之後,接著施行該阻障金屬前驅物、該吹掃用氣體、具有第二持續時間之第二含氮氣體、及該吹掃用氣體之另一複數個連續脈衝輸送之循環;其中,具有該第二持續時間之該第二含氮氣體與該阻障金屬前驅物反應,以形成 具有第二氮濃度之該金屬阻障層的一第二次層。
  42. 如申請專利範圍第41項之沉積金屬阻障層的方法,其中該第二持續時間係短於該第一持續時間。
  43. 如申請專利範圍第39項之沉積金屬阻障層的方法,其中藉由施行第一阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體之複數個連續脈衝輸送之循環來沉積該金屬阻障層;且其中,該含氮氣體與該第一阻障金屬前驅物反應以形成具有第一氮濃度之該金屬阻障層的一第一次層。
  44. 如申請專利範圍第43項之沉積金屬阻障層的方法,其中在該金屬阻障層的該第一次層沉積之後,接著施行第二阻障金屬前驅物、吹掃用氣體、含氮氣體及該吹掃用氣體之複數個連續脈衝輸送之循環,其中該含氮氣體與該第二阻障金屬前驅物反應以形成具有第二氮濃度之該金屬阻障層的一第二次層,且該第二氮濃度係低於該第一氮濃度。
TW096140318A 2006-10-31 2007-10-26 銅金屬化用之具有變化組成的阻障層之製造方法 TWI385730B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/591,310 US7863179B2 (en) 2006-10-31 2006-10-31 Methods of fabricating a barrier layer with varying composition for copper metallization

Publications (2)

Publication Number Publication Date
TW200837835A TW200837835A (en) 2008-09-16
TWI385730B true TWI385730B (zh) 2013-02-11

Family

ID=39330754

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096140318A TWI385730B (zh) 2006-10-31 2007-10-26 銅金屬化用之具有變化組成的阻障層之製造方法

Country Status (5)

Country Link
US (2) US7863179B2 (zh)
KR (2) KR101442282B1 (zh)
CN (1) CN101595550B (zh)
TW (1) TWI385730B (zh)
WO (1) WO2008055007A2 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7759244B2 (en) * 2007-05-10 2010-07-20 United Microelectronics Corp. Method for fabricating an inductor structure or a dual damascene structure
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8779600B2 (en) * 2012-01-05 2014-07-15 International Business Machines Corporation Interlevel dielectric stack for interconnect structures
CN103296006A (zh) * 2012-02-24 2013-09-11 中国科学院微电子研究所 扩散阻挡层、金属互连结构及其制造方法
CN104109844B (zh) * 2013-04-18 2016-07-06 中芯国际集成电路制造(上海)有限公司 一种基于原子层沉积技术的氮化钽薄膜的制作工艺
WO2016105400A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Decoupled via fill
US20160329213A1 (en) * 2015-05-04 2016-11-10 Lam Research Corporation Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10580650B2 (en) * 2016-04-12 2020-03-03 Tokyo Electron Limited Method for bottom-up formation of a film in a recessed feature
US10741442B2 (en) 2018-05-31 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer formation for conductive feature

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337151B1 (en) * 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US20050156316A1 (en) * 2002-08-08 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Refractory metal nitride barrier layer with gradient nitrogen concentration
US20050164487A1 (en) * 2001-02-02 2005-07-28 Applied Materials, Inc. Formation of a tantalum-nitride layer

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4859552A (en) 1987-02-18 1989-08-22 Kabushiki Kaisha Toshiba Electrophotographic photoreceptor with superlattice structure
US5231306A (en) 1992-01-31 1993-07-27 Micron Technology, Inc. Titanium/aluminum/nitrogen material for semiconductor devices
US6100559A (en) 1998-08-14 2000-08-08 Advanced Micro Devices, Inc. Multipurpose graded silicon oxynitride cap layer
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10250889B4 (de) * 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20070059913A1 (en) * 2005-09-15 2007-03-15 King Sean W Capping layer to reduce amine poisoning of photoresist layers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6337151B1 (en) * 1999-08-18 2002-01-08 International Business Machines Corporation Graded composition diffusion barriers for chip wiring applications
US20050164487A1 (en) * 2001-02-02 2005-07-28 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050156316A1 (en) * 2002-08-08 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Refractory metal nitride barrier layer with gradient nitrogen concentration

Also Published As

Publication number Publication date
KR101511925B1 (ko) 2015-04-13
TW200837835A (en) 2008-09-16
KR20090092269A (ko) 2009-08-31
CN101595550B (zh) 2012-09-19
KR101442282B1 (ko) 2014-09-23
US7863179B2 (en) 2011-01-04
KR20140046485A (ko) 2014-04-18
US20080102621A1 (en) 2008-05-01
WO2008055007A3 (en) 2008-07-03
WO2008055007A2 (en) 2008-05-08
CN101595550A (zh) 2009-12-02
US20110065273A1 (en) 2011-03-17

Similar Documents

Publication Publication Date Title
TWI385730B (zh) 銅金屬化用之具有變化組成的阻障層之製造方法
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
US7501344B2 (en) Formation of boride barrier layers using chemisorption techniques
US7781326B2 (en) Formation of a tantalum-nitride layer
US6955986B2 (en) Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US20020086111A1 (en) Method of forming refractory metal nitride layers using chemisorption techniques
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
JP2008124464A (ja) Ru膜および金属配線構造の形成方法
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
KR20090085654A (ko) 금속 카바이드 막의 기상 증착
JP2005528776A (ja) バリア層とシード層の一体化
JP4711624B2 (ja) 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積