JP4711624B2 - 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積 - Google Patents

銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積 Download PDF

Info

Publication number
JP4711624B2
JP4711624B2 JP2003541048A JP2003541048A JP4711624B2 JP 4711624 B2 JP4711624 B2 JP 4711624B2 JP 2003541048 A JP2003541048 A JP 2003541048A JP 2003541048 A JP2003541048 A JP 2003541048A JP 4711624 B2 JP4711624 B2 JP 4711624B2
Authority
JP
Japan
Prior art keywords
layer
tantalum
containing compound
depositing
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003541048A
Other languages
English (en)
Other versions
JP2005508092A (ja
Inventor
リング チェン,
フア チャン,
シーン,エム. セウター,
マイケル, エックス ヤン,
ミン イ,
ヴィンセント ク,
ディエーン−イェ ウー,
アラン オウイェ,
ノーマン ナカシマ,
バリー チン,
ホン ザン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/193,333 external-priority patent/US20030082307A1/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005508092A publication Critical patent/JP2005508092A/ja
Application granted granted Critical
Publication of JP4711624B2 publication Critical patent/JP4711624B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、集積回路素子を製造するための方法に関する。特に本発明の実施形態は、一つ以上の周期的堆積プロセスを使用して電極配線構造を形成することに関する。
従来技術の説明
[0002]集積回路(IC)素子の構造サイズは、4分の1ミクロン以下にまで小型化されているので、電気抵抗と電流密度は懸念と改善の分野になっている。多層配線技術は、コンタクト、プラグ、バイア、ライン、ワイヤ、その他の特徴部(構造要素)を含む高いアスペクト比の特徴部に形成された、IC素子中の導電性経路を与える。基板上に相互接続(配線)を形成するための典型的なプロセスは、一つ以上の層を堆積することと、一つ以上の特徴部を形成するためにこれらの層の少なくとも一つをエッチングすることと、これらの特徴部にバリア層を堆積することと、特徴部を充填するために一つ以上の層を堆積することと、を含む。典型的には特徴部は、下位の導電性層と上位の導電性層との間に配置された誘電体材料内に形成される。相互接続は、上位導電性層と下位導電性層とを接続するためにこの特徴部内に形成される。これらの相互接続特徴部の信頼度の高い形成は、回路の製造と、個別の基板とダイ(チップ)とにおける回路密度及び品質を改善するための止むことのない努力とにとって重要である。
[0003]今日、銅は、銅とその合金がアルミニウムより低い抵抗率を持っているという理由でサブミクロンの高いアスペクト比の相互接続特徴部を充填するための選び抜かれた金属となっている。しかしながら銅は、周囲の材料内に拡散し易く、隣接する層の電子素子特性を変化させる可能性があり、例えば層間に導電性経路を形成し、それによって回路全体の信頼性を低下させて素子障害を招く恐れがある。
[0004]したがって銅原子の拡散を防止する、あるいは妨げるために銅メタライゼーション(銅電極形成)に先立ってバリア層が堆積される。バリア層は典型的には、タングステン、チタン、タンタル及びこれらの窒化物といった高融点金属を含んでおり、これらはすべて銅より高い抵抗率を持っている。特徴部内にバリア層を堆積するためには、バリア層は特徴部の底面とその側壁にも堆積しなくてはならない。したがって特徴部の底面上のバリア層の追加量は、特徴部の全体抵抗を増加させるだけでなく、多層配線構造の上位と下位の電極配線間に障害物を形成する。
[0005]したがって相互接続の電気抵抗を最小にする、電極配線構造を形成するための改善された方法に対する必要が存在する。
発明の概要
[0006]基板上に電極配線を形成するための方法が提供される。一態様では、本方法は、金属層の少なくとも一部分の上に、結晶様構造を示し原子マイグレーションを抑制するために十分である厚さを有する高融点金属含有バリア層を堆積することを含む。この相互接続は、金属含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することと、上記バリア層の少なくとも一部分の上にシード層を堆積することと、上記シード層の少なくとも一部分の上に第2の金属層を堆積することと、によって製造される。
[0007]他の態様では、本方法は、基板表面に第1の金属層を堆積することと、チタン含有化合物の一つ以上の適用量とシリコン含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することによって上記第1の金属層の少なくとも一部分の上に約20オングストローム未満の厚さを有するチタン・シリコン窒化物層を堆積することと、二元合金シード層を堆積することと、上記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積することと、を含む。
[0008]更に他の態様では、本方法は、金属層の少なくとも一部分の上に約20オングストローム未満の厚さを有する二層バリアを堆積することと、二元合金シード層を堆積することと、上記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積することと、を含む。この二層バリアは、タンタル含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することによって堆積される窒化タンタルの第1の層と、アルファ相タンタルの第2の層とを含む。
[0009]なお更に他の態様では、本方法は、基板表面に第1の金属層を堆積することと、タンタル含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することによって、上記第1の金属層の少なくとも一部分の上に約20オングストローム未満の厚さを有する窒化タンタルバリア層を堆積することと、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、錫、及びこれらの組合せからなる群から選択された金属とを含む二元合金シード層を堆積することと、上記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積することと、を含む。
[0010]本発明の上述の特徴を達成する仕方が詳細に理解できるように、上記に簡単に要約された本発明の更に特定の説明は、付属の図面に示された本発明の実施形態を参照することによって行われる。しかしながら、本発明が、他の同等に有効な実施形態にも適用可能であるので、付属の図面が本発明の単に典型的な実施形態を説明するものであって、したがって、本発明の範囲を限定するものと考えるべきでないことに留意すべきである。
好ましい実施形態の詳細な説明
[0017]一つ以上の相互接続構造を形成するためのプロセス・シーケンスが提供される。本明細書に説明される実施形態によって形成される相互接続構造は、従来技術の相互接続よりも低い全体的抵抗率と良好な電気特性とを持っており、特に集積回路の製造での使用に関してメモリとロジックの構造を作るために有用である。相互接続構造の形成は、基底をなす金属層の上に少なくとも部分的に堆積される薄いバリア層と、このバリア層の上に少なくとも部分的に堆積されるシード層と、このシード層の上に少なくとも部分的に堆積されるバルク金属層との形成を含む。ここで使用される用語「相互接続」は、集積回路内に形成される任意の導電性経路を指している。ここで使用される用語「バルク金属」は、相互接続構造を形成するために堆積される他の金属より大量に堆積される金属を指している。
[0018]図1は、本発明の実施形態によるプロセス・シーケンスを示す。先ず初めに、例えばステップ480に示すように、下位レベルの電極配線又は金属ゲートといった基底をなす基板表面に少なくとも部分的に薄いバリア層が堆積される。このバリア層は、優れたバリア特性を与えるために、また基底をなす金属層がバリア層を横切って上位レベルの電極配線内に、あるいは後から堆積された金属層内に持続成長することを可能にするために、本明細書に説明される循環的層堆積手法にしたがって堆積される。一態様では、このバリア層は、例えばタンタル、チタン、タングステンといった高融点金属含有層であり、また窒化タンタル(TaN)といった高融点金属窒化物材料を含むこともある。他の態様では、このバリア層は、TaNとアルファ相タンタルからなる薄い二重層である。更に他の態様では、本バリア層は、高融点金属含有化合物とシリコン含有化合物と窒素含有化合物とから形成される三成分材料である可能性がある。バリア層は、また、後続のメタライゼーションのための湿潤層、接着層、あるいは接着剤層としても機能できる。
[0019]ここで使用される「薄い層」は、約10オングストローム(Å)といった約20Å以下の厚さを有する、基板上に堆積された材料の層を指す。バリア層の厚さは、隣接する電極配線の電子がバリア層を通り抜けられるほど薄い。したがって、バリア層は、全体的な電気抵抗を下げて良好な素子信頼性を与えることによって電極配線の電気的性能を大幅に改善する。
[0020]本明細書に説明される循環堆積方法によって堆積された薄いバリア層は、エピタキシャル成長現象の形跡を示す。言い換えれば、バリア層は、基底をなす層と同じ、あるいは実質的に同じ結晶学的特性を帯びる。その結果、バリア層と基底層との間の界面にボイド形成が存在しないような実質的に単一の結晶が成長する。同様に、バリア層上に堆積される後続の金属層は、この単結晶の成長を継続する同じ、あるいは実質的に同じエピタキシャル成長特性を示す。したがって、この界面に、ボイド形成は発生しない。この結果得られる、単結晶に似た構造は、ボイド形成を除去し、それによって実質的に素子信頼性を向上させる。この単結晶構造は、優れたバリア特性を備えながらなお、相互接続特徴部の全体的抵抗を減少させる。更に単結晶成長は、相互接続材料界面を横切る等角・均一な結晶方位のためにエレクトロマイグレーションとストレスマイグレーションの感受率を引き下げる。
[0021]ここで使用される「循環堆積」は、基板に単層の材料を堆積するための二つ以上の反応化合物の順次的導入を指す。これら二つ以上の反応化合物は、プロセス・チャンバの反応ゾーン内に交互に導入される。各反応化合物は、各化合物が基板表面上で付着及び/又は反応できるようにするために、ある遅延時間だけ分離されている。一態様では、第1の前駆物質あるいは化合物Aは、反応ゾーン内に適用量導入されてから第1の遅延時間だけおかれる。次に第2の先駆物質あるいは化合物Bは、反応ゾーン内に適用量導入されてから第2の遅延時間だけおかれる。例えばチタン・シリコン窒化物といった三成分材料が所望であるときは、第3の化合物(C)は、反応ゾーン内にドーズ(投与)/適用量導入されてから第3の遅延時間だけおかれる。各遅延時間の間中、反応ゾーンをパージする(一掃する)ため、そうでなければ反応ゾーンからすべての残留反応化合物を除去するためにプロセス・チャンバ内にアルゴンといった不活性ガスが導入される。代替として反応化合物の適用量(pulse)間の遅延時間中、パージガスだけが流れるように、堆積プロセス中、連続的にパージガスを流し続けることもできる。これらの反応化合物は、基板表面に所望の膜あるいは膜厚が形成されるまで交互に適用量導入される。
[0022]ここで使用される「基板表面」は、膜処理が行われる如何なる基板表面をも指す。基板表面は、アプリケーションによって、例えばシリコン(ケイ素)、酸化シリコン、ドープトシリコン、ゲルマニウム、ガリウム砒素、ガラス、サファイア、及び金属、金属窒化物、合金、その他導電性材料といった他の如何なる材料も含むことができる。基板表面はまた、二酸化シリコン、カーボン・ドープト酸化シリコンといった誘電体材料を含むこともできる。
[0023]ここで使用される「適用量(pulse)」あるいは「ドーズ」は、プロセス・チャンバの反応ゾーン内に間欠的に、あるいは不連続的に導入されるある特定の化合物のある量を指すように意図されている。各適用量内のある特定の化合物の量は、適用量の持続時間によって、時間的に変化し得る。各適用量の持続時間は、例えば使用されるプロセス・チャンバのボリューム容量、それに連結される真空システム、及びその特定の化合物それ自身の揮発性/反応性といった多くの要因によって変化し得る。
[0024]用語「化合物」は、一つ以上の前駆物質、オキシダント、還元体、反応物、及び触媒、あるいはそれらの組合せを含むことが意図されている。用語「化合物」はまた、二つ以上の化合物が一つの処理システム内に同時に導入されるといったときに1グループの化合物を含むことも意図されている。例えば1グループの化合物は、一つ以上の触媒と一つ以上の前駆物質とを含むことがあり得る。用語「化合物」は更に、解離又はイオン化といったことによって活性化状態、そうでなければ付勢化状態における一つ以上の前駆物質、オキシダント、還元体、反応物、及び触媒、あるいはそれらの組合せを含むことが意図されている。
[0025]基板表面上の反応物の単分子層を物理吸着、吸着、吸収あるいは化学吸着するために使用される表面引力は、基板表面がこれらの反応物のために利用可能な有限数のサイトを持っているという理由で、所定の適用量の時間中に唯一つの単分子層が基板表面に堆積できるということにおいて自己限定的であると信じられている。一旦これら有限数のサイトが反応物によって占められると、これらの反応物の更なる堆積は、妨げられるであろう。このサイクルは、層が所望の厚さになるまで反復できる。
[0026]なお、図1を参照すれば、ステップ485に示すようにバリア層の上に少なくとも部分的に、シード層が堆積される。このシード層は、化学的気相堆積(CVD)、物理的気相堆積(PVD)、電気メッキ、又は無電解めっきといった従来の如何なる堆積手法を使用しても堆積可能である。好ましくは、シード層は、約100Åから約500Åの間の厚さになるように、基底となるバリア層上に等角に堆積される。一態様では、シード層は、通常の銅シード層である。他の一態様では、シード層は、二元合金シード層である。例示的な二元合金シード層は、1)無ドープ銅を含むターゲットを利用して堆積された無ドープ銅と、2)約2.0原子パーセントの濃度のアルミニウムを含む銅アルミニウムターゲットを利用して堆積された約2.0原子パーセントの濃度のアルミニウムを含有する銅合金と、3)約2.0原子パーセントの濃度の錫を含む銅・錫ターゲットを利用して堆積された約2.0原子パーセントの濃度の錫を含有する銅合金と、4)約2.0原子パーセントの濃度のジルコニウムを含む銅ジルコニウム・ターゲットを利用して堆積された約2.0原子パーセントの濃度のジルコニウムを含有する銅合金と、を含む。
[0027]バルク金属層は、ステップ487に示すようにシード層の上に少なくとも部分的に堆積される。この金属層もまた、化学的気相堆積(CVD)、物理的気相堆積(PVD)、電気めっき、又は無電解めっきといった従来の如何なる堆積手法を使用しても堆積可能である。好ましくは、金属層は、例えばアルミニウム、銅、タングステン、又はこれらの組合せといった任意の導電性材料を含む。
[0028]図2A〜図2Dは、製造の異なる段階における例示的な相互接続構造の模式的表現である。図2Aは、その上に誘電体層112が形成された基底金属層110を示す。図2Bは、この基底金属層110の上に少なくとも部分的に堆積されたバリア層130を示す。この基底金属層110は、例えばアルミニウム、銅、タングステン、又はこれらの組合せといった任意の導電性金属を含むことができ、またプラグ、バイア、コンタクト、ライン、ワイヤといった相互接続特徴部の一部を形成でき、また金属ゲート電極の一部となることもできる。図2Cは、バリア層130の上に少なくとも部分的に堆積されたシード層140を示し、また図2Dは、このシード層140の上に少なくとも部分的に堆積されたバルク金属層142を示す。
[0029]図2Aを参照すると、誘電体層112は、現在知られているか、これから発見される低k誘電体(k≦4.0)を含む如何なる誘電多材料であってもよい。例えば、この誘電体層112は、酸化シリコン又はカーボン・ドープト酸化シリコンでもよい。誘電体層112は、従来の良く知られた手法を使用して、その中に特徴部114を形成するためにエッチングされている。この特徴部114は、プラグ、バイア、コンタクト、ライン、ワイヤ、その他如何なる相互接続コンポーネントでもよい。典型的には特徴部114は、約6:1といった約4:1以上のアスペクト比を有する垂直な側壁116と床118とを持っている。床118は、より下位レベルの電極配線110の少なくとも一部分を露出している。
[0030]図2Bを参照すると、バリア層130は、特徴部114の側壁116ばかりでなく床118にも等角に堆積される。好ましくは、このバリア層は、内部に基板を配置している反応ゾーンに対して、約1.0秒以下の期間中に約100sccmから約1000sccmの間の流量でタンタル含有化合物の一つ以上の適用量と、約1.0秒以下の期間中に約100sccmから約1000sccmの間の流量で窒素含有化合物の一つ以上の適用量とを供給することによって、約20Å以下、好ましくは、約10Åの厚さに堆積された窒化タンタルを含む。例示的なタンタル含有化合物は、t−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、ペンタキス(エチルメチルアミノ)タンタル(PEMAT)、ペンタキス(ジメチルアミノ)タンタル、(PDMAT)、ペンタキス(ジメチルアミノ)タンタル(PDEAT)、t−ブチルイミノトリス(ジエチルメチルアミノ)タンタル(TBTMET)、t−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT)、ビス(シクロペンタジエニル)三水素化タンタル((Cp)TaH)、ビス(メチルシクロペンタジエニル)三水素化タンタル((CpMe)TaH)、これらの誘導体、及びこれらの組合せを含む。例示的窒素含有化合物は、アンモニア、ヒドラジン、メチルヒドラジン、ジメチルヒドラジン、tブチルヒドラジン、フェニルヒドラジン、アゾイソブタン、エチルアジド、これらの誘導体、及びこれらの組合せを含む。
[0031]これらの化合物、あるいは上記に列挙されなかった他の如何なる化合物も、室温で固体、液体、又は気体のいずれかであり得ることは理解すべきである。例えば、PDMETは室温で固体であり、TBTDETは室温で液体である。したがって、非気相の前駆物質は、プロセス・チャンバ内への導入に先立って、両者とも本技術では良く知られた昇華あるいは気化のステップを受ける。アルゴン、ヘリウム、窒素、水素、あるいはこれらの混合物といったキャリアガスもまた、本技術では一般に知られているように、プロセス・チャンバ内に化合物を送り込むのを助けるために使用できる。
[0032]各適用量は順番に実施され、これに続いて非反応ガスの別の流れが約200sccmから約1000sccmの間の流量で流される。非反応ガスのこの別の流れは、反応化合物の各適用量間で適用量導入されるか、あるいは非反応ガスのこの別の流れは、堆積プロセスの期間中、連続して導入されることも可能である。非反応ガスのこの別の流れは、適用量導入か連続導入にかかわらず、反応化合物の有害な気相反応を防止するために反応ゾーンから如何なる余分な反応物も除去するのに役立っており、またパージガスと同様にプロセス・チャンバから如何なる反応副生物も除去するためにも役立っている。これらの働きに加えて、非反応ガスの連続したこの別の流れは、キャリアガスと同様に、反応化合物の適用量を基板表面に送り込む助けとなる。ここで使用される用語「非反応ガス」は、金属層形成に関与しない単一のガス又は混合ガスを指す。例示的な非反応ガスは、アルゴン、ヘリウム、窒素、水素、及びこれらの組合せを含む。
[0033]「反応ゾーン」は、処理中の基板表面と流体的に通じている如何なるボリューム空間をも含むように意図されている。反応ゾーンは、ガス供給源と基板表面との間に在るプロセス・チャンバ内の如何なるボリュームも含み得る。例えば反応ゾーンは、基板が配置されるドーズバルブの下流の如何なるボリュームも含む。
[0034]各適用量/ドーズの持続時間は可変であり、例えばプロセス・チャンバのボリューム容量とこれに連結された真空システムの能力とに適応するように調整できる。更に化合物のドーズ時間は、その化合物の流量と、化合物の圧力と、化合物の温度と、ドーズバルブのタイプと、使用される制御システムのタイプと、基板表面に吸着する化合物の能力とにしたがって変化し得る。ドーズ時間はまた、形成される層のタイプと形成される素子の形状とに基づいても変化し得る。
[0035]典型的には各適用量の持続時間あるいは「ドーズ時間」は、一般に約1.0秒以下である。しかしながら、ドーズ時間は、マイクロ秒からミリ秒、秒、分にまで変化し得る。一般にドーズ時間は、基板の表面全体に吸着/化学吸着して化合物の層を形成するように十分なボリュームの化合物を供給するために十分な長さであるべきである。
[0036]図3は、循環的層堆積、原子層堆積、ディジタル化学的気相堆積、及び迅速化学的気相堆積の手法を使用してバリア層を形成できる例示的プロセス・チャンバ200の模式的部分断面を示している。用語「循環的層堆積」、「原子層堆積」、「ディジタル化学的気相堆積」、及び「迅速化学的気相堆積」は、ここでは交換可能に使用され、気相堆積手法を指しており、それによって基板表面に材料の薄層を堆積するために、二つ以上の化合物がプロセス・チャンバの反応ゾーン内に順次に導入される。このようなプロセス・チャンバ200は、カリフォルニア州サンタクララに在るアプライドマテリアルズ社から入手可能であり、その簡単な説明は下記の通りである。詳細説明は、参照として本明細書に組み込まれる、2001年12月21日に出願された「Gas Delivery Apparatus and Method For Atomic Layer Deposition(原子層堆積のためのガス供給システム及び装置)」と題する、一般に譲渡される米国特許出願第10/032,284号に見ることができる。
[0037]プロセス・チャンバ200は、アプライドマテリアルズ社から入手可能なEndura(商標)プラットフォームといった統合されたプロセス・プラットフォームに統合できる。Endura(商標)プラットフォームの詳細は、参照として本命最初に組み込まれる、1999年11月30日に出願された「Integrated Modular Processing Platform」と題する、一般に譲渡される米国特許出願第09/451,628号に記述されている。
[0038]図3を参照すれば、チャンバ200は、側壁204に形成されたスリットバルブ208と内部に配置された基板支持体212とを有するチャンバ本体202を含む。基板支持体212は、基板支持体212とその上に配置された基板210とを上昇・下降させるエレベータ・モータ214に取り付けられている。基板支持体212はまた、処理中に基板212を基板支持体212に固定するための真空チャック、静電チャック、又はクランプ・リングを含むこともできる。更に基板支持体212は、抵抗ヒータといった埋め込み型加熱エレメントを使用して過熱されることが可能であり、あるいは基板支持体212の上方に配置された加熱ランプといった放射熱を使用して加熱されることも可能である。基板210の周辺部への堆積を防止するためにパージガスを供給するパージチャネル224を画定するように基板支持体212の上にパージ・リング222を配置することができる。
[0039]ガス分配装置230は、プロセスガス及び/又はパージガスといったガスをチャンバ200に供給するためにチャンバ本体202の上位部分に配置される。真空システム278は、チャンバ200からガスを排出するためと、チャンバ200のポンピング・ゾーン266の内部で所望の圧力又は所望の圧力範囲を維持するのを助けるためにポンピング・チャネル279と通じている。
[0040]ガス分配装置230は、その中心部分内に形成された膨張チャネル234を有するチャンバ蓋232を含む。チャンバ蓋232は、また、膨張チャネル234からチャンバ蓋232の周辺部に広がる底部表面260を含む。底部表面260は、基板支持体212上に配置された基板210を実質的にカバーするようなサイズと形状になっている。膨張チャネル234は、上位部分237からチャンバ蓋232の底部表面260に隣接する下位部分235に向かって徐々に増加する内径を持っている。そこを流れるガスの速度は、ガスの膨張のせいでガスがこの膨張チャネル234を流れるにつれて減少する。減速したガス速度は、基板210の表面に吸着した反応物を吹き飛ばす可能性を低下させる。
[0041]ガス分配装置230はまた、一つ以上のポートを有する少なくとも二つの高速起動バルブ242を含む。少なくとも一つのバルブ242は、各反応化合物に専用である。例えば、第1のバルブは、タンタル、チタンといった高融点金属含有化合物に専用であり、第2のバルブは、窒素含有化合物に専用である。三成分材料が所望であるときは第3のバルブが追加の化合物に専用となる。例えば、シリサイドが所望であるときは、この追加の化合物は、シリコン含有化合物であり得る。
[0042]これらのバルブ242は、チャンバ本体202内に化合物の短い適用量を精確に繰り返し送り込むことのできる如何なるバルブでもよい。ある幾つかのケースでは、バルブ242のオン/オフ・サイクルあるいは適用量は、約100ミリ秒以下ほども高速である可能性がある。バルブ242は、例えばメインフレームといったシステムコンピュータによって直接制御でき、あるいは参照として本明細書に組み込まれる、2001年3月7日に出願された「Valve Control System For ALD Chamber」と題する同時係属中の米国特許出願第09/800,881号に詳細に記載されているプログラマブルロジック・コンピュータ(PLC)といったチャンバ/特定用途向けコントローラによって制御できる。バルブ242は、例えば、部品番号FR−21−6.35UGF−APDとして日本のフジキンから商業的に入手可能な電子制御(EC)バルブでもよい。
[0043]システム全体の制御と自動化とを容易にするために、この統合された処理システムは、中央処理装置(CPU)142とメモリ144とサポート回路146とからなるコントローラ140を含むことができる。CPU142は、種々の駆動装置と圧力とを制御するために工業設備で使用される任意の形式のコンピュータ・プロセッサの一つでよい。メモリ144は、CPU142に接続されており、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、あるいはローカル又はリモートの、他の任意の形式のディジタル記憶装置といった直ちに利用可能なメモリの一つ以上であってよい。ソフトウエアの命令とデータは、CPU142に命令するために符号化されてメモリ144内に記憶できる。サポート回路146もまた、通常の仕方でプロセッサ142をサポートするためにCPU142に接続される。サポート回路146は、キャッシュ、電源、クロック回路、入出力回路、サブシステムなどを含むことができる。
[0044]ある特定の実施形態ではTaNバリア層は、PDMATとアンモニアとを基板表面に循環的に導入することによって形成される。TaN層の循環的堆積を開始するために、プロセス・チャンバ200内の圧力と温度を安定化するようにチャンバ200内に、アルゴンといったキャリア/不活性ガスが導入される。このキャリアガスは、各化合物の適用量間でアルゴンだけが流れるように堆積プロセス中、連続して流れることが可能である。PDMATの第1の適用量は、チャンバの温度と圧力が約200℃から約300℃、約1トルから約5トルで安定化された後に、約100sccmから約400sccmの間の流量と約0.6秒以下の適用量時間とでガス供給源238から供給される。それからアンモニアの適用量が、約200sccmから約600sccmの間の流量と約0.6秒以下の適用量時間とでガス供給源239から供給される。それからアンモニアの適用量が、約200sccmから約600sccmの間の流量と約0.6秒以下の適用量時間とでガス供給源239から供給される。
[0045]PDMATの適用量とアンモニアの適用量との間の休止は、約1.0秒以下、好ましくは、約0.5秒以下、より好ましくは、約0.1秒以下である。種々の態様において適用量間の時間の削減は少なくとも、より高いスループットを与える。その結果アンモニアの適用量後の休止も、約1.0秒以下、約0.5秒以下、あるいは約0.1秒以下となる。約100sccmから約400sccmといった約100sccmから約1000sccmの間で流れるアルゴンガスは、ガス供給源240から各バルブ242を通して連続的に供給される。一態様では、PDMATの適用量は、アンモニアの適用量が入ってくるときに未だチャンバ内に残っている可能性がある。一般にキャリアガス及び/又はポンプ排気の持続時間は、PDMATの適用量とアンモニアの適用量とが反応ゾーン内で混合するのを防止するために十分な長さにすべきである。
[0046]ヒータ温度は、約1.0トルから約5.0トルのチャンバ圧力で約100℃から約300℃の間に維持される。好ましくは、堆積温度は、約200℃と約250℃との間である。PDMATの適用量と休止とアンモニアの適用量と休止とからなる各サイクルは、1サイクルごとに約0.3Åから約1.0Åの間の厚さを有する窒化タンタル層を与える。この交互のシーケンスは、約10Åといった約20Å未満である所望の厚さが得られるまで反復することができる。したがってこの堆積方法は、10サイクルから70サイクルの間、より典型的には20サイクルから30サイクルの間を必要とする。
[0047]他の態様では、10Åといった約20Å未満の厚さを有する三成分バリア層は、高融点金属含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とシリコン含有化合物の一つ以上の適用量とを供給することによって堆積される。各適用量は、高融点金属窒化シリコン層の所望の組成とシリコン混入レベルと厚さと密度とステップ・カバレージとを与えるように調整される。ここで使用される「三成分バリア層」は、チタン、窒素、シリコンといった三つの主要元素からなる組成を有する材料を指す。例示的な「三成分バリア層」はまた、タンタルと窒素とシリコンとを含むこともできる。
[0048]各適用量は、順次に実行され、これに続いて上記と同じプロセス条件でキャリア/不活性ガスの別の流れが流される。キャリア/不活性ガスのこの別の流れは、反応化合物の各適用量間で適用量導入されるか、あるいはキャリア/不活性ガスのこの別の流れは、堆積プロセス中、連続して導入され得る。
[0049]好ましくは、三成分バリア層は、チタン・シリコン窒化物を含む。この実施形態では各サイクルは、チタン含有化合物の適用量と休止とシリコン含有化合物の適用量と休止と窒素含有化合物の適用量と休止とからなる。例示的なチタン含有化合物は、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(エチルメチルアミノ)チタン(TEMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、四塩化チタン(TiCl)、沃化チタン(TiI)、臭化チタン(TiBr)、及びその他のチタン・ハロゲン化物を含む。例示的シリコン含有化合物は、シラン、ジシラン、メチルシラン、ジメチルシラン、クロロシラン(SiHCl)、ジクロロシラン(SiHCl)、及びトリクロロシラン(SiHCl)を含む。例示的窒素含有化合物は、アンモニア、ヒドラジン、メチルヒドラジン、ジメチルヒドラジン、tブチルヒドラジン、フェニルヒドラジン、アゾイソブタン、エチルアジド、これらの誘導体、及びこれらの組合せを含む。
[0050] TiSiN層の循環的堆積を開始するために、プロセス・チャンバ200内の圧力と温度を安定させるようにチャンバ200内に、アルゴンが導入される。このアルゴンの別の流れは、各化合物の適用量間でアルゴンだけが流れるように堆積プロセス中、連続して流れる。アルゴンのこの別の流れは、約100sccmから約400sccmの間といった約100sccmから約1000sccmの間で流れる。一態様では、TDMATの適用量は、チャンバの温度と圧力が約250℃、2トルで安定化された後に、約10sccmから約1000sccmの間の流量と約0.6秒以下の適用量時間とで供給される。それからシランの適用量が、約5sccmから約500sccmの間の流量と1秒以下の適用量時間とで供給される。それからアンモニアの適用量が、約100sccmから約5,000sccmの間の流量と約0.6秒以下の適用量の時間とで供給される。
[0051]TDMATの適用量とシランの適用量との間の休止は、約1.0秒以下、好ましくは、約0.5秒以下、より好ましくは、約0.1秒以下である。シランの適用量とアンモニアの適用量との間の休止は、約1.0秒以下、約0.5秒以下、あるいは約0.1秒以下である。アンモニアの適用量後の休止もまた、約1.0秒以下、約0.5秒以下、あるいは約0.1秒以下である。一態様では、シランの適用量が入ってくるときにTDMATの適用量は未だチャンバ内に残っている可能性があり、またアンモニアの適用量が入ってくるときに、シランの適用量が未だチャンバ内に残っている可能性がある。
[0052]ヒータ温度は、約1.0トルから約5.0トルの間のチャンバ圧力で約100℃から約300℃の間に維持される。TDMATの適用量と休止とシランの適用量と休止とアンモニアの適用量と休止とからなる各サイクルは、1サイクルごとに約0.3Åから約1.0Åの間の厚さを有するチタン・シリコン窒化物層を与える。この交互のシーケンスは、約10Åといった約20Å未満である所望の厚さが得られるまで反復できる。したがってこの堆積方法は、10サイクルから70サイクルの間を必要とする。
[0053]更に他の態様では、前に堆積された二成分(TaN)又は三成分(TiSiN)層の少なくとも一部分の上に、約10Åといった約20Å以下の厚さを有するアルファ相タンタル(α−Ta)層が堆積できる。このα−Ta層は、二重層スタックを形成するために例えばPVD及びCVDといった従来の手法を使用して堆積できる。例えば二重層スタックは、上述の循環的層堆積によって堆積されたTaN部分と高密度プラズマ物理的気相堆積(HDP−PVD)によって堆積されたα−Ta部分とを含む可能性がある。アルファ相タンタルは、ベータ相タンタルと比較して、より低い抵抗のために好適である。
[0054]更に説明するためにこのスタックのα−Ta部分は、カリフォルニア州、サンタクララのアプライドマテリアルズ社から入手可能なVectra(商標)チャンバといったイオン化金属プラズマ(IMP)チャンバを使用して堆積できる。このIMPチャンバは、ターゲットとコイルとバイアスをかけられる基板支持部材とを含んでおり、アプライドマテリアルズ社から入手可能なEndura(商標)プラットフォームに統合することもできる。ターゲットには約0.5kWから約5kWの間の電力が印加され、コイルには約0.5kWから3kWの間の電力が印加される。基板にバイアスをかけるために基板支持部材には、約13.56MHzの周波数で約200Wから約500Wの間の電力が印加される。アルゴンは、約35sccmから約85sccmの流量でチャンバ内に流入し、窒素は約5sccmから約100sccmの流量でチャンバ内に加えられる。チャンバの圧力は典型的には約5ミリトルから約100ミリトルの間であるが、チャンバの温度は約20℃から約300℃の間である。
[0055]前述のバリア層膜は、例えばプラズマ処置プロセスあるいは化学処理プロセスといった後堆積処理プロセスから恩恵を受けることができる。プラズマ処置プロセスは抵抗を減らして歩留まりを改善する。代表的なプラズマ処置は、アルゴンプラズマ、窒素プラズマ、又は窒素水素プラズマを含むことがあり得る。プラズマ処置は、バリア層堆積が行われる同じ堆積チャンバ内で、あるいは異なるチャンバ内で実施できる。プラズマ処置が同じチャンバ内で行われる場合には、プラズマは、インサイチュ(現位置・実時間)プラズマ、又は誘導的に結合されたリモート供給源又はマイクロ波供給源といったリモート・プラズマ供給源から送られるプラズマであり得る。
[0056]理論に縛られたくはないが、例えば窒化タンタル膜のプラズマ処置は、窒素をスパッタ放出することによって一つ以上のサブレイヤーの窒素含有量を減らし、抵抗率も減らすと信じられている。例えばプラズマ処置は、プラズマ処置されない窒化タンタル層と比較して窒化タンタル層をよりタンタル・リッチにすると信じられている。言い換えれば1:1のTa:N膜は、プラズマ処置を使用して2:1のTa:N膜に変換できる。0.004ミクロン(40オングストローム)の膜に関して約1200マイクロオーム・センチメートル以下のシート抵抗を有する窒化タンタル膜を得ることができる。
[0057]更にバリア層から窒素を物理的に除去するために、例えばネオン(Ne)、キセノン(Xe)、ヘリウム(He)、水素(H)といった他の化学的非反応性ガスが使用できる。一般にNの優先的スパッタリングを持つためには、TaよりNに近い原子質量を有するプラズマガス原子又は分子を持つことがより望ましい。しかしながらある特定のガスがTaを残しながらNを除去するために選択的に反応する場合には化学反応プロセスが使用できる。
[0058]化学処理プロセスはまた、抵抗を減らして歩留まりを改善できる。代表的化学処理は、アルミニウム化合物又はシリコン化合物への露出を含むことができる。これらの化合物は、DMAH、TMA、シラン、ジメチルシラン、トリメチルシラン、その他の有機シラン化合物を含み得るが、これらに限定されない。化学処理は典型的には、約1トルから約10トルの間の圧力と約200℃から約300℃の間の温度で行われる。化学処理に続いて、前述の方法によって堆積された窒化タンタル膜は化学処理なしと比較して除湿性の改善を示すことが観測されている。
[0059]バリア層の形成後に、後堆積処理プロセスが実施できる。代替としてこれらの処理は、各単分子層の堆積間あるいは各サイクルの堆積間で実施できる。例えば層の約0.003から0.005ミクロン(30から50オングストローム)ごとに、あるいはほぼ7から10サイクルごとに処理プロセスを行うことができる。
[0060]更にパターン付けされた、あるいはエッチングされた基板誘電体層112は、バリア層130を堆積する前にその表面から自然酸化物、その他の汚染物質を除去するために洗浄することができる。例えば反応ガスは、カリフォルニア州サンタクララに在るアプライドマテリアルズ社から入手可能なReactive Pre−clean Chamber(反応前洗浄チャンバ)といったリモート・プラズマ供給源チャンバ内で励起されてプラズマになる。前洗浄はまた、リモート・プラズマ供給源に接続することによって、金属CVD又はPVDチャンバ内で行うこともできる。代替としてガス供給システムを有する金属堆積チャンバは、基板の上方に配置されたガス給気シャワーヘッドといった既存のガス取り入れ口を通して前洗浄ガスプラズマを送るように修正できるであろう。
[0061]一態様では、反応前洗浄プロセスは、アルゴン、ヘリウム、水素、窒素、フッ素含有化合物、及びこれらの組合せといった一つ以上の反応ガスのプラズマからラジカルを形成する。例えば反応ガスは、テトラフルオロカーボン(CF)と酸素(O)の混合物、あるいはヘリウム(He)と三フッ化窒素(NF)の混合物を含むことができる。更に好ましくは、反応ガスは、ヘリウムと三フッ化窒素の混合物である。
[0062]アルゴンプラズマに続いてチャンバ圧力は、約140トルに昇圧され、基本的に水素とヘリウムからなる処理ガスが処理領域内に導入される。好ましくは、処理ガスは、約5%水素と約95%ヘリウムとからなる。水素プラズマは、約50ワットから約500ワットの間の電力を印加することによって生成される。水素プラズマは、約10秒から約300秒間、維持される。
[0063]再び図2Cを参照すると、シード層140は、良好な等角カバレージを可能にするように高密度プラズマ物理的気相堆積(HDP−PVD)を使用して堆積できる。HDP−PVDチャンバの一例は、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なSelf−Ionized Plasma SIP(商標)チャンバであって、これはアプライドマテリアルズ社から入手可能なEndura(商標)プラットフォームに統合することができる。物理的気相堆積、化学的気相堆積、無電解めっき、電気めっき、といった他の手法も使用できることは無論である。
[0064]代表的なSIP(商標)チャンバは、ターゲットとコイルとバイアスをかけられる基板支持部材とを含む。銅シード層を形成するために、ターゲットには約0.5kWから約5kWの間の電力が印加され、コイルには約0.5kWから約3kWの間の電力が印加される。基板にバイアスをかけるために、約13.56MHzの周波数で約200Wから約500Wの間の電力が印加される。アルゴンは約35sccmから約85sccmの流量でチャンバ内に流され、窒素は約5sccmから約100sccmの流量でチャンバに加えることができる。チャンバの圧力は典型的には、約5ミリトルから約100ミリトルの間である。
[0065]代替として、銅合金を含むシード層140が、物理的気相堆積、化学的気相堆積、無電解堆積あるいは組合せ手法といった何か適当な手法によって堆積できる。好ましくは、銅合金シード層140は、アルミニウムを含んでおり、上記のPVD手法を使用して堆積される。堆積時間中、プロセス・チャンバは、約0.1ミリトルから約10ミリトルの間の圧力に維持される。ターゲットは、銅とアルミニウムの約2から約10原子量パーセントの間を含む。ターゲットは、約5kWから約100kWの間の電力でDCバイアスをかけることができる。ペデスタルは、約10Wから約1000Wの間の電力でRFバイアスをかけることができる。銅合金シード層140は、約5Åから約500Åの間で少なくとも約5Åの厚さに堆積される。
[0066]図2Dを参照すると、金属層142は、化学的気相堆積(CVD)、物理的気相堆積(PVD)、電気めっき、あるいはこれらの組合せを使用して形成できる。例えばアルミニウム(Al)層は、ジメチル水素化アルミニウム(DMAH)と水素(H)又はアルゴン(Ar)とを含む混合ガス、又は他のDMAH含有混合物の反応から堆積でき、CVD銅層は、Cu+2(hfac)(銅ヘキサフルオロアセチルアセトネート)、Cu+2(fod)(銅ヘプタフルオロジメチルオクタンジエン)、Cu+1hfacTMVS(銅ヘキサフルオロアセチルアセトネートトリメチルビニールシラン)、又はこれらの組合せを含む混合ガスから堆積でき、また、CVDタングステン層は、六フッ化タングステン(WF)と還元ガスとを含む混合ガスから堆積できる。PVD層は、銅ターゲット、アルミニウムターゲット又はタングステンターゲットから堆積できる。
[0067]更に、金属層142は、特に、チタン(Ti)、タングステン(W)、タンタル(Ta)、ジルコニウム(Zr)、ハフニウム(Hf)、モリブデン(Mo)、ニオブ(Nb)、バナジウム(V)、及びクロム(Cr)を含むがこれらに限定されない高融点金属化合物であってよい。通常、高融点金属は、例えば塩素(Cl)又はフッ素(F)といった反応種と化合し、また他のガスを与えられて高融点金属化合物を形成する。高融点金属含有化合物ガスとして例えば、四塩化チタン(TiCl)、六フッ化タングステン(WF)、五塩化タンタル(TaCl)、四塩化ジルコニウム(ZrCl)、四塩化ハフニウム(HfCl)、五塩化モリブデン(MoCl)、五塩化ニオブ(NbCl)、五塩化バナジウム(VCl)、又は四塩化クロム(CrCl)が使用できる。
[0068]好ましくは、金属層142は、銅であって、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なElectra(商標) Cu ECPシステムといった電気めっき槽内で形成される。Electra(商標) Cu ECPシステムは、アプライドマテリアルズ社から入手可能なEndura(商標)プラットフォームに統合することもできる。
[0069]銅電解液・銅電気めっき手法は、参照として本明細書に組み込まれる「Electro−deposition Chemistry」と題する一般に譲渡された米国特許第6,113,771号に記載されている。典型的には電気めっき浴は、約0.7Mより大きな銅濃度と約0.85の硫酸銅濃度と約1.75のpHとを有する。電気めっき浴は、本技術では良く知られた種々の添加物を含むこともできる。浴の温度は、約15℃から約25℃の間である。バイアスは、約―15ボルトから約15ボルトの間である。一態様では、正のバイアスは約0.1ボルトから約10ボルトの範囲であり、負のバイアスは約−0.1から約−10ボルトの範囲である。
[0070]任意選択的に、金属層142堆積に続いて熱アニール処理が行われることがあり、それによってウェーハは約10分間から約1時間、好ましくは、約30分間、約100℃から約400℃の間の温度を受ける。ヘリウム、水素、窒素あるいはそれらの混合物といったキャリア/パージガスは、約100sccmから約10,000sccmの流量で導入される。チャンバ圧力は、約2トルから約10トルの間に維持される。RF電力は、約13.56MHzの周波数で約200Wから約1,000Wであり、好ましい基板間隔は、約300milsから約800milsである。
[0071]堆積に続いて、結果得られた構造の最上部は平坦化される。例えばカリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なMirra(商標)Sytemといった化学機械研磨(CMP)装置が使用可能である。任意選択的にこの構造体の中間の表面は、上述の後続の層の堆積の間に平坦化することができる。
[0072]図4は、前に開示した堆積シーケンスを実施することに適応できる例示的なマルチチャンバ処理システム600の模式的上面図である。このような処理システム600は、カリフォルニア州サンタクララのアプライドマテリアルズ社から商業的に入手可能なEndura(商標)システムでもよい。同様なマルチチャンバ処理システムは、参照として本明細書に組み込まれる1993年2月16日に発行された「Stage Vacuum Wafer Processing System and Method」と題する米国特許第5,186,718号に開示されている。
[0073]システム600は、一般に、システム600の中に、また外に基板を移送するためのロード・ロック・チャンバ602、604を含む。典型的には、システム600は真空下にあるので、これらのロード・ロック・チャンバ602、604はシステム600内に導入される基板を「ポンプダウン」できる。第1のロボット610は、ロード・ロック・チャンバ602、604の間の基板と、第1のセットの一つ以上の基板処理チャンバ612、614、616、618(図示は4個)とを移送できる。各処理チャンバ612、614、616、618は、循環的層堆積、化学的気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、脱ガス、オリエンテーション、その他の基板処理といった多くの基板処理動作を実施するように備えることができる。この第1のロボットはまた、一つ以上の移送チャンバ622、624に、またそれらのチャンバから基板を移送する。
[0074]これらの移送チャンバ622、624は、基板がシステム600内で移送されるのを可能にしながら超高真空状態を維持するために使用される。第2のロボット630は、移送チャンバ622、624と第2のセットの一つ以上の処理チャンバ632、634、636、638との間で基板を移送できる。処理チャンバ612、614、616、618と同様に処理チャンバ632、634、636、638は、例えば循環的層堆積、化学的気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、脱ガス、及びオリエンテーションといった種々の基板処理動作を実施するように備えることができる。これらの処理チャンバ612、614、616、618、632、634、636、638のいずれも、システム600によって実施されるある特定のプロセスに必要でない場合には、システム600から取り外すことができる。
[0075]一構成では、各処理チャンバ632、638は、シード層の堆積に適応した物理的気相堆積チャンバ、化学的気相堆積チャンバあるいは循環堆積チャンバであり、各処理チャンバ634、636はバリア層の堆積に適応した循環堆積チャンバ、化学的気相堆積チャンバ、又は物理的気相堆積チャンバであり、各処理チャンバ612、614は誘電体層の堆積に適応した物理的気相堆積チャンバ、化学的気相堆積チャンバあるいは循環堆積チャンバであり、また各処理チャンバ616、618は相互接続特徴部のための開口をエッチングすることに適応したエッチング・チャンバであることもある。システム600のこの特定の一構成は、本発明を例示するために提供されているものであって、本発明の範囲を限定するために使用されるべきではない。
[0076]約20オングストロームを超える厚さを有する高融点金属窒化物層は、下位レベルの電極配線の成長パターンを終了させるであろうと信じられている。約20オングストローム以上の厚さを有する高融点金属窒化物層は、上位レベルの相互接続がある特定の厚さに達してそれ自身のパターンを確立し、それによって異なる結晶構造を形成するまで、その上位レベルの相互接続によって最初に採用されると思われるそれ自身の独自の成長パターンを確立するであろう。この現象は、続いて堆積される層の成長パターンが一般にその堆積の最初の段階のときの基底層の成長パターンに似ているという理由から発生するが、その後この後続層は、一旦この後続層がある特定の厚さに達すると、それ自身の固有のパターンを帯びる。
[0077]例えば、窒化タンタルは、約20オングストローム以上でアモルファス構造を形成する自然の性質を持っている。約20オングストローム未満ではTaNは、その基底層の成長パターンに似る。したがって、後続の銅相互接続層は、本発明の方法にしたがって堆積されたバリア層を横切って驚くほど成長し、基底となる銅の相互接続として類似の成長パターンを示した。言い換えれば20オングストローム以下のTaNバリア層は、銅粒子がTaNバリア層を横切って成長を伸ばせるような、単純に言えば銅が窒化タンタルバリア層上でエピタキシャル成長を示すような銅の良好な粒子成長を可能にする。
[0078]図5は、上述の堆積手法にしたがって堆積された窒化チタンバリア層310を有する特徴部300の透過電子顕微鏡(TEM)画像である。この特徴部300は、5:1のアスペクト比を持っており、200mmウェーハ上に形成された。バリア層310は、窒化タンタルからなり、250℃、2トルで堆積された。各サイクルは約2秒間、持続し、30サイクルが実施された。窒化タンタルバリア層310は、約15オングストロームの厚さを持っていた。図示のようにバリア層310は、等角であり、特徴部300全体に亘って良好なステップ・カバレージを示している。
[0079]図6は、マルチレベル相互接続構造400の部分横断面図を示すTEM画像である。このマルチレベル相互接続構造400は、下位レベル銅相互接続405と窒化タンタルバリア層410と上位レベル銅相互接続420とを含んでいた。下位レベル銅相互接続405の銅粒子成長は、バリア層410を横切って上位レベル銅相互接続420内に延びて、窒化タンタルバリア層410のエピタキシャル成長を示した。バリア層410は、窒化タンタルからなり、250℃、2トルで堆積された。各サイクルは約2秒間、持続し、30サイクルが実施された。このバリア層410は、約10オングストロームの厚さを持っており、これは誘電体材料内への銅のマイグレーションを抑制するために十分であった。
[0080]図3、図4を参照して図示・説明されたバリア層310、410は、TEM装置を使用して測定された。堆積された層の厚さを決定するための他の如何なる測定手法とも同様に、この種の測定手法には、誤差のマージンが存在する。したがって、ここに与えられる厚さは、近似的であって、既知の最良の利用可能な手法に従って計量されたものであり、本発明の範囲を限定することを意図するものではない。
[0081]下記の例は、本発明の一実施形態の非限定的例示を提供することを意図している。
[0082] TaN層は、循環堆積を使用して下位レベル銅層の上に約20Åの厚さに堆積された。銅合金シード層は、物理的気相堆積によってこのTaN層の上に約100Åの厚さに堆積された。この銅合金シード層は、約2.0原子パーセントの濃度でアルミニウムを含んでおり、約2.0原子パーセントの濃度のアルミニウムからなる銅アルミニウムターゲットを使用してPVDによって堆積された。それからこの特徴部を充填するためにECPを使用してバルク銅層が堆積された。そから基板は、窒素(N)・水素(H)雰囲気中に約15分間、約380℃の温度で熱アニールされた。
[0083]全体の特徴部抵抗は大幅に低下し、上位レベルの銅層は、驚くほど下位レベルの銅層の粒子成長に似た粒子成長を示した。TaN層のバリア性能は、50ÅのPVD Taと比較してより長い障害到達時間(無障害時間)(TTF)を示した。更にTaN層は、低いコンタクト抵抗と緻密な広がり分布を示した。TaN層はまた、滑らかな形態とピンホールのない表面を含む、優れた機構構成を示した。
[0084]更に、ここに述べたPDMAT・アンモニア・プロセスにしたがって堆積されたTaN膜は、極めて優れた膜均一性を示した。膜厚は、堆積サイクルの数に直線的に比例しており、精確な膜圧制御を可能にした。膜厚均一性は、200mm基板上で10オングストローム膜に関しては1.8パーセント、100オングストローム膜に関しては2.1パーセントであることが分かった。堆積された膜は、極めて優れた等角カバレージを示し、少なくとも幾つかの結果では100パーセントに近かった。
[0085]最後に銅合金シード層は、TaN層に対して優れた接着/湿潤性を示した。(PVD)銅シード層は、堆積されたバリア層上で好ましい{111}オリエンテーション(方位)を示した。{111}の結晶方位は、この結晶方位が大きな粒子サイズを与え、その大きな粒子サイズの結果として良好な耐エレクトロマイグレーション性を示すという理由で好適である。
[0086]前述の説明は本発明の実施形態に向けられているが、本発明の他の更なる実施形態は、本発明の基本的範囲から逸脱することなく考案可能であり、本発明の範囲は前述の請求項によって定められる。
本明細書に説明された本発明の種々の実施形態によるプロセス・シーケンスを示す。 本明細書に説明された実施形態による相互接続製造シーケンスの異なる段階に置ける例示的ウェーハの模式的横断面図を示す。 本明細書に説明された実施形態による相互接続製造シーケンスの異なる段階に置ける例示的ウェーハの模式的横断面図を示す。 本明細書に説明された実施形態による相互接続製造シーケンスの異なる段階に置ける例示的ウェーハの模式的横断面図を示す。 本明細書に説明された実施形態による相互接続製造シーケンスの異なる段階に置ける例示的ウェーハの模式的横断面図を示す。 本明細書に説明された循環堆積手法による薄いバリア層を形成するための例示的プロセス・チャンバ200の模式的部分横断面を示す。 本明細書に説明された上記相互接続製造シーケンスを実施することに適応可能な例示的統合化クラスタ・ツールの模式的平面図を示す。 本明細書に説明された堆積手法によって堆積された窒化チタンバリア層を有する特徴部の透過電子顕微鏡(TEM)画像である。 マルチレベル相互接続構造の部分横断面図を示すTEM画像である。
符号の説明
110…基底層、112…誘電体層、114…特徴部、116…側壁、118…床、130…バリア層、140…シード層、142…バルク金属層、200…プロセス・チャンバ、202…チャンバ本体、204…側壁、208…スリットバルブ、210…基板、212…基板支持体、214…エレベータ・モータ、222…パージ・リング、224…パージチャネル、230…ガス分配装置、232…チャンバ蓋、234…膨張チャネル、235…下位部分、237…上位部分、238…ガス供給源、239…ガス供給源、240…ガス供給源、242…起動バルブ、260…底部表面、266…ポンピング・ゾーン、278…真空システム、279…ポンピング・チャネル、600…マルチチャンバ処理システム、602、604…ロード・ロック・チャンバ、612、614、616、618、632、634、636、638…プロセス・チャンバ、622、624…移送チャンバ、610…第1のロボット、630…第2のロボット650…マイクロプロセッサ・コントローラ、300…特徴部、310…窒化タンタルバリア層、400…マルチレベル相互接続構造、405…下位レベル銅相互接続、410…窒化タンタルバリア層、420…上位レベル銅相互接続。

Claims (18)

  1. 基板上に電極配線(金属相互接続)を形成するための方法において:
    タンタル含有化合物の1以上の適用量(pulse)と窒素含有化合物の1以上の適用量とを交互に導入することにより、基板の第1の金属層の少なくとも一部分の上にタンタル及び窒素を含むバリア層であって、20オングストローム未満の最終的な厚さを有し、第1の金属層の粒子構造と同じ粒子構造を有するバリア層を堆積するステップと;
    前記バリア層の少なくとも一部分の上に二元合金シード層を堆積するステップと;
    前記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積するステップと;
    を備える、前記方法。
  2. 前記バリア層は、窒化タンタルを含む、請求項1に記載の方法。
  3. 前記第2の金属層は、前記第1の金属層の粒子構造と同じ粒子構造を有する、請求項1に記載の方法。
  4. 各適用量は、前記バリア層が10オングストロームの最終的な厚さになるまで反復される、請求項1に記載の方法。
  5. 前記バリア層は、10オングストロームの最終的な厚さを有する、請求項1に記載の方法。
  6. 前記交互の適用量導入は、前記バリア層を形成するために10回から70回の間、反復される、請求項1に記載の方法。
  7. 前記タンタル含有化合物の各適用量導入と前記窒素含有化合物の各適用量導入の時間中に連続的にパージガスを流すことを更に含む、請求項1に記載の方法。
  8. 前記パージガスは、アルゴン、窒素、ヘリウム、又はそれらの組合せを含む、請求項7に記載の方法。
  9. 前記タンタル含有化合物と前記窒素含有化合物の各適用量はある遅延時間だけ分離されている、請求項1に記載の方法。
  10. 各遅延時間中に、前記タンタル含有化合物の一部又は前記窒素含有化合物の一部が、前記第1の金属層に吸着する、請求項9に記載の方法。
  11. 前記遅延時間中に、未吸着分子が前記第1の金属層から除去される、請求項10に記載の方法。
  12. 前記窒素含有化合物は、アンモニア、ヒドラジン、メチルヒドラジン、ジメチルヒドラジン、tブチルヒドラジン、フェニルヒドラジン、アゾイソブタン、エチルアジド、これらの誘導体、及びこれらの組合せからなる群から選択される、請求項1に記載の方法。
  13. 前記タンタル含有化合物は、t−ブチルイミノトリス(ジエチルアミノ)タンタル(TBTDET)、ペンタキス(エチルメチルアミノ)タンタル(PEMAT)、ペンタキス(ジメチルアミノ)タンタル、(PDMAT)、ペンタキス(ジエチルアミノ)タンタル(PDEAT)、t−ブチルイミノトリス(ジエチルメチルアミノ)タンタル(TBTMET)、t−ブチルイミノトリス(ジメチルアミノ)タンタル(TBTDMT)、ビス(シクロペンタジエニル)三水素化タンタル((Cp)2TaH3)、ビス(メチルシクロペンタジエニル)三水素化タンタル((CpMe)2TaH3)、これらの誘導体、及びこれらの組合せからなる群から選択される、請求項1に記載の方法。
  14. 前記第1、第2の金属層は、各々タングステン、銅又はそれらの組合せを含む、請求項1に記載の方法。
  15. 前記二元合金シード層は、前記バリア層の上に堆積された前記第1のシード層と前記第1のシード層の上に堆積された第2のシード層とを含む、請求項1に記載の方法。
  16. 前記第1のシード層は、銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、錫(すず)、及びそれらの組合せからなる群から選択された金属との合金を含むか、又は前記第1のシード層はアルミニウム、マグネシウム、チタン、ジルコニウム、錫、及びそれらの組合せからなる群から選択された金属を含む、請求項15に記載の方法。
  17. 基板上の第1の粒子構造を有する第1の金属層の少なくとも一部分の上に10オングストロームの厚さを有する二層バリアを堆積するステップと、
    二元合金シード層を堆積するステップと、
    前記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積するステップと、を含む基板上に電極配線を形成するための方法であって、
    前記二層バリアは、
    タンタル含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することによって堆積された窒化タンタルの第1の層と、
    アルファ相タンタルの第2の層と、
    を含み、
    前記二層バリアは、前記第1の粒子構造と同じ第2の粒子構造を有する、方法。
  18. 基板上に電極配線を形成するための方法において:
    基板表面に、第1の粒子構造を有する第1の金属層を堆積するステップと;
    タンタル含有化合物の一つ以上の適用量と窒素含有化合物の一つ以上の適用量とを交互に導入することによって、前記第1の金属層の少なくとも一部分の上に前記第1の粒子構造と同じ第2の粒子構造を有し、10オングストロームの厚さを有する窒化タンタルバリア層を堆積するステップと;
    銅と、アルミニウム、マグネシウム、チタン、ジルコニウム、錫、及びこれらの組合せからなる群から選択された金属とを含む二元合金シード層を堆積するステップと;
    前記二元合金シード層の少なくとも一部分の上に第2の金属層を堆積するステップと;
    を備える、前記方法。
JP2003541048A 2001-10-26 2002-10-25 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積 Expired - Fee Related JP4711624B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US34608601P 2001-10-26 2001-10-26
US60/346,086 2001-10-26
US10/193,333 US20030082307A1 (en) 2001-10-26 2002-07-10 Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US10/193,333 2002-07-10
US10/199,415 2002-07-18
US10/199,415 US20030082301A1 (en) 2001-10-26 2002-07-18 Enhanced copper growth with ultrathin barrier layer for high performance interconnects
PCT/US2002/034277 WO2003038892A2 (en) 2001-10-26 2002-10-25 Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization

Publications (2)

Publication Number Publication Date
JP2005508092A JP2005508092A (ja) 2005-03-24
JP4711624B2 true JP4711624B2 (ja) 2011-06-29

Family

ID=27393190

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003541048A Expired - Fee Related JP4711624B2 (ja) 2001-10-26 2002-10-25 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積

Country Status (4)

Country Link
JP (1) JP4711624B2 (ja)
CN (1) CN1319146C (ja)
TW (1) TWI223867B (ja)
WO (1) WO2003038892A2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US7605469B2 (en) 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
JP4783561B2 (ja) * 2004-09-27 2011-09-28 株式会社アルバック 銅配線の形成方法
CN100369215C (zh) * 2005-12-02 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 一种去除暴露区域聚合物的解吸附工艺
JP2007073980A (ja) * 2006-10-30 2007-03-22 Toshiba Corp 半導体デバイス用TaN膜とそれを用いた半導体デバイス
KR100881716B1 (ko) 2007-07-02 2009-02-06 주식회사 하이닉스반도체 낮은 시트저항의 텅스텐막을 갖는 텅스텐배선 제조 방법 및그를 이용한 반도체소자의 게이트 제조 방법
WO2010062582A2 (en) * 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
KR101661768B1 (ko) 2010-09-03 2016-09-30 엘지전자 주식회사 태양전지 및 이의 제조 방법
CN103151302A (zh) * 2013-02-26 2013-06-12 复旦大学 一种利用含氮的等离子体制备低阻钽和氮化钽双层阻挡层的方法
KR101708242B1 (ko) * 2016-08-11 2017-02-20 엘지전자 주식회사 태양전지 및 이의 제조 방법
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
CN109273402B (zh) * 2018-09-13 2020-08-25 德淮半导体有限公司 金属阻挡层的制作方法、金属互连结构及其制作方法
TWI731293B (zh) * 2019-01-18 2021-06-21 元智大學 奈米雙晶結構

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
JP2001144089A (ja) * 1999-11-11 2001-05-25 Sony Corp 半導体装置の製造方法
JP2001220667A (ja) * 1999-09-27 2001-08-14 Applied Materials Inc スパッタされたドープ済みのシード層を形成する方法及び装置
WO2001079584A1 (en) * 2000-04-13 2001-10-25 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5221449A (en) * 1990-10-26 1993-06-22 International Business Machines Corporation Method of making Alpha-Ta thin films
US6387805B2 (en) * 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6140234A (en) * 1998-01-20 2000-10-31 International Business Machines Corporation Method to selectively fill recesses with conductive metal
KR100279300B1 (ko) * 1998-05-11 2001-02-01 윤종용 금속 배선 연결 방법
US6218302B1 (en) * 1998-07-21 2001-04-17 Motorola Inc. Method for forming a semiconductor device
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001220667A (ja) * 1999-09-27 2001-08-14 Applied Materials Inc スパッタされたドープ済みのシード層を形成する方法及び装置
WO2001029891A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
JP2003531474A (ja) * 1999-10-15 2003-10-21 エーエスエム アメリカ インコーポレイテッド ダマシン・メタライゼーションのための正角ライニング層
JP2001144089A (ja) * 1999-11-11 2001-05-25 Sony Corp 半導体装置の製造方法
WO2001079584A1 (en) * 2000-04-13 2001-10-25 Gelest, Inc. Methods for chemical vapor deposition of titanium-silicon-nitrogen films
JP2003531291A (ja) * 2000-04-13 2003-10-21 ゲレスト インコーポレイテッド チタニウム−シリコン−窒素フィルムの化学的蒸着方法

Also Published As

Publication number Publication date
CN1319146C (zh) 2007-05-30
CN1575517A (zh) 2005-02-02
WO2003038892A3 (en) 2004-02-26
WO2003038892A2 (en) 2003-05-08
TWI223867B (en) 2004-11-11
JP2005508092A (ja) 2005-03-24

Similar Documents

Publication Publication Date Title
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US20030124262A1 (en) Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
US7595263B2 (en) Atomic layer deposition of barrier materials
US7041335B2 (en) Titanium tantalum nitride silicide layer
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US10910263B2 (en) Doping control of metal nitride films
US6720027B2 (en) Cyclical deposition of a variable content titanium silicon nitride layer
US7429402B2 (en) Ruthenium as an underlayer for tungsten film deposition
US7732325B2 (en) Plasma-enhanced cyclic layer deposition process for barrier layers
JP2005512337A (ja) 高融点金属窒化シリコンの周期的堆積
JP4711624B2 (ja) 銅電極形成アプリケーションのためのald窒化タンタル及びアルファ相タンタルの集積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051019

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090707

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090914

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090914

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101116

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110120

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110217

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110322

LAPS Cancellation because of no payment of annual fees