KR100737305B1 - 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법 - Google Patents

집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법 Download PDF

Info

Publication number
KR100737305B1
KR100737305B1 KR1020027002333A KR20027002333A KR100737305B1 KR 100737305 B1 KR100737305 B1 KR 100737305B1 KR 1020027002333 A KR1020027002333 A KR 1020027002333A KR 20027002333 A KR20027002333 A KR 20027002333A KR 100737305 B1 KR100737305 B1 KR 100737305B1
Authority
KR
South Korea
Prior art keywords
ligand
layer
deposition
insulating
metal
Prior art date
Application number
KR1020027002333A
Other languages
English (en)
Other versions
KR20020047126A (ko
Inventor
알레싼드라 사타
카렌 멕스
카이-에릭 엘러스
빌레 안테르 사닐라
페카 유하 소이니넨
수비 피 하우카
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20020047126A publication Critical patent/KR20020047126A/ko
Application granted granted Critical
Publication of KR100737305B1 publication Critical patent/KR100737305B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

원하는 물질들상에 선택적인 증착을 위한 방법으로서, 특히, 도전성 표면에 비해 절연 표면상에 장벽 물질들을 선택적으로 형성하는 방법을 개시한다. 이 방법은 접촉 형성과 트렌치 충진, 특히 상감 및 이중 상감 금속화에 관련하여, 장벽 물질로 절연 표면(12, 13)을 라이닝하는 유리한 점이 있다. 선택적인 형성은 "바닥이 증착되지 않는" 증착을 허용하며, 그에 따라서 절연 표면(12, 13)에 장벽이 형성된 후 도전성 물질(18)이 개구부(22)에 더 증착될 때 직접적인 금속 대 금속 간의 직접접촉을 위해 노출된 통로 바닥에 도전성 물질(20)이 그대로 남아있다. 바람직하게는, 선택적인 증착이 원자층 증착(ALD)에 의해 달성되어, 개구부 내의 절연 측벽(12, 13)에 고등각적인 커버리지가 형성된다.

Description

집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법{METHOD FOR BOTTOMLESS DEPOSITION OF BARRIER LAYERS IN INTEGRATED CIRCUIT METALLIZATION SCHEMES}
본 발명은 일반적으로 집적회로 공정 및 제작에 관련된 것으로, 더 상세하게는 선택적인 방법으로 금속 상호 연결 구조와 장벽층의 증착에 관한 것이다.
집적회로의 소형화 및 복잡성과 속도의 증가에 대한 요구의 지속적인 관심은 끊임없는 고집적화를 요구한다. 이것을 달성하기 위하여 능동 소자들의 상호연결구조뿐만이 아니라 이들 능동소자들의 크기 축소가 진행중에 있다. 이러한 상호연결구조는 층간(interlevel) 절연층에 의해 서로 분리되거나 절연층을 통하는 도전성 연결에 의해 서로 연결되는 바람직한 상호연결양식에 의존하는 다중 금속층들(multiple metal levels)을 포함할 수 있다.
이러한 크기 축소 외에도 엄격한 속도 특성에 충족될 수 있도록 추가적인 조치들이 요구된다. 종래에 절연층은 산화물층인 반면에 금속층은 알루미늄층이였다. 신호지연을 감소하기 위해, 알루미늄에 비해 고 도전성을 갖는 금속층을 선택할 수 있으며, 산화물층에 비해 저 유전율을 갖는 절연체층을 선택할 수 있다. 이러한 목적을 충족시키기 위해 구리 함유 금속층 및/또는 구리 함유 결선들이 가까운 미래에 도입될 것이다.
상호 연결 구조에서 구리(Cu)의 사용은 일반적으로 알려진 몇 가지 단점을 갖고있다. Cu는 주위 절연층들에서 높은 확산성을 가지므로, 신뢰도와 신호 지연에 부정적인 영향을 미친다. 이러한 문제를 해결하기 위하여 몇 가지 해법이 소개된바 있다. 비반응성 금속과 같은 재료들을 장벽층으로 사용하여 구리가 주변층으로 침투하는 것을 막아왔다.
현재 사용되고 있는 기술은 비선택적인 방법으로 장벽층을 증착함으로서 구리이온이 주변층으로 이동하는 것을 억제한다. 도 1은 결과 구조를 나타낸 것이다. 절연층(14)에서 개구부의 도전 바닥면(10) 뿐만 아니라 절연 측벽들(12)(트랜치 플로어(13) 포함)이 장벽 물질(16)로 덮여 있다. 화학 증착(CVD)의 경우, 장벽(16)은 등각적으로 증착된다. 물리 증착(PVD)의 경우, 개구부의 수직벽들 및 바닥의 커버리지는 구조물의 상부 커버리지에 비해 얇다. 그러나, 수직 및 수평 커버리지 간의 비율은 증착 파워, 증착 바이어스 등과 같은 처리 변수들을 변화시킴으로써 일정한 범위로 조정될 수 있다.
장벽층의 증착과 관련하여 여러 가지 문제점이 있다. 장벽층은 절연층(14, 15)에서 개구부의 절연 측벽(12)과 도전 바닥벽(10) 양쪽에 증착되기 때문에, 바닥벽(12)상에 장벽층이 생성되면 여러 가지 불편을 야기한다. 개구부가 금속(18)으로 채워지면, 장벽층(16)은 전자에 대해 유동 분기점으로서 역할하기 때문에, 상부 금속(18)과 하부 금속(20) 간의 장벽층(16)은 구조물의 전자이동 작용에 악영향을 미친다. 결과적으로 금속성 원자들의 불연속성은 후속하는 회로 동작 동안에 전자이동을 일으키게 된다. 절연층에서 개구부의 바닥면(10)에 장벽층(16)이 존재하면 추가적인 불편함을 야기한다. 장벽층(16)과 하부 도전성 층(20)상의 접착력이 항상 좋은 것은 아니기 때문에, 상이한 도전 레벨들 간에 흐르는 전류가 영향을 받게 되므로, 도전성 통로의 신뢰성과 저항성에 부정적 영향을 미칠 수 있다.
미국특허번호 제5,904,565호에 의하면, 집적 회로에서 상이한 층들 간을 구리 대 구리로 직접 연결하는 것이 개시되어 있다. 제1 단계에서, 장벽층을 통로에 등각적으로 증착한다. 제2 단계에서, 낮은 구리층을 덮고 있는 장벽층을 이방적인 에칭에 의해 선택적으로 제거한다. 수직측벽을 덮고 있는 장벽층은 그대로 남긴다. 이 방법은 종래의 장벽층 형성보다 더 많은 처리 단계를 가져 더 복잡한 처리를 수반하므로 수행하기에 추가적인 어려움을 준다. 게다가, 비용도 증가시킬 것이다.
결과적으로, 집적회로(IC)에서 금속층의 최상의 도전 작용이 얻어질 수 있도록하기 위해, 절연층에 형성된 개구부의 절연 표면상에 장벽층을 선택적으로 증착함으로써 금속 대 금속 간 직접 접촉을 형성하는 방법이 필요하게 되었다.
여기서는 기판상에 물질 특히 장벽층 물질을 선택적으로 증착하는 방법들을 설명한다. 이 방법은 제1 표면상에 물질을 선택적으로 제공하는 한편 노출된 제2 표면을 남겨두는 방법이다. 바람직하게, 이 방법은 리간드들이 형성되도록 제1 표면을 조절하는 단계와 그 후에 제2 표면의 증착을 피하면서 상기 조절된 제1 표면에 장벽층을 증착하는 단계를 포함한다.
바람직하게, 제1 표면은 절연층이며, 제2 표면은 도전층이다. 더 자세히 말하면, 바람직한 실시예에 의하면, 언급된 절연 물질을 필수 구성으로 하는 층에서 적어도 절연층을 통과하는 개구부의 일부 측벽에 장벽층을 증착하는 단계를 설명하는 방법이다. 이 방법은 절연층에 개구부를 형성하는 단계, 이들 절연 측벽들에 리간드를 형성하기 위해 적어도 개구부의 절연 측벽을 조절하는 단계 및 그리고 나서 도전 측벽에 장벽층의 증착을 피하는 반면에 절연 측벽에 장벽층을 증착하는 단계를 포함한다.
본 발명의 한 측면에 의하면, 이 방법은 조절 단계 후에 제2 표면에 형성된 리간드를 제거하는 것을 포함한다.
본 발명의 다른 측면에 의하면, 조절 단계는 제1과 제2 표면을 수정(예, 화학 또는 물리적 수정)한 다음, 조절된 제2 표면을 추가 수정하여 수행된다. 추가 수정은 조절된 수정의 제거나 조절된 수정을 성장 차단 표면으로 전환하는 것을 포함한다. 예를 들어, 수정한 환원 환경에서의 가열, 환원 환경에서의 플라즈마 처리, 진공 또는 고압하에서의 가열, 또는 세척이나 화학적 반응과 같은 화학 처리를 더 포함한다. 대안으로, 제2 표면의 수정은 제1 표면의 조절 전이나 후에, 성장 차단 또는 희생층들의 형성을 포함할 수 있다.
본 발명의 실시예에 있어서, 상기 조절 단계는 상기 개구부의 상기 측벽에 리간드들이 형성되도록 절연 물질로 구성된 상기 개구부의 상기 측벽들의 일부에 있는 화학 분자들과 적합한 대기 간의 화학 반응이다. 또한, 조절 단계는 상기 개구부의 상기 측벽상에 리간드들이 형성되도록 상기 도전 물질로 구성된 상기 개구부의 상기 측벽과 상기 적합한 대기 간의 화학 반응이다. 또한, 상기 조절 단계는 도전물질이 필수적으로 구성된 상기 측벽에 형성된 상기 리간드를 제거하는 것을 특징을 하는 추가 단계를 포함한다.
본 발명에 따르면, 장벽층의 증착은 원자층에 의해 수행된다.
본 발명에 따르면, 상기 절연층에 형성된 상기 개구부는 통로, 접촉 또는 트렌치이다.
본 발명에 따르면, 상기 절연 물질은 이산화규소, 질화규소, 산화질규소, 저-k 물질 또는 저 유전상수를 갖는 다공성 물질일 수 있다.
본 발명에 따르면, 리간드는 수산화기, 시아노, NH2, NH, 플루오로, 브로모, 아오도, 클로로, 메틸, 알콕소, β-디케토네이토, 아이소프로폭소 및 다른 탄소 포함하 그룹에서 선택되어 질 수 있다.
본 발명에 따르면, 도전 물질은 구리, 알루미늄, 텅스텐, 코발트, 은, 금, 플라티늄, 이리듐, 로디윰, 또는 루테늄일 수 있다.
본 발명에 따르면, 장벽 물질은 비반응성 금속과 규소의 질소화물, 비반응성 금속과 규소의 탄화물, 비반응성 금속과 규소의 붕소화물, 비반응성 금속과 규소의 인화물, 비반응성 금속과 규소의 산화질화물로 구성된 그룹으로부터 선택된 물질을 포함한다. 특별한 것을 예로들면 Co, Ta, Ti, TiN, TaN, Si3N4, WxN, HfxN, MoxN 및/또는 이들의 화합물로 구성된 그룹으로부터 선택된 물질들을 포함한다.
이하에서 바람직한 실시예의 도면을 참조하여 본 발명의 전술한 특징 및 그 외 특징을 설명한다. 바람직한 실시예들은 본 발명을 예시하기 위한 것으로서 본 발명을 한정하는 것이 아니다.
도 1은 비선택적인 장벽층을 포함하는 집적회로 금속화 구성에서 이중 상감 구조물의 대략적인 단면도,
도 2는 본 발명의 바람직한 실시예에 의한, 선택적 장벽층을 포함하는 이중 상감 구조물의 대략적인 단면도,
도 3은 바람직한 실시예에 의한, 제작의 중간 단계에서 절연 표면의 선택적인 조절상태를 나타내는, 부분적으로 제작된 이중 상감 구조물의 대략적인 단면도,
도 4는 본 발명의 바람직한 실시예에 의한, 장벽층의 증착을 위한 예시적인 가스 유동 다이어그램을 나타낸 것이다.
여기에는 기판상에 층, 바람직하게는 장벽층을 증착하는 방법이 설명되어 있다. 기판은 물질이 상이한 적어도 제1 표면과 제2 표면을 포함한다. 이 방법은 바람직하게는 조절된 표면상에 리간드들을 형성하기 위해 적어도 하나의 표면들을 조절하는 단계, 그 다음, 비조절 표면상에 증착하는 것을 피하는 동시에 조절된 표면상에 장벽층을 증착하는 단계를 포함한다. 여기서 사용되는 조절 단계는 추가 증착을 위한 표면을 준비하며, 설명된 실시예에서 원하는 장벽층을 형성하도록 원자층 증착(ALD)에 적합한 표면을 만드는 단계를 포함한다. 대조적으로 비조절된 표면은 원하는 장벽층을 형성하기 위한 ALD 공정에 실질적으로 민감하지 않다. "비조절된" 표면은 이 표면의 조절 실패 또는 이 표면상의 조절을 추가 수정하는 것에 의해서 얻어질 수 있다.
이중 상감 금속화 동안 선택적인 장벽층 증착에 관련하여 언급하였지만, 특히 선택적 증착이 높은 스텝 커버리지(step coverage)로 요망될 경우, 당업자는 다른 것과 관련하여 여기에서 개시된 장점과 원칙에 대한 응용들을 쉽게 발견할 수 있을 것이다. 본 발명은 절연 및 도전 물질들 중 하나를 선택적으로 증착할 경우, 절연 및 도전 물질들 중 다른 하나를 증착할 경우에 비하여 특히 유용하다.
바람직한 방법은 기판상에 형성된 절연층을 통과하는 개구부의 제1 측벽에 장벽층을 선택적으로 증착하는 단계와, 제2 측벽을 노출하는 단계를 포함한다. 제1 측벽은 절연체 물질을 필수적으로 구성하는 개구부의 측벽을 형성한다. 제2 측벽은 도전 물질을 필수적으로 구성하는 개구부의 측벽이다. 이 방법은 집적회로 금속화 구성에 있어서 상이한 도전층들 간에 직접 접촉을 제공한다. 도전층들 간의 장벽층은 구조물의 전자이동 작용에 악영향을 미치기 때문에, 제2 측벽상에서 장벽층의 형성은 피해야 할 것이다.
언급한 바와 같이, 바람직한 실시예의 특별한 목적은 장벽층의 선택적인 증착에 관련된 것이며, 이에 의해 ULSI (ULTRA LARGE SCALE INTERGRATION) 금속화에서 장벽으로 금속층을 덮는 문제를 피할 수 있다. 상감 기술은 수직 금속 연결뿐만 아니라 수평 금속 연결을 형성할 수 있다. 이들 연결은 집적회로(IC)에서 처리되는 두 개의 수평 금속 패턴 간에 도전성 연결을 제공하기 위해 필요하다. 이러한 연결을 제공하기 위해, 일반적으로 제1 개구부는 절연층에 형성되거나 두 개의 상이한 도전층들 간의 절연층 더미에 형성되어야 한다. 이러한 개구부의 예로는 프렌치, 접촉 홀 또는 경로 홀이 있다. 이러한 개구부는 후속 단계에서 적절한 금속으로 채워져, 수직적 연결이 두 개의 상이한 수평적 도전층들 간에서 실현될 수 있도록 한다. 절연층 주위에 금속 확산을 막기 위하여, 금속이 증착되기 전에 확산 장벽층이 개구부에 증착된다.
도 2(결과 구조)와 도 3(중간 과정)을 참조하면, 여기에의 참조부호는 도1 과 같은 부호를 적용하였으며, 본 발명은 집적회로 금속화에 관련하여 설명한 것이다. ULSI 금속화 구성에서, 더 구체적으로 상감 방법에서, 수직 금속 연결뿐만 아니라 수평 금속 연결들이 절연층(14, 15) 주위에 형성된다. 이 수직 금속 연결들은 두 개의 수평적 도전층들 간에서 도전성 연결을 제공하기 위해 필요하다. 이중 상감 공정에서, 수직 연결은 상부 수평 연결과 동시에 형성된다. 물론 수직과 수평이란 용어는 통상적으로 웨이퍼나 칩들이 위를 향하는 소자들과 수평이 되었을 때 기존에 사용되었던 상대적인 방향에 기인하여 사용된 용어로 이해될 수 있을 것이다.
이러한 연결을 제공하기 위하여, 제1 개구부(22)는 기판상에 형성된 절연층(들)(14, 15)에서 형성된다. 설명된 이중 상감과 관련하여, 개구부(22)는 하부 절연층(14)에 있는 트렌치를 따라 분리된 부분에 형성된 접촉 경로뿐만 아니라 상부 절연층(15)에 형성된 트렌치를 포함한다. 다른 구성들에서, 개구부는 접촉 경로 단독 또는 트렌치 단독을 포함할 수 있다는 것을 당업자는 이해할 것이다.
개구부(22)는 기타 기술들 중에서, 절연층(들)(14, 15)의 상부에 하드 마스크층을 사용하는 기술에 의해 절연층(14, 15)에 형성될 수 있다. 하드 마스크층은 탄화 규소일 수 있으며, 이에 한정되는 것은 아니다. 절연층(14, 15)에서 개구부(22)는 도전층(20)에 인접하여 노출된다. 현재의 칩 설계 기술상태에서는, 개구부(22)가 통상적으로 예를 들어 >2:1, 종종 >4:1과 같은 높은 종횡비를 가질 것이다. 또한 개구부는 더 큰 구조의 경우, 예를 들어 연결 통로나 캐패시터와 같은, 5㎛보다 큰 선폭을 가진 개구부일 수 있다.
개구부(22)는 제1 측벽들(12)과 제2 측벽들(10)을 갖는다. 제1 측벽들(12)은 "수직" 부분과 "수평" 트렌치 플로어(13)를 포함하고, 절연 물질을 필수 구성으로 하는 개구부(22)의 측벽들로서 형성된다. 제2 측벽들(10)은 설명된 실시예의 개구부(22) 바닥에 보여지며, 도전물질을 필수 구성으로 하는 개구부(22)의 측벽들이다. 절연층은 이산화규소(silicon dioxide), 질화규소(silicon nitride), 산화규소(silicon oxynitride), 저-k 중합체나 저절연상수를 가진 기공성 물질이 될 수 있다. 또한, 절연층은 탄화규소(silicon carbide), 질화 규소(silicon nitride) 혹은 여느 다른 무기의 절연 물질과 같은 물질로 덮여질 수 있다. 기판은 또한 부분적으로 가공될 수 있거나 원래의 웨이퍼 또는 예를 들어, 유리슬라이스와 같은 반도체물질의 슬라이스, 또는 도전 물질이 될 수 있다. 기판은 정형화된 도전성 층으로 구성될 수 있다. 특히, 상기 기판의 경우는 부분적으로 처리된 웨이퍼나 슬라이스이며, 즉, 적어도 능동 및/또는 수동 소자들의 일부는 이미 형성되어 지거나 적어도 이 소자들의 상호연결구조물의 일부는 형성될 수 있다.
절연체층(들)(14, 15)의 개구부(22)는 석판제조술과 정형화된 구조물의 후속 에칭에 의해 형성될 수 있다. 에칭은 건식 에칭과 습식 에칭으로 될 수 있으며, 바람직하게는 건식 에칭으로 한다. 에칭 플라즈마의 조성은 절연 물질의 특성에 의존한다.
후속 단계로, 개구부는 이 기술 분야에서 알려진 세척으로 하는 것이 바람직하다.
개구부(22)의 측벽들은 화학적 리간드가 개구부(22)의 제1 측벽들상에 형성되도록 조절된다. 상기 화학적 리간드는 절연물질(22)의 화학적 분자와 공유 결합하는 화학기나 원자들이다. 조절은 측벽들의 화학조성을 화학적으로 수정하도록 공기 또는 활성화된 대기에 기판과 이를 테면 제1 측벽을 노출시킴으로서 화학적 반응을 얻을 수 있다. 또한 조절은 수증기나 캐스캐이드 워터 린스와 같은 습한 환경에 기판과 이를 테면 제1 측벽(12)을 노출하여 화학 반응을 할 수 있다. 또한, 알콜은 연속 증착을 위한 적합한 조절상태를 제공한다.
다른 측면에 있어서, 조절은 공기나 전용 대기에 노출시킬 필요가 없는 것을 또한 의미한다. 왜냐하면 절연층은 세척된 개구부가 공기에 노출되기 전에 개구부의 측벽에 이미 화학적 리간드가 존재하도록 선택되어 질 수 있기 때문이다. 이렇게 자연스럽게 조절된 절연층의 실시예는 산화물이 함유된 층을 포함한다. 또한, 전용 대기는 개구부(22)가 생성될 때 에칭환경의 일부분일 수 있다. 이러한 방식으로, 제1 측벽(12)은 바닥이나 제2 측벽(10)이 세척 에칭되는 에칭 동안에 원래의 위치에서 조절된다. 제2 측벽(10)의 수정은 에칭되는 동안에 제거될 수 있다.
화학적 리간드들이라 함은 적어도 장벽층의 연속 증착의 초기 단계에서 대기 중에 존재하는 다른 화학적 기 또는 화학적 분자들과의 화학반응에 의해 선택적으로 교체될 수 있도록 선택되는 화학 기나 원자들이다. 이러한 화학적 리간드들(및 이들의 예시적인 원액)로는 수산화기(수분과 알콜), 시아노(HCN), NH2(NH3 와 N2H4), NH(NH3), 플루오로(플루오린), 브로모(브로민), 아오도(아오딘), 클로로(클로린), 메틸(유기물), 알콕소(알콜), β-디케토네이토(β-디케노네이토), 아이소프로폭소(아이프록사이드) 및 다른 탄소 포함 원자단들이 있으며, 이에 한정되지 않는다. 이러한 원액들은 일반적으로 이온화될 수 있으며 리간드들이 더 잘 부착되게 하기 위해서 기판에 라디칼 형태로 제공된다는 것을 이해할 것이다. 화학적 리간드들은 설명된 실시예에서 절연 물질로 구성된 제1 측벽(12)(프렌치 플로어(13) 포함)에 형성되는 것이 바람직하다. 도 3은 절연물질 제1 측벽(12)(프렌치 플로어(13) 포함)에만 형성된 수산화기 리간드 물질들을 나타낸다.
도전성 물질의 특성에 의해, 화학적 리간드들은 또한 개구부(22)의 제2 측벽(10)에 형성될 수 있다. 이 경우 화학적 리간드 물질은 개구부(22)의 제2 측벽(10)으로부터 선택적으로 제거되는 것이 바람직하다. 이러한 선택적인 제거는 환원 환경에서 기판을 온화하게 가열하거나 환원 환경에서 플라즈마 처리를 포함할 수 있으며, 그러나 이에 한정되지 않는다. 유리하게도, 조절 리간드들 및 상이한 물질들 간의 결합력의 차이에 기인하여, 절연 물질로부터 동일한 리간들을 제거하는 것보다 구리, 은, 금 및 플라티늄과 같은 금속으로부터 리간들을 제거하는 것이 일반적으로 더 쉽다. 즉, 에너지를 덜 요구한다.
공기 또는 다른 분위기와 접촉하는 제2 측벽(10)의 도전 물질들은 역시 수정될 수 있다. 이 수정은 산화와 같은 화학 반응일 수도 있고 물질의 흡착과 같은 물리적 수정일 수 있다. 예를 들어, 수정은 제2 표면(10)에 차단층을 형성하는 것을 포함할 수 있는데, 이것은 연속 증착 화학물과 반응하지 않거나, 제1 표면상에 더 천천히 증착된 결과이다. 이 예에 국한되지 않고, -SiXn 리간드(여기서 X=F, Cl, Br, 또는 I 그리고 n=1, 2, 3)는 장벽층 증착전에 할로겐화 규소원 가스의 펄스일 수 있는 할로겐화 규소에 노출하는 단계로 형성될 수 있다. 예를 들어, 차단 리간드들은 제1 표면의 절연벽 위보다 제2 표면에 산화물(예를 들어, 제1 표면의 조절 동안에 형성된 자연 산화물 또는 산화물)을 더 쉽게 형성한다.
제2 표면의 다른 예시적인 수정은 제2 표면에 희생층을 형성하는 것을 포함한다. 이 예에 국한하지 않고, 텅스텐 산화층(WO3)은 장벽층 형성 전에 제2 표면상에 형성될 수 있다. 만약에 장벽층이 ALD에 의해 WF3와 NH3 의 교호펄스로부터 형성된 텡스텐 질화물(WN)을 포함한다면, 희생층은 WN 증착, 특히 후단에서 지적한 WF6 펄스 동안에 천천히 에칭되어 제거될 수 있다.
WO3(고체)+ 2WF6(기체) -> 3WOF4(기체)
일부 수정층(예를 들어, 성장 차단층이나 희생층의 일부 잔여 부분)은 장벽층 형성 후와 추가 증착 전에(예를 들어 구리로 채우는 것) 제거되는 것이 바람직하다. 물질에 의존한 수정의 제거는 진공이나 높은 압력하에서 기판을 가열하거나 세척단계, 화학적 환원, 선택적 에칭, 또는 시간이 정해진 습식 에칭과 같은 화학적 처리가 포함될 수 있다. 주의할 것은 연속 단계에서 이미 형성되거나 형성될 도전 물질(18, 20)의 특성에 의존하여, 수정층은 정해진 처리 단계에서 반드시 제거될 필요는 없지만, 때때로 그보다 추가 처리과정 동안에 제거될 수 있다. 예를 들어, 개구부(22)를 도전 물질(18)로 채우거나 라이닝하는 동안, 아래의 설명으로 이해될 수 있듯이, 표면 수정은 자연스럽게 제거될 수 있다.
다음 단계에서 장벽층(26)은 개구부(22)의 제1 측벽에 선택적으로 증착된다. 장벽층(26)은 개구부(10)의 제2 측벽(10)에 본질적으로 형성되지 않으며, 즉, 하부 도전층(20)은 장벽층으로 덮이지 않는다. 바람직한 실시예에 의하면, 리간드는 도전성 물질이 필수적으로 구성된 상기 제2 측벽(10)상에는 형성되지 않으며, 따라서 증착이 일어나지 않는다. 절연층의 특별한 화학적 구조, 즉, 화학적 리간드들의 존재는 장벽층의 선택적 증착을 제공한다. 제1 측벽(12)에 있는 화학적 리간드들은 증착 분위기에 있는 원자나 분자와 반응할 것이다. 제2 측벽(10)에 있는 화학적 리간드들은 증착 분위기에 있는 원자나 분자와 반응하지 않을 것이다. 증착 분위기는 장벽층 형성에 필요한 화학적 성분의 필수적으로 구성된 화학적 용액, 증기 또는 가스이다. 결과적으로, 개구부(22)의 제1 측벽(12)상에서 장벽층(26)의 선택적인 증착이 얻어진다.
장벽층(26)은 원자층 증착(Atomic Layer Deposition: ALD)에 의해 증착된다. ALD는 원자층 막 증착에 의한 물질과 증착 분위기 간의 화학적 분자나 원자들의 교환을 원리로 한다. 화학 분자들이나 원자들의 교환은 화학 반응이다. 장벽층(26)은 연속 단계들에 의해 형성되며, 여기에서 각 단계는 화학 반응이나 흡착에 의해 한 개의 원자층 형성을 포함한다. 장벽층(26)은 주변의 절연층(14, 15)에 금속 이온의 확산을 막는 금속으로 구성된다. 장벽층(26)은 비반응성 금속, 비반응성 금속과 규소의 질소화물, 비반응성 금속과 규소의 탄화물, 비반응성 금속과 규소의 붕소화물, 비반응성 금속과 규소의 인화물, 비반응성 금속과 규소의 산화질화물로 구성된 그룹으로부터 선택된 금속이지만, 이에 한정되는 것은 아니다. 바람직하게는, 장벽층(26)은 Co, Ta, Ti, TiN, TaN, Si3N4, WxN, HfxN, MoxN 및/또는 이들의 화합물을 포함한다.
유리하게는, 장벽 물질이 금속 대 금속 간의 통로를 차단하지 않기 때문에, 장벽물질이 높은 도전성일 필요가 없다. 따라서, 바람직한 실시예는 300 μΩㆍcm 이하에서 절연 장벽 물질에 이르는 저항 범위를 갖는 장벽물질들을 다양하게 선택할 수 있어 유리하다. 특히 바람직한 절연체들로는 비결정성 절연체들이 있다.
바람직한 방법이 원자층 증착(ALD)의 형성이며, 여기에서 반응체는 어떤 사이클로 교호하는 펄스들로 가공품(workpiece)에 제공된다. 바람직하게는, 각 사이클에서, 흡착, 바람직하게는 화학흡착에 의해 단일층 이하의 라이닝 물질층이 형성된다. 기판 온도는 화학흡착설비 창 내에서 계속 유지된다. 특히, 기판 온도는 흡착된 종들과 하부 표면 간의 완전한 화학적 결합을 유지하고, 반응체 종들의 분해를 막기에 충분한 낮은 온도로 유지된다. 반면 기판 온도는 반응체들의 응집을 피하고, 각 반응단계에서 원하는 표면 반응을 위해 활성화 에너지를 제공하기에 충분히 높은 레벨에 유지된다. 물론 주어진 ALD 반응을 위한 적절한 온도 창은 관련된 표면 마감처리와 반응체 종들에 따라 다르다.
각 펄스나 각 사이클의 반응단계는 사실상 자기 한정(self-limiting)이 바람직하다. 하기에서 설명된 예에서, 각 반응단계는 자기 종결(self-terminating)이다(즉, 흡착된, 바람직하게는 화학흡착된 단일층은 그 반응단계의 화학반응으로 비반응성 표면으로 남는다). 과량의 반응체 전구체들이 각 반응단계에서 공급되어 구조 표면들을 포화시킨다. 표면 포화는 모든 이용가능한 반응 부위들(아래에서 더 자세히 설명한 바와 같이, 물리적 크기의 억제를 수반하는)의 반응체 점유를 보장하는 반면, 자기 종결은 반응체들에 대하여 더 길게 노출되는 장소들에서 과량의 막 성장을 막는다. 동시에, 포화와 자기 종결 화학 반응은 제1 표면(12)에 우수한 스텝 커버리지를 보장한다.
도 4 와 표 I은 아래에 예시적인 공정을 나타낸다. 특정한 실시예에 따른 기체 유동 시퀀스가 재현되며, 이는 예시적인 것이며 이에 한정되지는 않는다.
설명된 예에 있어서, 도전성 질화물, 좀 더 구체적으로 금속 질화물은 질소원 가스와 교호적으로 금속원 가스를 가공물에 제공함으로써 형성된다. 각 사이클의 제1 또는 금속 반응단계(107)는 바람직하게는 질소원 가스가 존재하지 않는 상태에서 금속 함유 물질층을 화학흡착한다. 각 사이클의 제2 또는 질소 반응단계(111)는 바람직하게는 금속원 가스가 존재하지 않는 상태에서 증착된 금속 함유층상에서 질소 함유 물질이 반응하거나 흡착한다. 다른 장치들에 있어서, 펄스의 순서는 역으로 될 수도 있으며, 반응체 제거나 정화 단계는 선행 또는 후행 반응체 펄스의 부분으로서 고려될 수 있다는 것을 이해할 것이다.
라이닝 물질이 형성된 상감 구조물의 표면들(즉, 트렌치 플로어(13)은 포함되지만 제2 표면(10)은 제외된 제1 표면(12))은 금속원 가스와 반응하기 위한 표면을 제공하기 위해 초기에 종결된다. 금속 반응단계(107)의 반응체는 단독의 표면 종결 없이 일부 바람직한 상감 구조물의 산화물 및 질화물 표면을 화학흡착할 수 있다.
가장 바람직한 것으로, 금속 반응단계(107)는 자기 한정이며, 제1 단계 동안 단 하나의 원자 단일층이 증착되도록 한다. 바람직하게, 휘발성 금속원 가스는 펄스(104)에서 제공된다. 예시적인 금속 가스는 티타늄 테트라클로라이드(TiCl4), 텅스텐 헥사플로라이드(WF6), 탄탈륨 펜타클로라이드(TaCl5), 탄탈륨 펜타에폭사이드, 테트라키스(디메틸아미노)탄탈륨, 염화구리(CuCl) 및 구리 헥사플로로아세토네이트 비닐트리메틸실란스(Cu(HFAC)VTMS)을 포함한다.
통로와 접촉한 이중 상감의 바닥으로 금속원 가스가 확산되기 위해 충분한 시간 후에, 금속원 가스의 유동 중단은 금속 펄스(104)를 중단시킨다. 바람직하게, 금속원 가스가 챔버에서 정화될 때까지 이동가스는 정화 반응단계(106)에서 계속 유동한다.
펄스(104) 동안에, 금속원 가스는 금속이 포함된 종들의 단일층을 증착이나 화학흡착시키기 위해서 노출되고 선택적으로 종결된 가공품의 표면과 반응한다. 이론적으로 반응체가 각 이용가능한 위치에서 가공품의 노출된 층상에 화학흡착되는 동안 흡착된 종들의 물리적 크기(특히 종결 리간드 포함)는 각 사이클로 단일층의 부분에 대한 커버리지를 일반적으로 제한할 것이다. 아래의 표 I의 예에 있어서, ALD공정은 대략 0.35Å/사이클로 금속 질화물층을 성장시켜, 완전히 채워진 단일층이 약 4.2Å의 격자 파라메터를 갖는 TiN을 약 매 15 사이클 동안에 증착된 물질로부터 효과적으로 형성되도록 한다. 각 사이클은 한 쌍의 금속원 가스와 질소원 가스 펄스들로 나타난다. 여기서 사용된 "단일층(Monolayer)"은 증착 동안에 단일층의 일부분을 지적한 것이며, 주로 펄스(104)의 자기 한정 효과를 지적한 것이다.
자세히 말하자면, 가공품에 증착/흡착된 금속 함유 종들은 표면이 금속원 가스와 더 반응하지 않도록 자기 종결한다. 아래에서 설명된 예에서 있어서, TiCl4(표 I)는 염화물로 종결된 티타늄의 단일층으로 남는다. WF6은 플루오르로 종결된 텅스텐의 단일층으로 남는다. 마찬가지로, 다른 휘발성의 금속 할로겐화물은 할로겐화물로 종결된 표면으로 남아 있을 것이며, 탄탈륨 펜타에토사이드, 테트라키스(디메틸아미노)티타늄 및 펜타키스(디메틸아미노)탄탈륨과 같은 금속 유기물은 유기물 리간드로 표면에 종결되어 남아 있을 것이다. 이러한 표면들은 금속원 가스 펄스(104) 동안에 반응체 유동의 금속원이나 다른 구성물질과 더 이상 반응하지 않는다. 반응체에 대한 과량의 노출이 과량의 증착을 야기하는 것이 아니기 때문에, 금속 반응단계(107)의 공정 동안 화학반응은 자기 한정되는 것이라 할 수 있다. 더 높은 농도의 반응체를 더 오랜 동안 노출시킴에도 불구하고, 가공품의 상부 표면상의 증착은 통로 플로어 근처의 절연 표면들상의 증착을 초과하지 않는다.
사이클(115)의 제2 반응단계(111)에서, 질소원의 펄스(108)가 가공품에 제공된다. 설명된 예에서, 질소원 가스는 암모니아를 포함한다. 바람직하게, 제2 반응단계(111)는 제1 반응단계(107)에서 남겨진 금속 함유 종들인 단일층이 질소원 가스에 완전히 노출되도록 충분한 시간을 유지한다. 통로와 접촉한 이중 상감의 바닥으로 확산되도록 질소원 가스에 충분한 시간을 준 후에, 금속원 가스의 유동을 중단시켜서 질소 펄스(108)를 종결시킨다. 바람직하게는, 질소원 가스가 챔버에서 펄스될 때까지 이동 가스는 펄스 반응단계(110)에서 계속 유동한다.
질소 펄스(108) 동안에, 질소원 가스는 제1 표면(12)상에 제1 반응단계(107)에서 남겨진 자기 종결된 금속 단일층과 반응하거나 화학흡착된다(도 3). 표 I의 실시예에 있어서, 이러한 화학 흡착은 금속 단일층의 할로겐 종결을 질소 함유 종들로 교체하는, 포화된 리간드 교환 반응을 포함한다. 다른 측면에서, 중간 게터(getter)나 청소 단계는 질소 펄스 전에 금속 단일층의 할로겐 종결을 먼저 제거한다. 이 경우, 제3 반응단계에서 질소 함유 종들은 게터 단계에 의해 노출되어 남겨진 금속에 흡착되어 반응한다. 어떤 경우든지, 그로 인하여 금속 질화물은 제1 표면(12)에 바람직하게는 하나의 단일층에 선택적으로 형성된다. 바람직하게, 처리공정은 화학량론적으로 금속 질화물을 남긴다. 금속 반응단계(107)의 측면에서 논의되었던 바와 같이, 흡착된 종들의 물리적 크기에 기인하여 단일층이 모든 이용가능한 위치를 점유할 필요가 없다. 그러나 제2 반응단계(111)는 또한 자기 한정 효과를 가진다.
더 자세히 말하자면, 질소원 가스는 금속원 가스의 선행하는 펄스 동안에 가공품 표면에 화학흡착된 금속 함유 종들과 반응한다. 또한, 이 반응은 종결된 표면인데, 펄스(108) 동안에 암모니아나 다른 질소원(예, 하이드라진, N 라디칼 등)이 금속 질화물 단일층을 종결하는 질소와 NHx 말단기들과 반응하지 않기 때문이다. 게다가, 온도와 압력 조건은 금속 단일층을 통해 하부의 물질로 암모니아가 확산되는 것을 필할 수 있도록 조정된다. 이러한 포화되고, 자기 한정된 반응단계(111)에서 반응체의 농도를 더 높게 하고 더 길게 노출함에도 불구하고, 가공품의 상부 표면에 형성된 금속 질화물의 두께는 통로 플로어 근처의 절연 표면상에 형성된 금속 질화물의 두께를 초과하지 않는다. 또한, 제2 표면(10)은 질소 펄스와 비반응적인 것이 바람직하다.
금속 펄스(107)(금속원 펄스(104)와 정화(106)를 포함) 및 질소 펄스(108)(질소원 펄스(108)와 정화(110) 포함)은 함께 ALD 공정의 반복으로 사이클 (115)을 형성한다. 초기 사이클(115) 후에, 제2 사이클(115a)은 도전되며, 여기서 금속원 가스 펄스(114a)는 다시 공급된다. 금속원 가스는 이전의 사이클(115)에서 형성된 금속 질화물의 표면상에 금속 함유 종들을 화학흡착한다. 금속 함유 종들은 노출된 표면과 용이하게 반응하며, 다른 단일층이나 금속 함유 종들의 단일층 일부를 증착하고 또한 금속원 가스와 더 반응하지 않는 자기 종결된 표면은 남겨진다. 금속원 가스 유동(104a)은 챔버에서 정지되고 정화(106a)되며, (표 I에 따라) 제2 사이클(115a)의 제2 반응단계(111a)는 제2 금속 단일층을 질화시키기 위해 질소원 가스를 제공한다. 대체적으로, 질소 단계는 중간 게터 또는 정화 단계에서 먼저 행해진다.
사이클(115a)은 충분한 두께의 금속 질화물이 이중 상감 구조에서 장벽 기능으로 제공하기 위해 형성될 때까지 적어도 약 10번, 더 바람직하게는 적어도 약 20번을 반복한다. 유리하게도, 약 200Å이하, 더 바람직하게는 100Å이하의 두께를 갖는 층은 바람직한 실시예의 방법에 의해 대체로 완성 단계의 적용범위로 형성될 수 있다. 스텝 커버리지는 기판의 상부 표면에 비해 개구부의 바닥 근처에 절연 벽 커버리지의 비율과 관련된다. 주지한 바와 같이, 증착은 도전 바닥 표면(10)에 비해 절연 표면(12)에서 선택적으로 일어나는게 바람직하다.
연속 단계로, 장벽층(26)으로 부분적으로 채워진 개구부(22)는 이 기술 분야에서 알려진 증착 기술을 이용하여 도전 물질(18)로 채워진다. 결과적으로, 도전 물질(18)로 채워진 개구부(22)와 하부의 도전층(20)간에 직접인 접촉이 형성된다. 따라서, 두 도전층들 간에 발산과 불연속성이 존재 하지 않으며, 장벽물질의 저항성과 관계없이, 최상의 도전 반응을 얻을 수 있다.
제1 실시예에 있어서, 방법은 기판상에 형성된 절연층에 개구부의 구리 장벽층의 증착을 개시한다.
절연층은 기판상에 증착된다. 기판은 부분적으로 처리된 웨이퍼나 원래의 웨이퍼일 수 있다. 절연층(14, 15)는 낮은 금속층들, 접촉층들 또는 전이층들을 나타내고 미리 제조된 도전성 회로 요소(20)상에 증착될 수 있다. 절연층(14, 15)은 상기에서 언급한 물질들 중에 하나로 구성된다. 설명된 실시예에서, 절연 물질은 이산화 규소의 형성을 포함한다. 절연층(14, 15)은 석판제조술과 건식 에칭 단계에 의해 형식화되어 있으며, 개구부(22)는 이중 상감 접근을 사용하여 이산화 규소층을 형성하도록 한다. 개구부(22)의 제1 측벽(12)은 이산화 규소와 도전 물질(20)로 구성된 제2 측벽(10)으로 구성된다. 건식 에칭 단계 후에, 기판은 세척된다. 다음 단계로, 이산화 규소층은 리간드가 이산화규소층에 형성되도록, 예로 규소 수산화물이 형성되도록 조절된다. 이러한 것을 얻기 위해, 절연층(14, 15)은 습식 환경, OH 또는 H 플라즈마와 같은 H이나 OH의 공급원에 노출된다.
제1 측벽, 즉, 절연층(14, 15)의 표면은 플라즈마에 있는 수소와 OH 라디칼과 반응한다. 반응은 플라즈마에 노출된 이산화 규소의 표면에만 한정된다. 일단 제1 측벽(12)의 전 표면은 수산화기 또는 리간드로 포화되며, 반응은 자연스럽게 종결될 것이다. 설명된 실시예에서, 이산화 규소로 구성된 제1 측벽(12)은 역시 공기 중에 있는 수분과 결합할 수 있다. 자발적인 조절이 일어날 것이다.
그로부터, 도전 물질이 구리인 경우, 구리층은 그 위에 희생층이나 ALD 차단층을 형성하는 식으로 수정될 수 있다. 상기에서 언급한 바와 같이, 구리층의 화학 구조상의 변화들은 장벽 물질로 라이닝 하기 전이나 후인 충진과정 전에 제거된다. 게다가, 기판에 흡착된 물질들은 제거되어야 한다.
TiN 장벽층(26)은 반응체들의 펄스가 교호하는 동안에 화학 기들의 교환에 기초하는 원자층 증착(ALD)을 사용하여 선택적으로 증착되며, 각각 층은 바람직하게도 거의 한 층 이하의 물질 단일층을 형성한다. 기판은 증기상에서 TiCl4와 접촉하게 되므로 TiCl4와 절연 물질에 존재하는 수산화기 간에서 반응이 일어난다. 수산화 리간드가 개구부(22)의 제1 측벽, 즉, 도전 물질을 필수 구성으로 하는 측벽(10)에 존재하지 않기 때문에, 도전성 층과 TiCl4 간에서 화학반응이 일어나지 않는다. 제1 반응 생성물은 절연 표면상에서 제1 층을 형성한다. 그 다음, NH3와 제1 반응 생성물 간에는 반응이 일어나 제2 층이 형성된다. 후속 단계로, 충분한 두께의 장벽층이 형성될 때까지 순차적인 화학반응들이 반복된다.
아래의 표 Ⅰ은 대규모 집적 공정용 이중 상감 금속화 구성에서 장벽 적용에 적합한 TiN 층을 형성하기 위한 예시적인 공정 비책을 제공한다. 그 공정 비책은 단일-웨이퍼 공정 모듈에서 하나의 사이클을 재현하는 것이다. 자세히 말해, 표에 나타낸 파라미터들은 필란드의 ASM 마이크로케미스트리 리미티드로부터 상업적으로 입수할 수 있는, 상표명 PulsarTM 으로 상업적으로 입수가능한 단일-웨이퍼 ALD 모듈에서 사용되기 위해 개발되었다.
아래 표에 있는 파라미터들은 단지 예시적인 것에 불과하다. 각 공정의 단계는 개구부(22)의 적어도 제1 표면(12)을 포화시키도록 정하는 것이 바람직하다. 반응 단계들 간에서 통로들로부터 반응체를 제거하도록 정화단계들이 정해진다. 지금까지의 유사한 ALD 공정들에서는 약 20:1 보다 큰 종횡비를 갖는 보이드들(void) 내에 90% 이상의 스텝 커버리지를 달성하도록 결정되었다. 여기에 개시된 내용에 비추어, 당업자는 적합한 증착률들로 포화되어 자기 종결되는 다양한 상태들을 얻기 위해, 상이한 반응 챔버 및 상이한 선택된 조건들에 대한 증착 조건들을 수정, 대체 또는 변경을 용이하게 할 수 있다.
유리하게도, 여기에서 설명된 ALD 공정들은 반응체 공급이 트렌치와 통로 표면을 포화하기에 충분한 한, 압력과 반응체 농도에 상대적으로 민감하지 않다. 게다가, 공정들은 낮은 온도에서 수행될 수 있다. 가공품의 온도는 백-엔드(back-end) 공정 동안에 열 편성들을 보전하면서 상대적으로 고속 증착을 달성하기 위해 공정 내내 약 200 oC와 500 oC 사이에 유지하는 것이 바람직하다. 더 바람직하게, 온도는 약 380oC 와 400oC 간에서 유지하며, 가장 바람직한 것은 약 350oC 와 400oC 사이이다. 챔버 내에서 온도는 밀리토르(milliTorr)로부터 초대기(Super- atmospheric) 간에서 변동될 수 있으나, 약 1 Torr와 500 Torr 간에서 유지하는 것이 바람직하며, 약 10 Torr와 100 Torr 사이가 더 바람직하다.
TABLE I
단계 (Phase) 이동 유속 (slm) 반응체 반응체 유속 (sccm) 온도 (oC) 압력 (Torr) 시간 (sec)
금속원 400 TiCl4 20 400 10 .05
정화 (purge) 400 - - 400 10 0.8
질소원 400 NH3 100 400 10 0.75
정화 (purge) 400 - - 400 10 1.0
상기 표 I은 이중 상감 구조물의 트렌치와 접촉 통로에 질화티타늄(TiN) 장벽의 ALD에 대한 파라미터들을 나타낸 것이다. 기술한 바와 같이, 금속원 가스는 사염화 티타늄(TiCl4)을 포함하며, 이동 가스는 질소(N2)를, 질소원 가스는 암모니아(NH3)를 포함하는 것이 바람직하다.
제1 사이클의 제1 단계에서, TiCl4는 이중 상감 트렌치 및 접촉 통로의 제1 표면(12)(예, 종결된 OH- 또는 NHx-)상에 화학흡착된다. 금속원 가스는 상감 표면을 포화하기 위해, 다른 공정의 파라메터들에서 주어진, 충분한 백분율의 이동 유속을 포함하는 것이 바람직하다. 티타늄 착화물의 단일층은 트렌치와 통로 표면에 남겨지며, 이 단일층은 염화물로 자기 종결된다. 유리하게도, 제2 표면(10)상에 조절의 부족, 또는 조절의 수정은 제2 표면으로 TiCl4의 흡착이나 반응을 막는다.
바람직하게, 반응기는 금속원 가스를 더 작거나 더 많은 반응성 종들로 전환시키는 촉매를 포함한다. 설명된 실시예에 의하면, 바람직한 반응 챔버는 TiCl4를 TiCl3로 유리하게 전환하는 티타늄 벽을 포함한다. 더 작은 종들은 통로 내로 용이하게 확산하여, 사이클당 더 많은 반응 영역들을 점유하게 되므로, 능동 영역들상에 더 용이하게 화학흡착된다. 따라서, 촉매는 더 빠른 증착률을 가능하게 한다. 당업자는 다른 촉매가 다른 화학작용에 적용될 수 있다는 것을 쉽게 이해할 수 있을 것이다.
TiCl4 유동이 이동 가스의 계속적인 유동에 의해 정지되어 정화된 후에, NH3의 펄스는 가공품으로 제공된다. 암모니아는 금속 함유 단일층의 표면을 포화시키기 위해, 다른 공정들의 파라메터들에서 주어진, 충분한 백분율의 이동 유속을 포함하는 것이 바람직하다. NH3는 리간드-교환 반응에서 금속 단일층의 염화물로 종결된 표면과 반응하며, 티타늄 질화물(TiN)을 형성한다. 반응은 이미 화학흡착된 이용가능한 금속 염화물 착화물에 의해 제한된다. 암모니아 이외에 이동 가스도 결과물 티타늄 질화물 단일층과 더 이상 반응하지 않으며, 단일층은 질소와 NHx 브리짓(bridge) 종결로 남겨진다. 게다가, 바람직한 온도와 압력 파라메터들은 금속 단일층을 통해 암모니아의 확산을 저지한다. 유리하게도, 이러한 반응하에서 NH3 반응체는 언급했듯이 조절되지 않거나 조절이 수정된 제2 표면(10)(예, 차단층이나 희생층)과 반응하지 않는다.
다음 사이클에서, 제1 단계는 티타늄 질화물 단일층의 표면과 용이하게 반응하는 TiCl4를 도입하며, 또한 염화물로 종결된 티타늄층이 남겨진다. 그리고 나서, 제2 사이클의 제2 단계는 제1 사이클에 나타낸 것과 같다. 이러한 사이클은 티타늄 질화물의 원하는 두께를 형성할 때까지 반복된다.
설명된 실시예에 있어서, 이동 가스는 각 사이클의 양 단계 동안에 일정한 비율로 유동을 지속한다. 그러나, 반응체는 교호적인 가스 펄스 간에서 챔버의 배출에 의해 제거될 수 있다는 것은 이해될 것이다. 한 측면에서, 바람직한 반응기는 펄스된 증착시에 일정한 압력을 유지하기 위해 하드웨어와 소프트웨어를 결합한다. 포사(posa)에 의해 1988년 5월 31일에 출원된 미국 특허 제4,747,367호와 콩거 등(Conger et al.)에 의해 1988년 8월 2일에 출원된 미국 특허 제4,761,269호의 개시는 여기서 참고로 반영되어 있다.
제1 표면은 (조절되지 않거나 수정된)제2 표면보다 (조절된)제1 표면과 더 용이하게 반응하기 때문에, 상기의 증착은 제1 표면에 선택적이다.
역시 부분적으로 선택된 표면은 바람직한 선택 결과를 달성할 수 있다는 것을 알 수 있다. 예를 들어, 일부 ALD 공정들은 절연체에 비해 금속상에 더 느린 비율로 증착될 것이며, 특히 ALD 공정 자체가 금속에 경쟁하여 에칭된 반응을 만들 수 있다. 마찬가지로, 다른 상이한 물질들은 제2 표면에 비해 제1 표면에 다른 증착률을 가져올 수 있다. 따라서, 부분적으로 선택적인 증착은 금속 표면과 비교하여 절연 표면상에 더 얇은 층의 결과를 가져온다. 이 공정은 제2 표면의 더 얇은 층이 완전하게 에칭된 후 정지되도록 시간이 정해진 연속 등방성 에칭에 의해 완전히 선택적으로 이루어질 수 있으며, 제1 표면에 약간 얇은 원하는 층을 얻을 수 있다. 유리하게도, 등방성 에칭은 미국 특허 제5,904,565호에 개시되어 있는 것처럼, 이방성 에칭보다 더 용이하게 달성될 수 있고 손실도 더 적다.
다음 단계로, 선택적인 장벽층(26)과 노출된 제2 표면(10)을 포함하는 개구부(22)는 구리로 충진될 수 있다. 장벽층의 선택적인 형성 후에, 씨앗층(seed layer)은 이중 상감 구조를 충진하기 위해 적용되는 방법과 증착된 장벽층의 도전성에 의존하는 것이 바람직할 수 있다. 설명된 실시예에서, 구리 충전제는 설명된 금속 질화물 장벽상에 전기도금되는 것이 바람직하다. 따라서, 고 도전성 씨앗층은 장벽층(26)과 제2 표면(10)상에 먼저 형성되는 것이 바람직하다. 관련 기술분야에서 알려진 바와 같이, 씨앗층은 금속층을 포함하는 것이 바람직하며, 구리를 포함하는 것이 더 바람직하며, 다수의 공정에 의해 증착될 수 있다. 예를 들어, 씨앗층은 물리증착(PVD), 예로서 스프터링(sputtering), 화학증착(CVD) 또는 원자층 증착(ALD)에 의해 형성될 수 있다. CVD 공정은 더 높은 스텝 커버리지를 갖는 씨앗층을 증착하기 위해 적용될 수 있다. 금속 유기 CVD(MOCVD) 기술은 예를 들어, 울프 등(Wolf et al.)에 의한 " "Process and equipment simulation of copper chemical vapor deposition using Cu(HFAC)VTMS", Microelectronic Engineering , Vol. 45, No. 1, pp.15-27(Feb. 1999)에 개시되어 있으며, 이것은 여기의 참고로 반영되어 개시되어 있다. 만일 하부 장벽층(26)이 도전성일 경우, 그로 인하여 씨앗층은 전기도금되거나 무전해 증착될 수도 있다. ALD에 의해 금속 질화물 장벽층 전의 형성에서 얻어진 높은 스텝 커버리지와의 결합으로, 이러한 방법은 많은 이중 상감 설계에 적합할 수 있다.
가장 바람직하게, 씨앗층은 ALD에 의해서도 형성될 수 있다. 따라서, 하나 이상의 접착, 장벽 및 씨앗층의 높은 스텝 커버리지의 형성에 의해 구축된 용적은 접촉 통로 및 트렌치를 완벽히 충진하는 기회의 증가와 더 도전적인 충진제 금속에 더 유용한 용적에 기인하는 고 도전성 라인에 기여한다.
Table II
단계 (Phase) 이동 유속 (slm) 반응체 반응체 유속 (scm) 온도 (oC) 압력 (Torr) 시간 (sec)
금속 400 CuCl 4 350 10 0.2
정화 400 - - 350 10 0.5
감소 400 TEB 40 350 10 0.2
정화 400 - - 350 10 0.5
상기의 표 II는 ALD 순수 금속 처리공정을 설명한 것이다. 교호 단계에서, 염화 구리는 먼저 흡착되고 그리고 나서 TEB에 의해 감소된다. 유리하게도, 염화 구리는 유기 구리 종들에 비해 더 적은 반응성이 있는 종들이며, 가공품상에 반응 위치의 포화를 빠르고 더 완벽하게 촉진한다.
씨앗층의 형성후에, 개구부는 무전해도금이나 전해도금에 의한 도전성 물질로 충진한다. 증착은 개구부의 바닥에서부터 위에 까지 완전히 충진되기에 충분한 두께까지 계속한다.
본 발명은 앞서 말한 상세한 설명에서 몇가지 바람직한 실시예를 참조하여 설명되었다. 그러나 당해 기술의 당업자가 본 발명의 실시에 따른 여러 다른 방법이나 다른 등가의 실시예들을 추론할 수 있으며, 본원 발명의 정신과 범위는 첨부한 청구항에 의해서만 한정된다는 것을 이해할 것이다.

Claims (32)

  1. 원자층 증착법을 이용하여 층을 선택적으로 증착하는 방법에 있어서,
    상이한 물질 조성을 갖는 제1 표면과 제2 표면으로 구성된 증착 기판을 마련하는 단계; 및
    적어도 두 개의 반응체 용액에 상기 증착 기판의 노출을 교대로 반복함으로서, 상기 제2 표면에 비해 상기 제1 표면을 선택적으로 코팅하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서,
    상기 제1 표면은 선택적으로 코팅되는 절연체를 포함하며, 상기 제2 표면은 도전성를 포함하는 것을 특징으로 하는 방법.
  3. 제2항에 있어서,
    상기 제1 표면은 집적회로 내의 절연층에 개구부를 형성하고, 상기 제2 표면은 상기 개구부에 의해 노출된 금속 요소를 포함하는 것을 특징으로 하는 방법.
  4. 제2항에 있어서,
    상기 선택적으로 코팅하는 단계는 절연체상에 장벽물질을 증착하는 단계를 포함하는 것을 특징으로 하는 방법.
  5. 제4항에 있어서,
    상기 장벽물질은 도전성이며, 약 300μΩㆍcm 이하의 저항성을 갖는 것을 특징으로 하는 방법.
  6. 제4항에 있어서,
    상기 장벽물질은 금속 질화물을 포함하는 것을 특징으로 하는 방법.
  7. 제6항에 있어서,
    상기 장벽물질은 티타늄 질화물을 포함하는 것을 특징으로 하는 방법.
  8. 제4항에 있어서,
    상기 장벽물질은 절연체인 것을 특징으로 하는 방법.
  9. 제1항에 있어서,
    상기 반응체 용액으로 반응하기 위해 적어도 상기 제1 표면을 조절하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  10. 제9항에 있어서,
    상기 조절 단계는 상기 제1 표면상에 선택적으로 리간드를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제9항에 있어서,
    상기 조절 단계는 상기 제1 표면과 상기 제2 표면에 리간드를 형성하는 단계와 후속하여 상기 제2 표면에 리간드를 수정하는 단계를 포함하는 것을 특징으로 하는 방법.
  12. 제11항에 있어서,
    상기 리간드 수정 단계는 상기 리간드를 성장 차단층으로 전환하는 단계를 포함하는 것을 특징으로 하는 방법.
  13. 제11항에 있어서,
    상기 리간드 수정 단계는 상기 제2 표면으로부터 선택적으로 리간드를 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  14. 제13항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 상기 기판을 가열하는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제14항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 상기 기판을 환원 환경에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 제14항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 상기 기판을 진공에 놓아두는 단계를 더 포함하는 것을 특징으로 하는 방법.
  17. 제14항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 상기 기판을 고압력에 놓아두는 단계를 더 포함하는 것을 특징으로 하는 방법.
  18. 제13항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 화학적 환원단계를 포함하는 것을 특징으로 하는 방법.
  19. 제13항에 있어서,
    상기 선택적으로 리간드를 제거하는 단계는 상기 제1 표면을 세척하는 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제9항에 있어서,
    상기 조절 단계는 상기 기판을 수분에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  21. 제9항에 있어서,
    상기 제1 표면은 산화물 형태를 포함하며, 상기 조절 단계는 상기 기판을 H나 OH 라디칼의 공급원에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  22. 제9항에 있어서,
    상기 조절 단계는 수산기, 시아노, NH2, NH, 플루오로, 브로모, 아이도, 클로로, 메틸, 알콕소, β-디케토네이토 및 아이소프로폭소로 구성된 군으로부터 선택된 리간드를 제1 표면에 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  23. 제1항에 있어서, 상기 제1 표면을 코팅하기 전에 상기 제2 표면상에 희생층을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  24. 제23항에 있어서,
    상기 희생층은 적어도 두 개의 반응체 용액의 노출로부터 에칭되기 쉬운 물질을 포함하는 것을 특징으로 하는 방법.
  25. 제1항에 있어서,
    상기 증착 기판을 마련하는 단계는 절연층에 있는 개구부를 금속요소에 노출시켜 플라즈마 에칭을 하고, 그로 인하여 조절한 리간드를 갖는 상기 절연층상에 제1 표면을 형성하고 조절한 리간드를 갖지 않는 상기 절연층상에 제2 표면을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  26. 부분적으로 제작된 집적 회로에서 개구부의 절연 측벽상에 장벽층을 선택적으로 형성하는 방법에 있어서,
    노출된 도전 표면을 남겨두고, 상기 부분적으로 제조된 집적회로의 절연 표면상에 리간드를 형성하는 단계; 및
    상기 절연 표면상에 장벽 물질을 선택적으로 증착하기 위해 상기 절연 표면상에 리간드와 반응하는 기상의 반응체를 도입하는 단계를 포함하는 것을 특징으로 하는 방법.
  27. 제26항에 있어서,
    상기 기상의 반응체를 도입하는 단계는 원자층 증착법에서 적어도 제1 및 제2의 기상 반응체를 교호적으로 도입하는 단계를 포함하는 것을 특징으로 하는 방법.
  28. 제26항에 있어서,
    상기 리간드는 수산기, 시아노, NH2, NH, 플루오로, 브로모, 아이도, 클로로, 메틸, 알콕시, β-디케토네이토 및 아이소프로폭소로 구성된 군으로 부터 선택되는 것을 특징으로 하는 방법.
  29. 제26항에 있어서,
    상기 도전성 표면에서 상기 리간드를 제거하는 단계를 포함하는 것을 특징으로 하는 방법.
  30. 제29항에 있어서,
    상기 리간드를 제거하는 단계는 환원 단계를 포함하는 것을 특징으로 하는 방법.
  31. 제26항에 있어서,
    상기 리간드 형성 단계는 절연체 표면을 수분에 노출시키는 단계를 포함하는 것을 특징으로 하는 방법.
  32. 제26항에 있어서,
    상기 리간드 형성 단계는 수산기를 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
KR1020027002333A 1999-08-24 2000-08-24 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법 KR100737305B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US15048699P 1999-08-24 1999-08-24
US60/150,486 1999-08-24
US15979999P 1999-10-15 1999-10-15
US60/159,799 1999-10-15
US17694400P 2000-01-18 2000-01-18
US60/176,944 2000-01-18

Publications (2)

Publication Number Publication Date
KR20020047126A KR20020047126A (ko) 2002-06-21
KR100737305B1 true KR100737305B1 (ko) 2007-07-09

Family

ID=27386981

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020027002333A KR100737305B1 (ko) 1999-08-24 2000-08-24 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법

Country Status (7)

Country Link
US (3) US6391785B1 (ko)
EP (1) EP1206799A1 (ko)
JP (2) JP4043785B2 (ko)
KR (1) KR100737305B1 (ko)
AU (1) AU6800300A (ko)
TW (1) TW478045B (ko)
WO (1) WO2001015220A1 (ko)

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
KR20040045007A (ko) * 2001-09-26 2004-05-31 어플라이드 머티어리얼스, 인코포레이티드 배리어층 및 시드층 통합
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3941099B2 (ja) * 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
KR20030050672A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100820780B1 (ko) * 2002-06-29 2008-04-10 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
JP4159824B2 (ja) 2002-08-19 2008-10-01 富士通株式会社 半導体装置及びその製造方法
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6861355B2 (en) 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
KR100476482B1 (ko) * 2002-12-14 2005-03-21 동부전자 주식회사 반도체 소자의 장벽 금속층 형성 방법
KR100466332B1 (ko) * 2002-12-14 2005-01-14 동부전자 주식회사 반도체 소자의 제조 방법
KR100477816B1 (ko) * 2002-12-30 2005-03-22 주식회사 하이닉스반도체 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
US20040126482A1 (en) * 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10306314B3 (de) * 2003-02-14 2004-10-28 Infineon Technologies Ag Verfahren zur Erzeugung leitfähiger Strukturen mit Submikrometerabmessungen mittels elektrochemischer Abscheidung
FR2851371B1 (fr) * 2003-02-18 2005-06-03 St Microelectronics Sa Procede de reparation d'un circuit electronique integre comprenant la realisation d'une isolation electrique
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
WO2004102648A2 (en) * 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3819381B2 (ja) * 2003-07-07 2006-09-06 株式会社半導体理工学研究センター 多層配線構造の製造方法
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
KR100513801B1 (ko) * 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
KR20060054387A (ko) * 2003-08-04 2006-05-22 에이에스엠 아메리카, 인코포레이티드 증착 전 게르마늄 표면 처리 방법
EP1664471B1 (en) * 2003-08-20 2019-01-23 Hunter Douglas Inc. Retractable shade with collapsible vanes
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
DE10345461B3 (de) * 2003-09-30 2005-08-11 Infineon Technologies Ag Selektive sequentielle Gasphasenabscheidung und Verfahren zur Ausbildung von Kragenstrukturen für Lochgräben in Halbleitersubstraten
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
KR100621765B1 (ko) * 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP4537721B2 (ja) * 2004-02-02 2010-09-08 ルネサスエレクトロニクス株式会社 成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
KR20070032957A (ko) * 2004-06-24 2007-03-23 베네끄 오이 재료도핑방법 및 도핑된 재료
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7233071B2 (en) * 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
JP2008522423A (ja) 2004-12-01 2008-06-26 エヌエックスピー ビー ヴィ 集積回路ダイ上に相互接続構造を形成する方法
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
KR20080050612A (ko) * 2005-09-08 2008-06-09 어플라이드 머티어리얼스, 인코포레이티드 대면적 전자부품을 위한 패턴화된 무전해 금속화 처리
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007121336A2 (en) * 2006-04-14 2007-10-25 Applied Materials, Inc. Reliable fuel cell electrode design
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2007139379A1 (en) 2006-05-30 2007-12-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100790897B1 (ko) * 2006-11-21 2008-01-03 삼성전자주식회사 반응성 이온을 이용한 원자층 증착 방법 및 그 장치
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100834283B1 (ko) 2006-12-28 2008-05-30 동부일렉트로닉스 주식회사 금속 배선 형성 방법
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US8338307B2 (en) 2007-02-13 2012-12-25 Fujifilm Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US9177908B2 (en) * 2007-04-30 2015-11-03 Taiwan Semiconductor Manufacturing Company, Limited Stacked semiconductor capacitor structure
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
WO2009036454A2 (en) 2007-09-13 2009-03-19 Velocys Inc. Porous electrolessly deposited coatings
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
WO2009037531A1 (en) 2007-09-20 2009-03-26 Freescale Semiconductor, Inc. Improvements for reducing electromigration effect in an integrated circuit
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP2011503910A (ja) * 2007-11-19 2011-01-27 アプライド マテリアルズ インコーポレイテッド パターン付きエッチング剤を用いた太陽電池コンタクト形成プロセス
TW200939509A (en) * 2007-11-19 2009-09-16 Applied Materials Inc Crystalline solar cell metallization methods
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
WO2009096785A1 (en) 2008-02-01 2009-08-06 Fujifilm Manufacturing Europe B.V. Method and apparatus for plasma surface treatment of a moving substrate
EP2241165B1 (en) 2008-02-08 2011-08-31 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi_layer stack structure with improved wvtr barrier property
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) * 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US8183081B2 (en) * 2008-07-16 2012-05-22 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
DE102008035815A1 (de) * 2008-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
EP2324509A2 (en) * 2008-08-27 2011-05-25 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8323523B2 (en) * 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
GB201117242D0 (en) 2011-10-06 2011-11-16 Fujifilm Mfg Europe Bv Method and device for manufacturing a barrier layer on a flexible subtrate
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
CN104011882A (zh) 2012-01-12 2014-08-27 应用材料公司 制造太阳能电池装置的方法
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
JP5809596B2 (ja) 2012-05-07 2015-11-11 住友電気工業株式会社 半導体装置およびその製造方法
JP2013235895A (ja) * 2012-05-07 2013-11-21 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US11579344B2 (en) 2012-09-17 2023-02-14 Government Of The United States Of America, As Represented By The Secretary Of Commerce Metallic grating
US9580828B2 (en) 2012-09-17 2017-02-28 The United States of America, as represented by the Secretary of Commerce (NIST) Self-terminating growth of platinum by electrochemical deposition
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10276393B2 (en) 2015-01-26 2019-04-30 Kokusai Electric Corporation Method of manufacturing semiconductor device
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
CN106328578B (zh) * 2015-06-18 2019-05-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP6492289B2 (ja) * 2015-10-14 2019-04-03 パナソニックIpマネジメント株式会社 部品供給装置および部品装着装置
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
KR102527897B1 (ko) 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6938491B2 (ja) * 2015-11-13 2021-09-22 アプライド マテリアルズ インコーポレイテッドApplied Materials, Inc. 半導体デバイスの処理方法並びに半導体デバイスの処理システムおよび装置
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
KR102149907B1 (ko) 2016-03-03 2020-08-31 어플라이드 머티어리얼스, 인코포레이티드 주기적 공기-물 노출에 의한 개선된 자기-조립 단분자층 차단
US10134581B2 (en) 2016-03-13 2018-11-20 Applied Materials, Inc. Methods and apparatus for selective dry etch
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
JP2019515493A (ja) 2016-04-25 2019-06-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 自己組織化単分子層処理のための化学物質供給チャンバ
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10242885B2 (en) 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
KR102509390B1 (ko) 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US10991573B2 (en) 2017-12-04 2021-04-27 Asm Ip Holding B.V. Uniform deposition of SiOC on dielectric and metal surfaces
US10941301B2 (en) 2017-12-28 2021-03-09 Tokyo Ohka Kogyo Co., Ltd. Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate
US11033930B2 (en) * 2018-01-08 2021-06-15 Applied Materials, Inc. Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
DE102018131694A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
US11398406B2 (en) 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10998263B2 (en) 2019-06-13 2021-05-04 International Business Machines Corporation Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
US11286556B2 (en) * 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US11646226B2 (en) 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
US20220139772A1 (en) * 2020-11-02 2022-05-05 Intel Corporation Interconnect structures with area selective adhesion or barrier materials for low resistance vias in integrated circuits
US11444024B2 (en) 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
JP2022098338A (ja) 2020-12-21 2022-07-01 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022171414A (ja) 2021-04-30 2022-11-11 東京応化工業株式会社 表面処理方法、基板表面の領域選択的製膜方法及び表面処理剤
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill
JP7097482B1 (ja) 2021-07-26 2022-07-07 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
KR20230033128A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 반도체 소자
JP2023087564A (ja) 2021-12-13 2023-06-23 東京応化工業株式会社 基板表面処理方法、基板表面の領域選択的製膜方法、及び表面処理剤
WO2023172736A1 (en) * 2022-03-11 2023-09-14 Lam Research Corporation Methods of selective deposition and chemical delivery systems

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
CA2157038C (en) 1993-02-26 2005-04-12 Yasuo Kamuro Plant growth promoter
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JP4236707B2 (ja) * 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US5953596A (en) * 1996-12-19 1999-09-14 Micron Technology, Inc. Methods of forming thin film transistors
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100282927B1 (ko) 1999-02-02 2001-02-15 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
KR100320743B1 (ko) 1999-03-04 2002-01-19 우재영 항산화, 미백, 보습, 면역증강 및 항여드름 작용을 나타내는 목질진흙버섯 자실체 및 배양균사체 추출물, 그 제조방법 및 용도
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Journal of the electrochemical society vol.145, no.8, 2926-2931(1998)

Also Published As

Publication number Publication date
US6664192B2 (en) 2003-12-16
US6852635B2 (en) 2005-02-08
US20020155722A1 (en) 2002-10-24
KR20020047126A (ko) 2002-06-21
US6391785B1 (en) 2002-05-21
AU6800300A (en) 2001-03-19
JP5207690B2 (ja) 2013-06-12
JP4043785B2 (ja) 2008-02-06
US20040121616A1 (en) 2004-06-24
JP2008078647A (ja) 2008-04-03
EP1206799A1 (en) 2002-05-22
JP2003508897A (ja) 2003-03-04
WO2001015220A1 (en) 2001-03-01
TW478045B (en) 2002-03-01

Similar Documents

Publication Publication Date Title
KR100737305B1 (ko) 집적회로 금속화 구성에서 바닥에 장벽층을 증착하지 않는 증착 방법
KR100795534B1 (ko) 상감법 금속화를 위한 균일한 라이닝층
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
US6699783B2 (en) Method for controlling conformality with alternating layer deposition
JP4825422B2 (ja) 絶縁層の上部表面から延びる複数のトレンチを有する多孔質絶縁層を含む集積回路を製造する方法
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US20030082301A1 (en) Enhanced copper growth with ultrathin barrier layer for high performance interconnects

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130620

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140701

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150617

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160616

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190617

Year of fee payment: 13