JP2008522423A - 集積回路ダイ上に相互接続構造を形成する方法 - Google Patents

集積回路ダイ上に相互接続構造を形成する方法 Download PDF

Info

Publication number
JP2008522423A
JP2008522423A JP2007543949A JP2007543949A JP2008522423A JP 2008522423 A JP2008522423 A JP 2008522423A JP 2007543949 A JP2007543949 A JP 2007543949A JP 2007543949 A JP2007543949 A JP 2007543949A JP 2008522423 A JP2008522423 A JP 2008522423A
Authority
JP
Japan
Prior art keywords
dielectric
forming
barrier
interconnect structure
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007543949A
Other languages
English (en)
Inventor
ベスリンク ウィム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP BV
Original Assignee
NXP BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP BV filed Critical NXP BV
Publication of JP2008522423A publication Critical patent/JP2008522423A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

第1誘電体層(118)内に埋め込んだ第1相互接続層(123)を形成するステップと、この第1相互接続(123)の表面上に原子層堆積によって誘電性の窒化タンタルバリア(150)を形成するステップと、第1相互接続(123)およびバリア(150)上に第2誘電体層(134)を堆積させるステップと、この第2誘電体層(134)においてバリア(150)に達するビアホール(154)をエッチングするステップとを有する相互接続構造形成方法。このとき、バリア(150)に対して、ビアホール(154)を経て処理を加え、このビアホール(154)を誘電体相から導電体相(180)に相変化させ、またこの後ビアホール(154)を導電材料(123)で充填する。

Description

本発明は、全般的に、集積回路ダイ上に相互接続構造を形成する方法に関する。
速度、機能性およびコストを改善するためULSI(超高密度集積)回路における限界寸法を絶えず縮小してきたために、回路の相互接続部に関連する遅延およびクロストークが速度および論理的性能に対する制限要因となっている。比較的最近のアルミニウム相互接続方式から銅相互接続方式への置き換えによって、チップ上のワイヤ接続の抵抗が30%減少するようになった。
添付図面の図1を参照して説明すると、第1の銅メタライゼーション(銅による金属部分)23を誘電体層18において形成した後、対金属(inter-metal)誘電体層25を第1の銅メタライゼーション上に形成する。対金属誘電体層25を貫通し、その下方の銅メタライゼーション23に至るビアホール27すなわちデュアルダマシン開孔部を形成する際に、下方の銅が若干飛散し、ビアホール27の側壁(29において)、すなわちデュアルダマシン開孔部に再堆積する。これは、対金属誘電体層25を汚染することとなる。銅配線がビアホールのエッチングの間、および、エッチング後にむき出しとなったときにこの課題は起きる。通常は、SiCまたはSiCNのバリア(図示せず)を、銅配線上でキャップ層として使用するが、ビアホールの側壁上への銅の再スパッタリングを避けるために、非常に注意深く穴を開ける必要がある。
さらに、開通したビアホールの灰化および洗浄の間に、銅による汚染が発生し易く、特にアルゴン高周波(RF)による予浄化を行うとき、ビアホール側壁に相当量の銅の再スパッタリングが起きる。He/H2直流プラズマに基づく反応型予浄化(RPC)により、最小限の量の再スパッタリングでビアホール底部の酸化銅(CuO)を減少させることができる。しかし、高分子化合物の残渣除去は、RPCにとってより大きな課題であり、潜在的な歩留りの損失を生ずる。
このため、いわゆる「バリア・ファースト(barrier first)」集積化プロセスが提案され、このプロセスでは上述の予浄化ステップを省略することができる。ビアホールを開通させた後、金属バリアを堆積し、これに続いて存在する全てのバリア残渣、および、ビアホールの底部のあらゆる酸化銅を取り除くための再スパッタリング工程を行う。つぎに、未到達、または誤整列ビアホールをカバーするために、追加的に薄いバリアを堆積する。しかし、ビアホールエッチング工程中における銅(Cu)による汚染の問題は依然として残っている。
欧州特許出願公開第1102315号は、ビアホールまたはデュアルダマシン構造のエッチング中に対金属誘電体層の銅による汚染を防止するための方法について記載している。ここでは、第1の対金属誘電体層において形成する銅メタライゼーションの上を覆って、また第1の対金属誘電体層の周辺上を覆って、導電性のキャップ層を堆積させる。つぎに、銅メタライゼーション以外の部分からキャップ層を除去し、第2の対金属誘電体層をこのキャップ層上に堆積させ、さらにこの第2の対金属誘電体層を貫通しキャップ層までビアホールをエッチングする。これによって、このキャップ層はエッチングの間に第2の対金属誘電体層が汚染されるのを防止する。しかし、この種のバリアは選択性の問題を有する。その理由は、金属配線間へのいかなる金属の堆積も、金属配線間の静電(容量)結合を増加させ、集積化のための付加的な開発が必要となることである。
欧州特許出願公開第1102315号に記載の方法のように、従来の全体的なシート状のSiCNまたはSiCNの膜の代わりに、金属配線の頂面にバリアを選択的に堆積する場合、ビアホールをエッチングする間の潜在的な銅(Cu)による汚染を回避できることが、当業界で知られている。さらに、SiCまたはSiCNのキャッピングとエッチングストッパ層を省略できるので、静電(容量)結合の面で相当の改善が得られる。
自己位置合わせした金属バリアの現在の集積化手法は、ほとんどの場合、例えば金属タングステンCVDや無電解(化学)めっきにより堆積した合金(CoWP等)のような、選択的処理(プロセス)に基づいている。これらのバリアは、接着性、機械的強度、応力に起因する無能化およびエレクトロマイグレーションに対する耐性、ならびに銅の拡散および腐食に対する有効な効率性の点で、従来の誘電キャッピングと同程度の良好なバリア性能を有する。無電解析出(化学めっき)膜は、SiCキャッピングなどと比較して信頼性が改善された性能を示すが、銅拡散による望ましいバリア性能を得るためには、かなり厚い膜を堆積することが必要となる。さらに、これらのバリアも選択性の問題を有する。その理由は(上述したように)、金属配線の間のいかなる金属の堆積も、リーク電流特性をさらに劣化させる可能性があり、集積化のための付加的な開発が必要となる。さらに、無電解(化学メッキ)成長させた膜は、一般に、横方向に成長する傾向があり、このことにより誘電体間隔を減らし、配線間の静電(容量)結合を増加させる。代替方法として、最近新しいバリア(CuSiN)が提案されており、これは銅表面の改質に基づいており、選択性に関する問題を軽減する一方、伝播性能の面では他の選択的堆積を用いるバリア技術と同等である。この方法に対する主要な懸案事項は、バリアを堆積する前のビアホール側壁に対する潜在的な銅の再スパッタリングおよび配線抵抗の潜在的な増加であることに変わりはない。
欧州特許出願公開第1102315号明細書
従って、本発明の目的は、集積回路ダイ上に相互接続構造を形成する方法であって、対金属誘電体層の銅による汚染を回避する方法を提供することである。この銅汚染は、従来技術により堆積する金属バリアで100%の選択性が達成されない場合、潜在的なリーク経路を形成する。
本発明によれば、集積回路ダイ上に相互接続構造を形成する方法を提供し、この方法は、第1誘電体層に埋設した第1導電性相互接続部の表面上に誘電体バリアを選択的に堆積するステップと、前記第1誘電体層および前記誘電体バリア上に第2誘電体層を堆積させるステップと、前記第2誘電体層に前記誘電体バリアまでいたるビアホールをエッチングするステップと、前記誘電体バリアの誘電性材料を導電性材料に変質させるプロセスを実行して導電性の接続部分を形成するステップと、前記第2誘電体層内にエッチングした前記ビアホール内に導電性材料を導入して第2導電性相互接続部を形成するステップとを有することを特徴とする。
また、本発明は、上述の方法により形成した相互接続構造を有する集積回路ダイにも及ぶ。
このように、バリア層を堆積する際に、このバリア層は誘電体であるから、従来技術のように金属バリアを堆積するとき100%の選択性が得られないとき生じ得る上述の問題は問題とならない。実際に導電性であることが必要な部分のバリアのみを(ビアホールを通じて)化学的変質プロセスに曝し、バリアのその部分のみが導電性となり、相互接続部間で誤って余分に堆積したバリア材料は誘電体のまま残るため、この構造の中での静電(容量)結合に影響しない。
1つの例示的実施形態において、誘電体バリアは原子層堆積プロセスを用いて堆積し、それによって、堆積プロセスの成長速度と選択性とを原子レベルのスケールで制御することができる。
他の例示的実施形態において、第1誘電体バリア層を導電性材料に変質させる前に、ビアホール側壁に第2誘電体バリア層を堆積する。これは、第2の誘電体層のlow−k誘電率が変質プロセスの間、影響を受けないという効果がある。さらにまた、導電性の相互接続配線を偶発的に再スパッタリングしても、第2の誘電体層上に劣化作用を生じない。1つの実施形態において、第2誘電体バリア層は、ビアホールの側壁および第1誘電体バリア層の上に(例えばALDまたはCVDにより)堆積でき、第1誘電体バリア層上の第2誘電体層のみを除去ないし導電層に変質させ、ビアホールの側壁に誘電体バリア層のみが残る。
誘電体バリアは、窒化タンタルが有益であり、この窒化タンタルを、初期的に非導電性のTa相の状態で導電性の相互接続部の表面に堆積する。窒化タンタルは、銅の拡散に対して優れたバリア性能を有することが広く知られているバリア材料である。さらに、窒化タンタルバリアは、既存の処理フローにおいて比較的容易に統合できる。原子層堆積プロセスは通常低い堆積温度で行うために、蒸気相から窒化タンタルを堆積させるとすぐに、非導電性のTa相が常に得られる。
上述の理由のために、相互接続部は銅により形成すると有益であり、第1および第2の誘電体層は、SiOCのようなシリコン酸化物を主成分とする誘電体により構成する。Ta相は、例えばソフトアルゴンプラズマ処理などのようなプラズマ処理により、導電性のTaN相へと変質し、このことは、上記構造中の第1レベルの相互接続部と上位レベルのそれぞれの相互接続部との間を低抵抗性の接続にする必要がある場合に当然重要である。
そして、本発明のこれら及び他の特徴は、以下に説明する実施例から明らかとなるであろう。
発明の詳細な説明
このように、本発明の目的は、金属バリアに対向するよう、(好ましくは銅の)相互接続配線上に、誘電体バリアを選択的に堆積することによって達することができる。必要とされる100%の選択性が得られない場合、この方法により、配線間にいかなる静電(容量)結合も生ずることを回避することができる。
原子層堆積(ALD)は、成長速度およびこの堆積プロセスに対して原子レベルのスケールでの制御によってバリア層を堆積させる好ましい堆積技術である。ALD堆積プロセスの他の利点としては、均一で等角(共形)的な堆積が得られる点がある。また、バリア材料としては窒化タンタルを選択する。この選択理由は、この材料は銅の拡散に対して優れたバリア性能を有することでよく知られたバリア材料だからである。さらに、窒化タンタルのバリアは、既存のプロセスフローに容易に統合できる。
ALDを用いて窒化タンタルを蒸気相から堆積するとき、非導電性のTa相を常に得ることができ、これは原子層堆積では通常堆積温度が低いためである。この誘電体相の形成は、前駆体の選択(金属-有機物またはハロゲン化物)から独立している。しかしながら、膜(フィルム)の成長挙動は、表面の実際の結合状態に依存する。それぞれ20〜100サイクルの順次の前駆体曝露を行った後、Cu(銅)表面上に堆積するTa(タンタル)の量は、CVD法によるSiOC型の材料上への堆積量よりも50〜20倍多いことが観察されており、このことは銅上における堆積プロセスの選択性を示している。
図面中の図2aを参照して説明すると、銅123をビアホール127の内部に堆積した後、また、標準的な手法により化学機械的研磨(CMP)を行った後、ALDバリア層150を金属配線123および誘電体上に堆積させる。ALDは、表面を制御して薄膜を層ごとに堆積する良く知られたプロセスであり、精度の高い原子層を形成する。HFクリーニング法は、Si−OH結合を取り除くものであり、このSi−OH結合はSiOC誘電体118上に望ましくない前駆体吸着に関与する可能性がある。原子層堆積は、好ましくは前駆体としてペンタキス・ジメチル・アミド・タンタル(PDMATP)およびNH3 を用いて、200°C〜275°Cの温度範囲で実施する。前駆体曝露時間は、全ての反応部位を完全に飽和をさせるために、1パルスにつき0.3〜0.5秒より長い時間とするのが一般的である。100サイクルの曝露を行った後、銅上に膜厚約5nmの薄膜を得ることができ、これはキャッピングのためには十分な厚さである。銅に対する高い選択性のため、銅配線123はTaの誘電体バリア(またはキャップ)150で、ほぼ完全に被覆される。SiOC誘電体上の配線間には極めて僅かな量(15原子/cm以下)のTaが堆積するのみである。Taのアイランド(図2bの「152」)は、接続されておらず絶縁されているため、静電(容量)結合には関与しない。
前駆体吸着の選択性は、SiOC表面118上の反応性表面基団が少量であることから生じる(顕著に非反応性を示すメチル基が存在)。そのため、成長の初期段階に銅と比較して少量の前駆体分子がSiOCの表面に化学吸着され、このことで、ALDプロセスの選択性の説明がつく。多数のサイクルをSiOCに適用する場合は、既に堆積されている材料の上に顕著に堆積を生じ、アイランド状のタイプの成長挙動が生じる。反応表面基団の初期の密度が小さい場合、アイランドがそれぞれ相互に接触するまで多数のサイクルを要する。図2において、ADL膜の表面の被覆率は、異なる表面前処理の関数であることが示される。アルゴンまたは水素のプラズマを使用すると、初期吸着部位の数を増加させることができる。何らのプラズマ表面処理も行わなければ、1サイクル当たりの成長速度は小さいままである。従って、選択的な誘電キャップの厚さが厚過ぎない限り、配線間の電気容量は最小化される。Ta相の絶縁性により、リーク電流は問題にならないことに留意すべきである。
誘電体バリア材料としてのTaを、このように銅配線上に選択的に堆積することができる。Ta相を、ソフトなアルゴンプラズマ処理により、導電性のTaN相に変質させることができる。このことは、金属レベルの上方に低抵抗性の接続を作製しなければならないときに重要である。膜合成におけるアルゴンプラズマの効果については従来研究されてきた。アルゴンプラズマを120秒間、300WのACバイアス下で印加することによって、Ta/N比率が1.6から1.1に減少することが証明されている。ビアチェインまたはビア連鎖(via chain)に対して行ったパラメトリック試験結果で立証されたように、バリア膜の固有抵抗は著しく減少した。
しかし、使用できるプラズマの条件(例えば、直接/遠隔、異なる化学的性質、異なる電力、異なる適用時間、等)には大きな範囲があることは認識すべきであり、また、使用すべき好適な条件がいくつかの要因に依存することは、当業者にとって明らかである。例えば、エッチング処理中に使用したプラズマでさえも、表面を覆うALD法によるTaキャップ層を導電層に変質できるかもしれないが、これは、変質する必要があるALD法によるTa膜(フィルム)の厚さに依存する。厚さが比較的小さい場合(例えば5ナノメートル未満)、マイルドプラズマを使用して変質処理を行うことができる。しかし、この厚さが5ナノメートルより大きい場合(これは、ビアホールのエッチング処理中のエッチングストップとしての役割の観点からは望ましい)、変質処理のためには異なるプラズマ条件が必要となろう。もちろん、他方では、膜(フィルム)をできるだけ薄くして、低誘電率(low−k)側壁への損傷を回避し、また配線間の誘電体Taの堆積を回避する(また、これによる静電(容量)結合を最小限にする)ことが好ましい。
図面の図3aを参照して説明すると、キャッピング手順の後、低誘電率(low−k)の誘電体134を次の金属(相互接続)レベル用に堆積する(例えば、CVD法によるSiOC膜等)。ビアホールおよび配線のパターン形成160の後、Taキャップ150に到達するまで、ビアホール154をエッチングする。エッチングはビアホール154の底部で停止し、再スパッタリングまたは低誘電率(low−k)誘電体134の汚染を起こさないようにする。
この時点で、他の誘電体バリア層(図示せず)をビアホール154の側壁、および、Taキャップ150上に(例えば、ALD法またはCVD法により)堆積させ、この後、この誘電体層をTaキャップ150まで貫通するエッチングを施し、または導電層に変質させ、ただし、ビアホール154の側壁には誘電体バリア層を残すようにしなければならない。この誘電体バリア層には、この後に行うTaキャップ150を金属相に変質させるプラズマ処理が、誘電体層134の低誘電率(low−k)に影響を及ぼさないようにするという効果がある。さらに、この結果、この誘電体バリア層はビアホール154の側壁の永続的なバリアとなり、いかなる偶発的な銅の再スパッタリングが生じても劣化作用を生じないようにする。
つぎに、誘電性のTaバリア150を、300Wで120秒間ソフトなアルゴンプラズマ処理170を加えることによって、金属質のTaNバリア180に変質させる(図3b参照)。バリア層およびシード層は、バリアの再スパッタリング・ステップを行うか行わないかに関わらず、通常通りデュアルダマシン構造で堆積することができる。この方法を使用すると、銅配線を完全にカプセル封入しているために銅による汚染が起こり得ないという点で効果がある。
最後に、図3cに示すように、銅123をビアホール154に堆積し、また、標準的な手法に従ってCMPを実施する。
この集積手法の利点は、以下の通りである。
誘電体キャップ層上でエッチングを停止することにより、ビアホールおよび溝側壁における銅の再スパッタリングが回避される。
アルゴンによる予浄化を行うことにより、銅の再スパッタリングの危険なしに、高分子残渣を側壁から除去することができる。側壁において再スパッタリングされるいかなるTa材料も、導電性のTaN相に変質する。
2回のエッチング工程の代わりに単独のエッチング工程を用いることができ、これはエッチングの停止位置がそれほど厳密でなくなったためである。従来のSiCiNエッチング処理では、銅配線に開通する瞬間に停止するための多くのチューニングを必要とする。
誘電体の実効的な誘電率kの値は減少する。その理由は、上述の従来の処理における底部SiC、SiN層は上述の集積化手法から省略できるからである。
ほとんどの場合、湿式洗浄を使用して、ビアホールの側壁上のエッチング残渣および銅を除去する。従来技術の処理で多孔質の低誘電率(low−k)材料を使用する場合、クリーニング液を用いるのは非常に不利であった。なぜならば、その液体(溶解した銅を含有する)は容易に誘電体内に浸透し、それによって必然的に誘電体特性を劣化させるからである。本発明のプロセスでは、残渣を取り除くために、湿式洗浄をソフトスパッタ洗浄Ar+に代えることができる。湿式洗浄が依然として必要であっても、クリーニング液体は少なくとも銅を含有することはない。
従来技術によるSiN及びSiCのプラズマにより堆積したバリアのもう1つの問題は、銅配線とその上のバリアとの界面状態の悪さである。エレクトロマイグレーション耐性の減少に起因して、この界面状態の悪さが初期故障を引き起こし、この問題は従来技術で克服されるべきものであった。
上述の実施例は例示であって発明の範囲を限定するものではなく、当業者は添付の請求項に記載の特許請求の範囲を逸脱することなく、多くの変更例を設計できることに留意されたい。請求項において、括弧内に示したいかなる参照符号も請求項を制限するものではないと解釈されたい。「備える」および「含む」などの語は、任意の請求項に列挙せず、あるいは、明細書に全体として含んでいない要素またはステップの存在を除外するものではないと理解されたい。ある要素に関して単独であるとの言及も、複数存在の言及を除外せず、逆もまた同様である。本発明は、いくつかの異なった要素から成るハードウェアによって、そして、適切にプログラムしたコンピュータによって実装することができる。いくつかの手段を列挙している装置請求項において、これらの手段のいくつかは、ハードウェアの単一かつ同一の部材で実施できる。ある特定手法が相互に異なる従属請求項において詳述されるという単なる事実は、これらの手法の組み合わせを有効に使用することができないということを示すものではない。
従来技術による相互接続構造の線図的断面図である。 本発明による相互接続構造を形成する方法の段階を示す線図的断面図である。 本発明による相互接続構造を形成する方法の段階を示す線図的断面図である。 本発明による相互接続構造を形成する方法の段階を示す線図的断面図である。 本発明による相互接続構造を形成する方法の段階を示す線図的断面図である。 本発明による相互接続構造を形成する方法の段階を示す線図的断面図である。

Claims (8)

  1. 集積回路ダイ上に相互接続構造を形成する方法において、
    第1誘電体層(118)に埋設した第1の導電性相互接続部(123)の表面上に誘電体バリア(150)を選択的に堆積するステップと、
    前記第1誘電体層(118)および前記誘電体バリア(150)の上に第2誘電体層(134)を堆積するステップと、
    前記第2誘電体層(134)に前記誘電体バリア(150)までいたるビアホール(154)をエッチングするステップと、
    前記誘電体バリア(150)の誘電性材料を導電性材料に変質させるプロセスを実行して導電性の接続部分(180)を形成するステップと、
    前記第2誘電体層(134)内にエッチングした前記ビアホールの(154)内に導電性材料(123)を導入して第2導電性相互接続部(123)を形成するステップと
    を有することを特徴とする相互接続構造形成方法。
  2. 請求項1に記載の相互接続構造形成方法において、前記誘電体バリア(150)を、原子層堆積プロセスによって堆積することを特徴とする相互接続構造形成方法。
  3. 請求項1に記載の相互接続構造形成方法において、前記変質プロセスを実行する前に、少なくともビアホール(154)の側壁に、他の誘電体バリアを堆積することを特徴とする相互接続構造形成方法。
  4. 請求項1に記載の相互接続構造形成方法において、前記誘電体バリア(150)を窒化タンタルとし、この窒化タンタルを、初期的に非導電性のTa相の状態で、導電性の相互接続部(123)の表面に堆積させるものとしたことを特徴とする相互接続構造形成方法。
  5. 請求項1に記載の相互接続構造形成方法において、前記第1および第2の導電性の相互接続部(123)を銅としたことを特徴とする相互接続構造形成方法。
  6. 請求項1に記載の相互接続構造形成方法において、前記第1および第2の誘電体層(118、134)は、シリコン酸化物を主成分とする誘電体を有するものとしたことを特徴とする相互接続構造形成方法。
  7. 請求項4に記載の相互接続構造形成方法において、前記誘電体バリア(150)の前記Ta相をその後のプラズマ処理によって、導電性のTaN相に変質させることを特徴とする相互接続構造形成方法。
  8. 請求項1に記載の方法により形成した相互接続構造を有する集積回路ダイ。
JP2007543949A 2004-12-01 2005-11-24 集積回路ダイ上に相互接続構造を形成する方法 Withdrawn JP2008522423A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP04300831 2004-12-01
PCT/IB2005/053892 WO2006059261A2 (en) 2004-12-01 2005-11-24 A method of forming an interconnect structure on an integrated circuit die

Publications (1)

Publication Number Publication Date
JP2008522423A true JP2008522423A (ja) 2008-06-26

Family

ID=36218493

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543949A Withdrawn JP2008522423A (ja) 2004-12-01 2005-11-24 集積回路ダイ上に相互接続構造を形成する方法

Country Status (5)

Country Link
US (1) US7867889B2 (ja)
EP (1) EP1820214A2 (ja)
JP (1) JP2008522423A (ja)
CN (1) CN100580904C (ja)
WO (1) WO2006059261A2 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007066277A2 (en) * 2005-12-07 2007-06-14 Nxp B.V. A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
EP2064737A1 (en) * 2006-09-04 2009-06-03 Nxp B.V. CuSiN/SiN DIFFUSION BARRIER FOR COPPER IN INTEGRATED-CIRCUIT DEVICES
US7863176B2 (en) * 2008-05-13 2011-01-04 Micron Technology, Inc. Low-resistance interconnects and methods of making same
US8393286B2 (en) * 2009-09-18 2013-03-12 Raytheon Company Hull robot garage
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
KR20120138875A (ko) * 2011-06-16 2012-12-27 삼성전자주식회사 배선 구조물 및 이의 제조 방법
JP5947387B2 (ja) * 2011-09-30 2016-07-06 インテル・コーポレーション 3d集積回路積層体の層間通信
US8754527B2 (en) 2012-07-31 2014-06-17 International Business Machines Corporation Self aligned borderless contact
CN105870050B (zh) * 2015-01-19 2019-04-26 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9837306B2 (en) * 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US9865538B2 (en) 2016-03-09 2018-01-09 International Business Machines Corporation Metallic blocking layer for reliable interconnects and contacts
US9735103B1 (en) 2016-07-20 2017-08-15 International Business Machines Corporation Electrical antifuse having airgap or solid core
US9793207B1 (en) 2016-07-20 2017-10-17 International Business Machines Corporation Electrical antifuse including phase change material
JP2018142562A (ja) * 2017-02-24 2018-09-13 株式会社村田製作所 半導体装置
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10381315B2 (en) 2017-11-16 2019-08-13 Samsung Electronics Co., Ltd. Method and system for providing a reverse-engineering resistant hardware embedded security module
CN112928061A (zh) * 2019-12-05 2021-06-08 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11227794B2 (en) * 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US121616A (en) * 1871-12-05 Improvement in burial-cases
DE4213179A1 (de) * 1992-02-26 1993-12-02 Deutsche Aerospace Kabel für EMV-sichere Übertragung
JP3179212B2 (ja) * 1992-10-27 2001-06-25 日本電気株式会社 半導体装置の製造方法
US6077771A (en) * 1998-04-20 2000-06-20 United Silicon Incorporated Method for forming a barrier layer
US6204204B1 (en) * 1999-04-01 2001-03-20 Cvc Products, Inc. Method and apparatus for depositing tantalum-based thin films with organmetallic precursor
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6114243A (en) 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6451685B1 (en) * 2001-02-05 2002-09-17 Micron Technology, Inc. Method for multilevel copper interconnects for ultra large scale integration
US6927159B2 (en) * 2003-05-27 2005-08-09 Texas Instruments Incorporated Methods for providing improved layer adhesion in a semiconductor device
US7071100B2 (en) * 2004-02-27 2006-07-04 Kei-Wei Chen Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
US7148089B2 (en) * 2004-03-01 2006-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming copper fuse links

Also Published As

Publication number Publication date
CN100580904C (zh) 2010-01-13
WO2006059261A2 (en) 2006-06-08
WO2006059261A3 (en) 2006-08-31
EP1820214A2 (en) 2007-08-22
US7867889B2 (en) 2011-01-11
CN101069280A (zh) 2007-11-07
US20100013098A1 (en) 2010-01-21

Similar Documents

Publication Publication Date Title
JP2008522423A (ja) 集積回路ダイ上に相互接続構造を形成する方法
KR100703973B1 (ko) 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US7446032B2 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US6624066B2 (en) Reliable interconnects with low via/contact resistance
TWI290736B (en) Semiconductor device and method for production thereof
US7365001B2 (en) Interconnect structures and methods of making thereof
TWI406361B (zh) 於互連應用中形成可靠介層接觸之結構及方法
US20080128907A1 (en) Semiconductor structure with liner
US20060163746A1 (en) Barrier structure for semiconductor devices
US8772933B2 (en) Interconnect structure and method of making same
KR20040060447A (ko) 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US7538024B2 (en) Method of fabricating a dual-damascene copper structure
KR100744247B1 (ko) 구리 배선 형성 방법
KR100866138B1 (ko) 반도체 소자의 배선 및 그의 형성방법
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
KR20080001905A (ko) 반도체 소자의 금속 배선 형성방법
KR100462762B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR20080061146A (ko) 반도체 소자의 금속 배선 형성방법
KR100720401B1 (ko) 반도체 소자의 구리 배선 형성 방법
JP3269490B2 (ja) 半導体集積回路装置およびその製造方法
KR100338102B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR100720402B1 (ko) 듀얼 다마센 공정을 이용한 금속 배선 형성 방법
KR100834283B1 (ko) 금속 배선 형성 방법
KR100815938B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100859951B1 (ko) 반도체 소자의 금속배선 및 그 형성방법

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20080423

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081117

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090911