TW478045B - Method for bottomless deposition of barrier layers in integrated circuit metallization schemes - Google Patents

Method for bottomless deposition of barrier layers in integrated circuit metallization schemes Download PDF

Info

Publication number
TW478045B
TW478045B TW089117141A TW89117141A TW478045B TW 478045 B TW478045 B TW 478045B TW 089117141 A TW089117141 A TW 089117141A TW 89117141 A TW89117141 A TW 89117141A TW 478045 B TW478045 B TW 478045B
Authority
TW
Taiwan
Prior art keywords
scope
item
patent application
layer
page
Prior art date
Application number
TW089117141A
Other languages
English (en)
Inventor
Alessandra Satta
Karen Maex
Kai-Erik Elers
Ville Antero Saanila
Pekka Juha Soininen
Original Assignee
Asm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Inc filed Critical Asm Inc
Application granted granted Critical
Publication of TW478045B publication Critical patent/TW478045B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

478045 A7 B7 五 、發明說明( 經濟部智慧財產局員工消費合作社印製 囊11月領域: 本發明方法以積體電路處理和製造之方法,尤指關於 金屬内連線結構和有選擇的沉積阻障層的方法。 登明背景: 持續發展的小型化、不斷增長的複雜性和積體電路之 速度要求,都要求連續地更高的密度積成。為達到此,需 要活化裝置和連接這些裝置之結構的尺寸繼續下降,這些 内連線結構可構成多層金屬層次,依賴於需求的内連線模 式’這些金屬層次或透過插入絕緣層與另一個金屬層次分 開,或透過絕緣層中導電連接器與另一個金屬層次連接。 除了尺寸的下降,其他方法也要求能達到嚴斧的速度規 格。照習用例,當絕緣層是氧化層時,,金屬層是鋁層 (A L )。為了降低信號延誤,可選#和鋁相比有更高導電 性的金屬層/選擇和氧化層相比有更低介電常數的金屬 層,可引進含銅金屬層/含銅連結層來達到這些目的。 在導線結構中使用銅(C u )有一些普遍所知的劣處。銅 在周圍絕緣層中有高擴散性,這就會影響可靠性並使信號 延誤。已有幾種方法用來解決這個問題。材料如難熔的金 屬,已用來做阻障層來阻止銅移動到周圍層中。 目前使用之技術透過一個不可選擇的方法來沉積阻障 層以阻止銅離子在包圍層中的移動。第1圖顯示了結果結 構。在絕緣層1 4的通道的絕緣側壁1 2 (包括溝槽板1 3 ) 和導電底面1 0由絕緣材料1 6覆蓋。如果係化學氣相沉 第2頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) « 裝--------訂--- 參丨 ^045 ^045 經濟部智慧財產局員工消費合作社印製 A7 B7 i、發明說明() 積(.C V D ),阻障層1 6係均勻沉積,若是物理氣相沉積 (PVD),垂直牆和通道底部覆蓋範圍比結構頂端之覆蓋範 圍要細。然而,垂直和水平之覆蓋比率可透過修改過程參 數如沉積力、沉積偏差等來達到確定的程度。 關於沉積阻障層的幾個問題。由於阻障層放置於絕緣 層14,1 5之一個通道之絕緣側壁丨2和導電底牆1 〇兩 者上,在底部嬙1 2上之阻障層的存在導致了幾個不利之 處。當通道充滿了金屬18,在上面的金屬18和在下面的 金屬2 0之間的阻障層1 6就對結構的電子移動行為有不 利影響。由於阻障層1 6可做為對電子的一個流量發散 點。結果’伴隨著在隨後電路作用中之電子移動,金屬原 子的中斷就發生了。 在一個絕緣層通道的底部表面1 0上的阻障層1 8產生 了其它不利之處。由於在阻障層16和導電層20之間的 黏著力並不總是好的,在不同導電層次上之電流對導電路 徑之可靠性和電阻係數有不好影響。 在美國專利第5,9 0 4,5 6 5號,發現了在一個積體電 路不同層次之間的直接的銅和銅連結的方法。第—步,阻 障層均勻沉積在導孔理。第二步,覆蓋下部鋼層之阻障層 是有選擇地為非等相性蝕刻所移動。保留覆蓋垂直側壁之 阻障。這個方法暗示比傳統阻障構成有更多過程步驟的更 複雜的過程,這導致安裝啟用所引起的附加困難。另外, 費用將增加。 第3頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ----------! 裝--------訂---------. (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478045 Α7 ----- Β7 五、發明說明() .結果’需要一個方法構成一個直接的金屬到金屬連 結’此方法係透過在一個絕緣層中形成的通道之絕緣表面 有選擇地沉積阻障層,以至在積體電路(I C )中可以獲得金 屬層之同級導電性能〇 發明目的及概沭: 透過在一個絶緣層中形成的通道之絕緣表面有選擇地 沉積阻障層,以至在積體電路(IC)中可以獲得金屬層之高 級導電性能。 這裡描述之方法係為有選擇地在基板沉積一種材料, 尤指一種阻障材料。此方法有選擇地提供了當第二個表面 暴露時’在第一個表面上之材料,此第一和第二表面材料 成分不同。更好的是,此方法涉及使第一表面構成附著 物,並且在從那時後,在調節好的第一表面沉積阻障層而 避免在第二表面沉積。 期望的是,第一表面是一個絕緣層,第二表面是導電 層。尤其,在較佳實施例中,一種方法用來在通道之部分 側壁上沉積阻障層,此通道通過至少一個絕緣層到另一個 本質上由一種導電材料組成之層。此方法包括在阻障層理 建立通道,調節至少通道之絕緣側壁來在這些絕緣侧壁上 形成附著物,之後在絕緣側壁沉積阻障層而在導電側壁避 免阻障層沉積。 根據發明之一個方面,此方法包含調節後,在第二表 面形成的附著物之移走β 第4頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) WI裝----I---訂------ (請先閲讀背面之注意事項再填寫本頁) # 478045 經濟部智慧財產局員工消費合作社印製 — 5 第 A7 B7 五、發明說明() .根據此發明之另一方面,調節導致第一和第二表面變 更(如:化學或物理變更),隨著的是第二表面更深一步變 更。進一步變更包含調解變更的移動,或把調整變更變為 增長-塊表面形式。例如更多的調整包括:減少周圍熱 量;降低周圍等離子體處理;真空或高壓下加熱;或化學 處理,如清理或化學還原。作為選擇,第二表面變更能構 成增長-塊調節或犧牲層,在第一表面調節之前或之後。 在這個發明之一個實例中,該調節是一個化學反應, 在化學分子學作為上述通道之側壁部分和合適氣體,此通 道由絕緣材料組成,以至上述通道側壁上之附著物形成 了。调郎也能在由導電材料組成之通道側壁和一種合適的 氣體,此氣體在通道側壁上形成附著物構成一種化學反 應。上述調節也能同樣包含一附加的步驟,其有這樣的特 點係由於在側壁上形成的主要由導電材料組成的附著物被 移走了。 根據此發明之一方面,沉積阻障層由原子層沉積來完 成0 根據此發明之一方面,在絕緣層建立的通道是一個引 洞,一個接觸孔或一個溝槽。 根據此發明之一方面,絕緣材料係二氧化珍,氮化 矽,氮氧化矽,低介電常數材料或低介點常數之多孔材 根據此發明之一方面,附著物係選自氫氧根,氰基, NH2,NH,氟基,溴基,碘基,氯基,甲基,烷氧基 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) β裝--------訂--- (請先閱讀背面之注意事項再填寫本頁) 478045 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() (al.koxo),β-二酮基(β-diketonato),異丙烷氧基, 和其它含碳所組成之群組。 根據此發明之一方面,導電材料係銅,鋁,鎢,鈷, 銀,金,銘,把,銀,錄,釕。 根據此發明之一方面,阻障層由一種選自難炫金屬和 矽的氮化物、碳化物、硼化物、磷化物、氫氮化物組成的 群組之材料組成。
Jg式簡單說明: 本發明之優點和其它方面優點將容易的從下面的詳細 描述和所附圖示中被理解,這些圖僅用於闡述本發明並不 去限制發明,其中: 第1圖係在一個包括無選擇阻障層積體電路金屬化系統中 之一個雙鑲嵌結構之剖面圖。 第2圖係本發明方法之較佳實施例之一,包括一可選擇的 阻障層的雙鑲嵌結構之系統圖; 第3圖係本發明方法之較佳實施例之部分雙鑲嵌結構之截 面圖,表示了根據完成中一個中間階段之絕緣表面之 可選調節。 第4圖係本發明方法之較佳實施例之沉積阻障層氣流圖。 岡鱗掛照說明· 10 導電底面 12 絕緣侧壁 1 3 溝槽板 1 4 阻障層 第6頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨—丨 _ 丨丨裝———訂--------- (請先閲讀背面之注意事項再填寫本頁) 478045 A7 五、發明說明( 15. 阻障層 16 阻障材料 18 導電材料 2 0 導電材料 2 2 通道 26 阻障材料 10 4 金屬源脈衝 10 5 第一循環 10 5 a 第二循環 1 06 淨化階段 10 7 第一階段 10 8 脈衝 110 淨化步驟 111 第二階段 發明詳細說明: 經濟部智慧財產局員工消費合作社印製 以下描述在一個基板中沉積一個層之 阻障層。此基板包括至少—個第一表面和第二表面,二者 在材料成分上不同。此方法包括至少一個表面以在調節面 上形成附著物,m,在調節表面上沉積阻障層而避免 在沒調節表面上沉積阻障層,像這所用的,調節準備了更 好沉積i表面,在所闡述實施例中,涉及對一個原子層沉 積(A L D )過程組成期望的阻障層。相反,無調節表面本質 上對期望的阻障層ALD過程不敏感。無調節表面透過調 節表面失敗或透過在這個表面調節的進一步調整來獲得。 根據在雙鑲嵌金屬化過程中有選擇沉積阻障層之文章 中說明,熟練之技工會容易找到在其他上下文中揭示的優 點和原則的應用,尤指有選擇的沉積是期望的高步驟覆蓋 的地方。此發明之特殊作用係與其他絕緣材料和導電材料 相比有選擇在絕緣材料和導電材料中沉積。 方法,尤指沉積 (請先閲讀背面之注意事項再填寫本頁) 裝·! I I I I 訂---- 第7頁 478045 A7 B7 五、發明說明() (請先閱讀背面之注意事項再填寫本頁) .較好的方法包括在通道的第一側壁上有選擇地沉積阻 障層’而暴露第二側壁,此通道穿過形成於基板的絕緣 廣’第一側壁定義為主要由絕緣材料組成的通道的侧壁。 第二侧壁係由導電材料組成的通道的側壁。此方法用積體 電路金屬化方法’在不同導電層間提供直接連接。由於在 導電層之間的阻障層對結構的電子移動有不良影響,所以 這種阻障層將避免在第二側壁上形成。 如所理解的,較佳實施例中與有選擇地沉積阻障層有 關的特別的物體,避免了用超大型積體電路金屬化(U]LSI) 阻障層來覆蓋金屬層的問題。鑲嵌技術可以建立和垂直金 屬連接器一樣之水平金屬模型。這些連接器要求在1C中 運作的水平金屬儀之間提供導電連接器。為提供這種連接 器,通常第一通道必須形成在絕緣層上或在兩個不同導電 層之間的絕緣層的疊式儲存器中形成。這樣的通道之例子 有溝槽,接觸窗或引孔。此通道在後來的步驟中充滿了合 適的金屬,以至在兩個不同水平導電層之間的垂直連接實 現了。在沉積金屬之前先將擴散阻障層沉積在通遒中來避 免絕緣層周圍的金屬擴散。 經濟部智慧財產局員工消費合作社印製 關於弟2圖(結果結構)和第3圖(中間過程),其中提 到的數據用來像第1圖的部分一樣作參考,此發明在積體 電路金屬化法之文中由圖解釋。在ULSI金屬方法中,尤 指鑲嵌法,水平和垂直金屬連接器在絕緣層14和15周 圍形成。這些垂直金屬連接器要求能在兩個水平導電層之 間提供導電連接。在雙鑲嵌過程中,垂直連接器和上部水 第8頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478045 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明() 平連接器同時形成。當然可以理解,此術語”水平"和,,垂 直’’在這僅係相對方向。一般如果晶圓或晶片在面對的儀 器上是水平的,文中的方向係他們應該的方向。 為提供這樣一種連接器,首先,第一通道22在基板 上形成的絕緣層14,15中建立。在圖解的雙鑲嵌方法文 中’通道2 2包括在上部的絕緣層1 5中形成的溝槽和沿 下部絕緣層1 4中的溝槽中分離的地點上形成的連接孔。 熟練的技工知道用不同的配置,通道可僅由連接孔或溝错 組成。 通道22在其他技術中可在絕緣層14,ι5中建立, 通過用在絕緣層14,15上部的硬面罩層。硬面罩層可以 是,但不必局限於,碳化矽。絕緣層1 4,1 5中之通遒 22鄰接並暴露導電層20«在晶片技術設計說明中,通道 22有很高的深寬比,如大於2:1,通常大於4:1。此 通道同樣有大的特征,如,一通道像接觸路徑或電容器一 樣,其線寬大於5 μ m ^ 通道2 2有第一側壁1 2和第二側壁1 〇。第一側壁i 2 疋義為主要由絕緣材料組成的通道2 2的側壁,包括"垂直 π部分和”水平”溝槽板1 3。第二側壁1〇係主要由導電材 料組成的通道2 2的側壁,在圖解實施例通道2 2的底部 所示。絕緣層係氧化矽,氮化矽,氮氧化矽,低介電常數 聚合物或一種低介電常數的多孔材料之一種形式^絕緣層 同樣可由如碳化矽,氮化矽或其他不活潑絕緣材料覆蓋。 基板或原始晶圓或半導體材料的薄片(如玻璃片)或一導電 第9頁 I — IP — — — — —^9 ^-------訂 i ---- (請先閱讀背面之注意事項再填寫本頁) # 灣45 A7 B7 五、 發明說明( 經濟部智慧財產局員工消費合作社印製 材料同樣可以部分處理。基板可組成一種模式的導電層。 尤其’如果所講基板係部分處理過的晶圓或晶片;至少一 部分活性或鈍態的裝置可能已經形成且/或至少内連這些 裝置的一部分設備可以建立。 在絕緣層 1 4,1 5上的通道2 2透過平板印刷術,隨 後蝕刻此模式設備建立。蝕刻術可以是濕蝕刻術或乾蝕刻 術’不過最好用乾蝕刻術。蝕刻等離子體的構成取決於絕 緣材料的特性。 在隨後的步驟中,在此技術中,此通道透過此技藝所 知的技術完美的清理。 調節通道2 2的侧壁以至化學浮著物在此通道2 2的第 一側壁1 2形成。此化學附著物是絕緣材料2 2上化學分 子的化學團或共價化學原子。調節係一種化學反應。透過 暴露基板芫成,使第一側壁接觸到空氣或專用氣體以至此 側壁上的化學成分被改變,如化學藥品。調節同樣係一種 不用暴露基板的化學反應,這樣第一側壁1 2可接觸到潮 濕環境,如水蒸氣或一種柵狀的水沖洗。酒精同樣為隨後 的沉積提供合適的調節。 在一些配置中,由於可選擇絕緣層來使化學附著物在 乾淨的通道暴露於空氣前存在於通道的侧壁上,則調節意 味著不必暴露於空氣或專用氣體。這種調節絕緣層的例子 包括含氧層。當通道22建立時,專用氣體係蝕刻環境的 部分。在這種情況下,第一側壁1 2是在蝕刻中調節臨 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ·_ 裝--------訂--- # 478045 A7 B7 經 濟 部 智 慧 財 產 局 員 工 消 費 合 作 社 印 製 五、發明說明() 場,辰部或第二側壁1 〇被蝕刻乾淨。第二侧壁丨〇的變 更可在蝕刻中被移動。 化學附著物係被選擇的化學團或原子,在隨後阻障層 沉積的開始階段中透過和存在於氣體中的另一種化學團或 化學分子發生化學反應以至這些化學團和原子被有選擇的 代替。這些化學附著物(和他們的源流)包括:但不局限 於,氫氧化合物(分子或酒精)、氰基(HCN), NH2(NH3,N2H4),nh(nh3),氟基(氟化物),溴基(溴 化物),碘基(碘化物),氯基(氯化物),甲基(有機物), 烷氧基(酒精),β -二酮基(β·二酮化物),異丙烷氧基(異 丙烷氧化物),和其它含碳基群組e可以理解,源流可以 離子化,並提供給原子團形式的基板以更易和附著物接 觸。此化學附著物在第一側壁i 2 (包括溝槽i 3 )上更好的 形成。包括實施例中的絕緣材料。第3圖氫氧附著物僅在 第一表面12(包括溝槽板13)上形成。 依靠導電材料的特性,此化學附著物同樣可在通道2 2 之第二側壁上形成。在這種情況下,可從通道22之第一 側壁1 0上期望地有選擇的移走此化學附著物。這種有選 擇的移動包括但並不局限於在適當的加熱一個減少環境中 的基板或在一個減少環境中等離子體處理。有利的是,由 於在調節附著物和不同材料之間的粘和力不同,—般把附 著物從如銅,銀,金,鉑等金屬上移開比將附著物從絕緣 材料上移開容易(需要能量少)e 第11頁 (請先閱讀背面之注意事項再填寫本頁) 裝 訂--- A7
五、發明說明() .同£氣或其它氣體接觸的第二側壁10上的導電材料 層同樣可以變更。此變更係如氧化化學反應或如物質吸收 的物理調整。舉例說,變更包括在第二表面10上形成一 保護層,此保護層將隨後沉積化學物發生反應,或導致在 比第一表面更慢的沉積。作為沒有限制的例子,s i X η附 著物(X係氟,氯’溴或碘,η係1,2或3 )在暴露於鹵 化硬步驟中形成,此附著物係在阻障沉積之前函化矽源氣 的一脈。舉例說,這些塊附著物在第二表面(如:天然氧 化物或在第一表面調節時形成之氧化物)形成氧化物比在 第一表面之侧壁上形成氧化物容易。 第二表面變更的另一例子包含第二表面形成一犧牲層 組成。作為一無限制例子,氧化鎢(w 〇 3)層係在阻障層形 成之如在第二表面形成。如果包含氮化鎢(WN)之阻障 層’由來自WFS和NH3的交替脈衝ALD組成,則犧牲 層在W N沉積中可緩慢的蝕刻,尤其在w F 3脈衝如以下 所 7J7 · w〇3(固體)+ 2WF3(氣體)—3WOF4(氣體) 任何變更層(如:增長-塊層或犧牲層之任何保留物)可 在阻障形成之後和進一步沉積之前(如銅充滿)被較佳移 動°取決於材料,變更之移動包括在真空或高壓或化學處 理如清潔步驟,化學減少,選擇蝕刻或定時濕蝕刻下加熱 基板。注意,取決於導電材料18, 20的特性已在或即將 在隨後步驟中形成,調整層不必在專門的運作步驟中移 動,但有時在進一步發生過程中被移動。舉例說,當用導 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ---- ---1 —mpf 裝----1---訂·--------^9 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478045 A7 B7 五、發明說明() %材料18添滿或鑲襯在通道22時就像在下面將解釋 的’表面調整可自然的被移動。 在進一步步驟中,阻障層2 6在通道2 2的第一側壁 1 2上有選擇的沉積。阻障層2 6本質不在通道1 〇的第二 側壁1 0上形成,如:下面的導電層2 〇的表面沒有阻障 層覆蓋。在較佳實施例中,在此第二側壁1 〇上無附著物 形成,此側壁1 〇由導電材料組成,因此沉積不發生。絕 緣層的特殊化學結構,如化學附著物的存在,允許阻障層 有選擇的沉積。在第一側壁1 2上存在的化學附著物與沉 積氣體中的原子或分子發生反應。此通道第二側壁1 〇上 的化學原子或分子不同沉積氣體中的原子或分子反應。沉 積氣體係一種化學溶劑,水蒸汽或本質上由阻障層形成地 必要的化學合成物組成的氣體。結果,通道2 2第一側壁 1 2上阻障層2 6有選擇的沉積就得到了。 阻障層26透過原子層沉積(ALD)而較好地沉積。透 過原子層薄膜沉積,ALD建立在一種材料和一種沉積氣 體之間的化學分子或原子交換基礎上。化學分子或原子交 換係化學反應。阻障層2 6在相繼步驟中建立,其中每一 步驟涉及透過一化學反應或物理吸收的原子層。阻障層 26包括一種材料其可阻障在絕緣層14,15周圍的金屬 離子擴散"阻障層2 6係一種由難溶金屬,難溶金屬和碎 的氮化物,難溶金屬和矽的碳化物,難溶金屬和碎的·化 物,難溶金屬和矽的嶙化物,難溶金屬和矽的氮氧化物組 成的團中選擇的材料,但不局限於這種材料。更好的是, 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨—·——.------,·裝 (請先閱讀背面之注意事項再填寫本頁) 訂----- 經濟部智慧財產局員工消費合作社印製 478045 A7 B7 五、發明說明( ί 經濟部智慧財產局員工消費合作社印製 此阻障層 26 包括 Co,Ta,Ti,TiN,Si3N4,TaN, WXN ’ HfxN,MoxN/化合物及這些材料的混合。 更有利的是,由於阻障層不會打斷金屬到金屬路徑, 故阻障材料不需要具有高導電性。因此,較佳實施例能夠 把阻障材料,包括由電阻率的材料的選擇範圍從低於 3 〇 〇 μ Ω - c m擴大到絕緣層材料。尤指首選絕緣器係無晶 體絕緣器, 首選方法係原子層沉積之一種形式,其中反作用力作 用在電路中的可調節脈衝中的工件。更好的是,每一電路 透過吸附,更好的透過化學吸收作用只形成墊板材料的一 個單層。此基板的溫度保持在一種視窗便利的化學吸收作 用。尤其,基板溫度應維持在一足夠低的溫度以至保持吸 收的種類和下面的表面之間的無損傷化學粘結以防止反應 物分解。另一方面,基板溫度應保持一足夠高的溫度以避 尤反應物的縮合,並在每一階段為所要求的表面反應物提 供活化能量。當然,對任何給出的A L D反應所要的合適 的溫度範圍取決於表面接端和涉及的反應物。 每個脈衝和每個電路的階段在運行中是自行限制的。 在下面用到的例子中,每個階段都是自行限制的(如··被 吸收的或吸附的單層被留下和此階段的化學物不反應的表 面)。反應物過量部分用於在每一階段來充滿結構表面。 表面飽和保證了在所有可用的反應場所有反應物,(此反 應場所服從物理約束,以下將有更細的討論),而自行接 端阻止過多的薄膜在長時間暴露於反應物的場所上生長。 第林頁 私紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) rtf先閱讀背面之>i意事項再填寫本頁) 骏------ tli-------#. ^045 A7 B7 五、發明說明( 總的來說,飽和度和自行接端的化學物保証了在第一表面 1 2上完美的覆蓋。 il.——-------·裝 (請先閱讀背面之注意事項再填寫本頁) 下面第4圖和表丨說明了 一示範的過程。根據一較佳 實施例所描述的一氣體流動順序,此意味著是示範的和無 限制的。在這例子中,一導電氮化物,更好地係一金屬氮 化物,透過用金屬源氣和氮氣交替作用在工件上而形成。 每一電路的第一或金屬階段1〇7吸附了含金屬材料層, 要求在沒有氮氣時。每一電路之第二或氮階段111和沉 積含金屬層的含氮材料發生反應或吸收此中材料,要求沒 有金屬源氣。可以理解,在其它配置中,階段的順序可以 倒過來,反應移動或淨化步驟可認為係之前的反應脈衝或 隨後的考慮過的部分。
MW 其上形成鑲襯材料的鑲嵌結構的表面(如:第一表面 12 ’包括溝槽地板13但不包括第二表面10) —開始就會 停止以提供一個和金屬源氣反應的表面。金屬階段1〇7 的反應物能用吸附了一些首選的沒有分離表面接端的鑲嵌 結構的氧化物和氮化物表面。 經濟部智慧財產局員工消費合作社印製 更好的是,金屬階段1 〇 7係自行限制的,以至在第一 階段中只有一個原子單層沉積》可喜的是,在脈衝104 中提供了一種揮發性金屬源氣。示範的金屬源氣包括四氯 化鈦(TiCl4),六氟化鎢(WF6),九氯化鈕(TaCl9),五 氧化鈕,四(二甲基氨)鈦,五(二甲基氨)鈕,氯化亞銅 (C u C 1),銅六氟乙酿丙酮乙埽三甲基碎燒 (Cu(HFAC)VTMS) 〇 第15頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公爱) 478045
五、發明說明() .在金屬源氣有充足的時間擴散在雙鑲嵌接觸窗的底部 後’關閉金屬源氣的流動來結束金屬脈衝i 〇4。更好的, (請先閱讀背面之注意事項再填寫本頁) 載氣可持續的在淨化階& 1〇6中流動直到金屬源氣體在 反應室中淨化。 在脈衝104中,金屬源體和工件之暴露的和選擇的接 端表面反應以沉積或吸附含金屬種類的單層。理論上,當 此反應物將吸附在工件上暴露的層上的每個可用的場戶^ 時及收的物質(有接端附著物)的物理尺寸一般將限制單 層一個部分的每一電路的覆蓋。下面表丨所講例子,此原 子層沉積(ALD)過程生長金屬氮化物層大概〇·35埃每 圈,以至對氮化鈦大概每丨5圈,完全的單層就有效的從 材料沉積中形成,其有大概4 2埃大小格子參數。每一電 路透過一對金屬源氣和氮化物源氣體脈衝來表示。,,單層 "’指的是在沉積中單層的一部分,主要指的是脈衝1 〇 4 的自行限制效應。 經濟部智慧財產局員工消費合作社印製 特別的是,在工件上沉積/吸收的含金屬種類是自行接 端以至此表面將不會和金屬源氣反應。以下所示例子, TiCh(表1)留下氯化物接端鈦的單層。WF8將離開氟化 物接端la的單層^相似的,其它揮發的鹵化物將離開鹵化 接端表面,金屬有機物同樣將離開有機附著物表面接端, 如:五氧化钽,四(二甲基氨)鈦,五(二甲基氨)鈕。在金 屬源氣脈衝104中的金屬源或其他反應流成分不會和這 種表面進一步反應。由於過多的暴露給反應物不會導致過 量沉積,在過程中的金屬階段1 0 7的化學物係自行限制 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 478045 A7 B7 五、發明說明() 的9儘管長時間的暴露於一種有更強聚合力的反應物,在 工件上表面地沉積不會超過在臨近導孔地板的第二表面的 沉積。如所提的,金屬階段1〇 7不會容易的和第二表面 1〇(第3圖)發生反應。 在4路115的第二階段ill,氮氣的脈衝1〇8被隨 後提供給工件。在所示例子中,氮氣組成氨水。更好的 是,保留第二階段111有足夠的時間來將被第一階段 107留下含金屬種類的單層的充分暴露給氮源氣。在有充 分時間將氮源氣擴散到雙鑲嵌接觸窗的底部後,關閉金屬 源氣流以結束氮脈衝1 〇 8。更好的是,載氣持續在淨化步 驟1 0中流動直到氮氣在反應室中淨化了。 在氮脈衝108中,氮氣和在第一表面12上的第一階 段1 0 7留下的自行接端金屬單層發生反應或吸附。(第3 圖)。在表1的實施例中,此化學吸收方法包括一飽和的 附著物交換反應,用含氮化物種類代替金屬單層的函化物 接端。在其它配置中,中間獲得或提取階段首先在氮脈衝 之前移開金屬單層的齒化物接端。在這種情況下,在第三 階段中,含氮種類和在透過獲得暗段暴露所吸收的金屬反 應。在另一情況下,金屬氮化物在第一表面12上有選擇 的形成,尤指在單一的單層。期望的是,這個過程留下理 想配比的金屬氮。關於金屬階段1 〇 7所討論的,由於吸 收的物質的物理尺寸,單層不需要占據所有提供的場所。 然而,第二階段1 1 1同樣有自衧限制作用。 第17頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公楚) 丨...——.------^裝 (請先閱讀背面之注意事項再填寫本頁) 訂--- 經濟部智慧財產局員工消費合作社印製 A7 B7
經濟部智慧財產局員工消費合作社印製 478045 五、發明說明() .特別是在金屬源氣的起始脈衝中,氮氣和工件中吸收 的含金屬物質發生反應。此反應物同樣係表面接端,在脈 衝108中的氨水和其它氮源(如:聯氨,氮原子團等)將 不會同氮和位於金屬氮化物單層的氫化氮反應。而且,透 過安排溫度和壓力條件來避免氨水從金屬單層擴散到下面 的材料中。在飽和的自行限制的反應狀態中,儘管長時間 暴露在有更強聚合力的反應物中,在工件上表面形成的金 屬氮化物的厚度不會超過在臨近導孔板的絕緣表面形成的 金屬氮化物的厚度。而且,第二表面10和氮脈衝很好的 不反應。 金屬階段1 0 7 (包括金屬源脈衝i 〇 4和淨化i 〇 6 )和氮 狀怨108(包括氮源脈衝108和淨化11〇) 一起定義為, 在原子層沉積過程中反復的回路115。在起始循環115 後’第一循環115a導電了’在其中一金屬源氣脈衝 1 〇 4 a得以補充。在開始的循環1 1 5中形成的金屬氮化物 表面,金屬源氣用化學方法吸收含金屬物質。含金屬物質 容易和暴露的表面反應,沉積另一個單層或含金屬物質單 層的部分,並再次留下不會和金屬源氣發生進一步反應的 自行接端表面。金屬源氣流1 0 4 a停止了且淨化了反應室 中的106,並且(根據表1)第二循環ii5a之第二階段 111提供氮氣以氮化第二金屬單層。作為選擇,氮化階段 可由中間獲得物或淨化階段進行。 循環1 1 5 a至少要重複1 〇次,最好重複至少2 0次, 直到建立足夠厚的金屬氮化物在雙鑲嵌結構中起到阻障作 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁)
478045 經濟部智慧財產局員工消費合作社印製 A7 —B7 ---------- --—------ — -l 一— 五、發明說明() 用。有利的是’層的厚度不超過200埃,最好少於1〇〇 埃的層,就可透過較佳實施例,用近完美的步驟覆蓋來形 成。逐步覆蓋和臨近通道底部與基板上表面的絕緣牆覆蓋 比率有關係。注意,和導電底表面1 〇相比,沉積更好的 在絕緣表面1 2上有選擇的發生。 在隨後步驟中,為阻障層2 6部分所覆蓋的通道2 2 , 用以上所述的沉積技術充滿了導電材料1 8 (第2圖)。結 果,充滿導電材料18的通道和下面導電層2〇之間的直 接連接就建立了。結果,不考慮阻障材料的電阻率,在高 級的導電行為的影響下,在兩個導電層次之間沒有分歧和 不連續存在。 在第一實施例下,發現了 一個方法係在基板上形成的 絕緣層的通道上沉積銅阻障層。 在基板上沉積阻障層。基板係部分加工過的晶圓或原 始晶圓。基板最好是加工過的晶圓。絕緣層1 4 , 1 5可沉 積在先前構成的導電電路元素20,其可代替低金屬層, 接觸層或晶體管層。此絕緣層1 4,1 5可組成上面所提到 的材料之一。在所示實施例中,絕緣材料組成二氧化矽的 一種模式。絕緣層1 4,1 5透過石刻術和乾蝕刻術成型, 以至用雙讓欣方法在一氧化碎層建立通道2 2。通道2 2之 弟一側壁由二氧化攻組成,第二側壁由導電材料2 〇組 成。在乾蝕刻術步驟後,基板是乾淨的。在下一個步驟 中,二氧化矽層以這樣一種方法設置,此方法係附著物在 二氧化矽層形成,如:氫氧化矽層建立了。為達到這點, 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 丨丨丨丨丨I-丨丨·丨丨—丨I "" "" I丨丨丨丨丨丨丨· 丨丨卜 (請先閱讀背面之注意事項再填寫本頁) 478045 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 絕緣層14,1 5被暴露給Η或Ο Η原子團,如:潮濕的氣 體,氫氧等離子體或氫等離子體。 弟一侧壁1 2,如絕緣層1 4、1 5的表面,和等離子體 中的酸和鹼發生反應,此反應僅限於暴露在等離子體的二 氧化碎表面,一旦另一側壁12的全部表面包含幾(基)氫 氧基或附著物反應同時結束。在所述實施例中,同時調節 或發生了。 當導電材料是銅時,銅層可被變改,如在其上形成一 個犧牲層或原子層沉積保護層。如上所說,銅層化學結構 的變化被在填充之前被移動’要就早於或遲於镶塾阻障材 料。而且,表面吸收時物質將被移動。 用A L D方法有選擇地沉積氟化鈦阻障層2 6 ,以在反 應物改變純淨度中化學團交換為基礎。 每個反應物最好由材料單層組成。基板在蒸汽階段引 進和T i C 14接觸,以致在絕緣材料存在的τ丨c丨4和氫氧 團之間的反應發生了。由於無氫氧附著物在通道第二側壁 1 0内,如,侧壁1 〇由導電材料組成,所以在導電層和 TiCh之間無化學反應。第一反應產生在絕緣層表面形成 第一層。下一步,在NH3和第一反應產物之間的反應發 生了,以致第二層形成。後面步驟,化學反應的順序重複 直到有足夠厚度的阻障層形成。 表1提供了一個示範的方法,用超大型機體過程的雙, 面喪入金屬化方法,形成一個氮化鈇層起阻障層作用。此 處理方法代表在單晶處理模件一個循環^尤其,所述參數 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) rl裝 ----訂--------- 478045 A7 B7 五、發明說明() 在商標名稱PulsarTM2000使用的在單晶原子沉積(ALD) 模件使用,從芬蘭的ASM Microchemistry有限公司 可購得。 注意下表中的參數僅是示範性的。每個加工狀態都希 望做到飽和,至少通道2 2的第一表面1 2要做到。淨化 過程要做到從通路中去除反應階段間的反應物。類似的, ALD的加工取決於用大於20:1的比例完成多於90%的 孔内鍍層。综觀以上說明,熟此技藝人士可以容易地修 改,等效替代或改變不同反應室的沉積條件,以及選擇達 到飽和的、在可接受的沉積速率下的自動結束階段的不同 的條件。 有利的是,在此描述的A L D處理過程對壓力和反應 物的濃度是相對不敏感的,只要反應物供應能足夠充滿溝 槽和引孔表面即可。進一步的說,可以在低溫下進行加 工。工件加工全過程的適宜溫度應保持在200 °C〜500 °C,這樣在後端過程中保存熱能儲備的同時可達到相對快 速的沉積速率。更適宜的溫度是保持在350 t:〜4〇〇 °C, 最適宜的溫度是保持在380 °C〜400 °C。反應室中的壓力 可以保持在千分之一 T 〇 r r到一大氣壓以上的範圍内,但 適宜的壓力應保持在ITorr到500Torr,更適宜的壓力 為 lOTorr 到 ΙΟΟΤογγ。 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨1·——.------ (請先閱讀背面之注意事項再填寫本頁) 丨—訂------— 經濟部智慧財產局員工消費合作社印製 ^/^045
階段 載流 (slm) 反應物 反應物流 (seem) 溫度 fc) 壓力 (Torr) 時間 (秒) 金屬源 400 TiCl4 20 400 10 0.05 淨化 400 400 10 0.8 氮源 400 nh4 100 400 10 0.75 淨化 400 400 10 1.0 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 表1提出了 ALD的參數,ALD位於進入溝槽的一氮 化鈦阻障層及雙鑲嵌結構的連繫通路上。如上所述,金屬 源氣體包含TiCl4,承載氣體包含N2和氮源氣體適宜包 含 NH3。 在第一循環的第一階段,T i C 1 *使用化學方法吸附在 雙鑲嵌溝槽及連繫通路的第一表面12上(舉例來說,〇^-或N Η 3 -)金屬源氣體適宜包含足夠比例的載流氣體以充滿 鑲歲表面,載流氣體比例由其它過程的參數給出。溝槽及 引孔表面覆蓋一層單層妓合成物,這一單層接觸到氣化物 會自動中止。有利的是,在第二表面10上調整的不足或 改變會阻止第二表面對TiCl4的吸收或反應。 希望反應器内含有催化劑以使金屬源氣體轉變到一種 更小和/或更活躍的種類。在具體說明中,首選的反應室 包含鈦壁,它可以把T i C 14轉化為T i C 12。更小的種類 可以輕易地擴散到引孔中,並可在每個循環中占用更多的 第22頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 一^ - 478045 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明() 反應位置和更輕易地化學吸收到活躍位置上。因此,催化 劑使更快的沉積作用率成為可能,熟此技藝者將很容易認 識到在其他的化學反應中可以使用其他的催化劑。 在T i C 14流終止且連續的載氣流淨化後,一些N Η 3 的脈衝被附加至工件中。氨水能很適宜地組成載流的一個 充足的百分率,加以其他的處理參數,能夠使含金屬的單 層的表面飽和。在配合基交換反應中,ΝΗ3很容易同金屬 單層的氯化表面發生反應,從而形成鈦氮化合物層。反應 由先前用化學方法吸收的可用的金屬氯化物混合物的數量 所限制。既不是氨水也不是載氣與得來的鈦氮化合物層進 一步發生反應,該層與氮和ΝΗ3橋接端一起保留下來。 此外,提高的溫度和壓強參數阻止了氨水在金屬層擴散。 有利的是,在這些條件下的ΝΗ3反應物不同第二表面10 反應,該表面,如同所述的,沒有調節或有改變的調節 (例如,阻止層或犧牲層)。 在下一循環中,第一階段引入很容易和鈦氮化合物單 層反應的TiCl4,同樣形成一個氯化處理的鈦層。第二循 環的第二階段如同第一循環中所描述的。這些循環不斷重 複直到形成需要厚度的鈦氮化合物層。 在實施例介紹,在每一個循環的兩個階段中,載氣妒 終以一個穩定的速率流動。但是,應當知遒的是反應物在 交互的氣體脈衝中隨反應室的消退會被移走。在另一配置 中,反應器配合硬體和軟體來在脈衝式沉積中保持一個持 續的壓強。如美國專利4,7 4 7,3 6 7號(授與p 〇 s a領證於 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 χ 297公釐) il.——·------ (請先閱讀背面之注意事項再填寫本頁) 訂---
9M 年 5 月 31 日)和 4,761,289 號(授與 Congeret al.領證於1988年8月2日)在此引用為參考。 經濟部智慧財產局員工消費合作社印製 因為第一表面與(有條件的)的第一表面比與(無條件的 或變更的)第二表面更容易反應,所以對第一表面上面的 沉積物是選擇性的。 注意到一個部分的選擇性表面同樣可以完成需要的選 擇性結果。例如,一些A L D過程會以更慢的速率在金屬 上沉積’特別當A L D過程自己在金屬上產生一個競爭性 的姓刻反應時。同樣,其他的材料也會與在一個第二表面 上不同的速率在一個第一表面上沉積。因而,部分選擇性 的 >儿積物導致了在絕緣表面上比在金屬表面上更厚的層。 過程可以成為完全選擇性的,這由一個後績的定時在第二 表面上的更薄的層完全蝕刻完中止的等向性蝕刻所達到, 在第一表面上形成一些需要層的變薄處理。有利的是,— 個等方性的蝕刻更容易達到,並比不等方性蝕刻有更少的 損害,例如在美國專利5,9 0 4,5 6 5號中所揭示的。 在下一步中,通道22,包含有一個選擇性阻障層26 和一個暴露的第二層10,可以被銅所填充。在阻障層的 選擇性形成後,一個晶種層也許是值得考慮的,這依賴於 利用來填充雙鑲嵌結構的方法及沉積阻障層的導電性。在 實施例種,一個銅裝填物需要電鍍在所示的金屬氮化合物 阻障上。因此,一個高導電性的晶種層適宜首先形成在I:且 障層26和暴露的第二層10上。正如在上述實例中所知 的,晶種層更適宜包含一個金屬層,更適宜為銅,並能由 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 丨丨*丨·丨*丨|丨丨丨•丨丨I丨— II ^ ---丨丨丨 (請先閱讀背面之注意事項再填寫本頁) 478045 A7 B7 五、發明說明() -係列過程中的一些所沉積。例I,晶種層可由物理氣相 沉積物(PVD)所形成,例如,濺鍍,化學氣相沉積(cvd) 或原子層沉積(ALD)。一個CVD過程可以用來以更高的 分級覆蓋來沉積晶種層。例如,金屬有機的 C V D ( Μ 0 C V D )技術被揭露了,例如,w 〇 1 f e t a 1 ·的,, 使用Cu(HFAC)VTMS的銅化學氣相沉積物的過程和設 備模擬”(微電子工程,4 5卷,第1號,1 5 - 2 7頁,1 9 9 9 年2月),在這裡已參考引用了其所揭示的東西。如果下 面的阻障層2 6是可導電的,晶種層同樣可以電鍍或無電 鍍沉積。與在由人1^0形成前金屬氮化物阻障層中獲得的 高分級覆蓋聯合,這些方法能適合於許多雙鑲嵌結構。 最適宜的是,晶種層也由ALD形成。由於有能容納 更多導電性填充金屬和增加的完全填充接觸窗和溝槽的機 會的更大容量,由一個或多個支持、阻障和晶種層的高分 級覆蓋結構所節省的容量會得到一個更高可導性線。 表2 階段 載流 (slm) 反應物 反應物流 (seem) 溫度 re) 壓:力 (Torr) 時間 (秒) 金屬 400 CuCl 4 350 10 0.2 淨化 400 - 猶 350 10 0.2 減少 400 TEB 40 350 10 0.2 淨化 400 - - 350 10 0.2 第25頁 本紙張尺度適用中國國家標準(CNS)A4規格(21〇 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 訂---------—卜 經濟部智慧財產局員工消費合作社印製 478045 A7 B7 五、發明說明() .上面的表2顯示了一個ALD純金屬過程。在另〆過 程中’銅的氯化物首先被吸收,接著T e B減少。有利的 是’銅的氯化物與有機銅種類相比是低反應種類,更容易 迅速且在工件上的反應區有更完全的飽和度。 在晶種層的形成後,通道被一種導電性材料透過#電 鍍型鍍或電鍍填充。沉積物進行到一定的厚度以至於足夠 完成自下至上地對通道填充。 本發明透過在前面的描述中許多實施例所介紹。但是 很顯然熟此技藝者能夠想像許多其他相同的具體描述或實 現本發明的其他方法,其特徵和範圍僅僅由後附的申讀專 利範圍所限制。 丨卜丨丨-------mm i C請先閱讀背面之注意事項再壤寫本貢) tri-------Mwir 經濟部智慧財產局員工消費合作社印製 第26頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐)

Claims (1)

  1. 478045
    經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 1 . 一種利用原子層沉積製程來進行選擇性沉積層的方法, 其至少包括: 提供一個由一第一表面和一第二表面組成的沉積基 板,該第一表面和第二表面臭有不同的材料成分;以及 選擇性的塗覆該第一表面與第二表面,藉著沉積基 板表面重複交互地暴露在至少兩種反應流中。 2 ·如申請專利範圍第i項所述的方法,其中第一材料包含 一選擇性塗覆的絕緣材料,第二材料包含一導體材料^ 3 ·如申請專利範圍第2項所述的方法,其中第一表面定義 為在一個積體電路内通向絕緣層的通路,第二表面包含 一暴露在通路中的金屬元素。 4 ·如申請專利範圍第2項所述的方法’其中選擇性塗覆包 含一沉積在絕緣材料之上的限障層。 5 ·如申請專利範圍第4項所述的方法其中阻障層材料疋 導電的並且具有小於3〇〇# 的電阻係數° 6 ·如申請專利範圍第4項所述的方法其中阻障層材料i 含金屬氮化物。 第27貫 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) —--------ipt—------------ (請先閱讀背面之注意事項再填寫本頁) 478045 經濟部智慧財產局員工消費合作社印製 AS B8 C8 D8 六、申請專利範圍 7 .如申請專利範圍第6項所述的方法,其中阻障層材料包 含氮化鈦。 8 .如申請專利範圍第4項所述的方法其中阻障層材料是 一個絕緣體。 9 ·如申請專利範圍第1項所述的方法’要進一步調節至少 第一表面與反應液的反應。 1 0 ·如申請專利範圍第9項所述的方法’其中調節包含選 擇性地在第一表面上形成配合基。 1 1 ·如申請專利範圍第9項所述的方法,其中調節工作包 括在第一和第二表面上形成配合基並接著修改在第二表 面上的配合基。 1 2 ·如申請專利範圍第1 1項所述的方法,其中對配合基 的修改包括把配合基改成生長保護層。 1 3 .如申請專利範圍第1 1項所述的方法,其中對配合基 的修改包括選擇性地去除第二表面上的配合基。 1 4 ·如申請專利範圍第1 3項所述的方法,其中去除配合 基可選擇加熱基板來進行。 第28頁 本紙張尺度適时目國家標準(CNS)ΑΪ規格(210 X 297公楚Γ upt —.-----1------— (請先閱讀背面之注意事項再填寫本頁) 478045 A8B8C8D8 六、申請專利範圍 1 5 ..如申請專利範圍第1 4項所述的方法’其中去除配合 基可選擇進一步將基板暴露到/個逐漸減少的環境中。 1 6 .如申請專利範圍第14項所述的方法’其中去除配合 基可選擇進一步將基板置於真空中° 1 7 ·如申請專利範圍第1 4項所述的方法’其中去除配合 基可選擇進一步將基板置於高磬中° 1 8 ·如申請專利範圍第1 3項所述的方法’其中去除配合 基可選擇包含一化學反應。 1 9 .如申請專利範圍第1 3項所述的方法’其中去除配合 基包括清洗第二表面。 2 0 ·如申請專利範圍第9項所述的方法’其中調節包括將 基板暴露到濕氣。 21·如申請專利範圍第9項所述的方法’其中第一表面包 含一種氧化物形式,調節包括將基板暴露在酸性或鹼性 溶液中。 22.如申請專利範圍第9項所述的方法’其中調節包括在 第一表面上形成配合基,配合基從由包含羥基、氰基、 第29頁 本紙張尺度適用尹國國豕標準(CNS)A4規格(2J0 X 297公爱) ------------φ 裝——·-----訂--------- (請先閱讀背面之注音?事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 478045 A8 B8 C8 _____D8 _ 六、申請專利範圍 NH2、NH、氟基、溴基、碘基、氯基、甲基、烷氧基 (alkoxo),β-二酮基(β-diketonato),異丙燒氧基 所組成得群組中選擇出來的。 2 3 ·如申請專利範圍第1項所述的方法中,進一步還包括 在塗覆第一表面前,形成一犧牲層覆蓋第二表面。 2 4 ·如申請專利範圍第2 3項所述的方法,其中犧牲層包 含一種在至少兩種反應液中易受蝕刻的材料。 2 5 ·如申請專利範圍第1項所述的方法,其中只要離子層 蝕刻一通道到絕緣層以使金屬元素暴露,則可以透過調 節配合基在絕緣層之上產生第一表面,不用調節配合基 就可在金屬元素上產生第二表面。 2 6 · —種在部分已完成的積體電路的絕緣側壁上形成一個 阻障層的方法,包括: 在部分已完成的積體電路的絕緣表 水囬上形成配合 基,使導電的表面暴露出來,而且 引入氣相反應物和絕緣表面上的配入t 口丞發生反應, 以在絕緣表面上選擇性沉積一阻障材料。 第30貰 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------——.-----訂------- {請先閱讀背面之注意事項再填寫本頁) 478045 A8B8C8D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 2 7 ·.如申請專利範圍第2 6項所述的方法,其中引入氣相 反應物包括交替引入至少第一和第二汽相反應物至原子 層沉積過程。 2 8 .如申請專利範圍第2 6項所述的方法,其中配合基從 由包含羥基、氰基、NH2、NH、氟基、溴基、碘基、 氯基、甲基、烷氧基(alkoxo) ,β-二酮基(β-diketonato),異丙燒氧基所組成的群組中選擇出來 的。 2 9 .如申請專利範圍第2 6項所述的方法中,進一步要從 導電表面上除去配合基。 3 0 .如申請專利範圍第2 9項所述的方法,其中除去配合 基包括減少配合基。 3 1 .如申請專利範圍第2 6項所述的方法,其中形成配合 基包括把絕緣表面暴露到潮濕環境中。 3 2 .如申請專利範圍第2 6項所述的方法,其中形成配合 基包括形成獲基尾部(hydroxyl tails)。 第31頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ——·—訂---------
TW089117141A 1999-08-24 2000-10-16 Method for bottomless deposition of barrier layers in integrated circuit metallization schemes TW478045B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15048699P 1999-08-24 1999-08-24
US15979999P 1999-10-15 1999-10-15
US17694400P 2000-01-18 2000-01-18

Publications (1)

Publication Number Publication Date
TW478045B true TW478045B (en) 2002-03-01

Family

ID=27386981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW089117141A TW478045B (en) 1999-08-24 2000-10-16 Method for bottomless deposition of barrier layers in integrated circuit metallization schemes

Country Status (7)

Country Link
US (3) US6391785B1 (zh)
EP (1) EP1206799A1 (zh)
JP (2) JP4043785B2 (zh)
KR (1) KR100737305B1 (zh)
AU (1) AU6800300A (zh)
TW (1) TW478045B (zh)
WO (1) WO2001015220A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115003854A (zh) * 2020-04-14 2022-09-02 应用材料公司 金属膜的沉积

Families Citing this family (403)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US20020089063A1 (en) * 2001-01-08 2002-07-11 Ahn Kie Y. Copper dual damascene interconnect technology
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6534863B2 (en) * 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) * 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7037574B2 (en) * 2001-05-23 2006-05-02 Veeco Instruments, Inc. Atomic layer deposition for fabricating thin films
US6528884B1 (en) * 2001-06-01 2003-03-04 Advanced Micro Devices, Inc. Conformal atomic liner layer in an integrated circuit interconnect
KR100421219B1 (ko) * 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US6686278B2 (en) * 2001-06-19 2004-02-03 United Microelectronics Corp. Method for forming a plug metal layer
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6509267B1 (en) * 2001-06-20 2003-01-21 Advanced Micro Devices, Inc. Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
DE10130936B4 (de) * 2001-06-27 2004-04-29 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement mittels Atomschichtabscheidung/ALD
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) * 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4585719B2 (ja) * 2001-08-24 2010-11-24 株式会社アルバック エッチング方法
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
CN1575518A (zh) * 2001-09-26 2005-02-02 应用材料有限公司 阻挡层和籽层的集成
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) * 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
KR20030050672A (ko) * 2001-12-19 2003-06-25 주식회사 하이닉스반도체 원자층증착법을 이용한 티타늄나이트라이드막의 형성 방법및 그를 이용한 금속배선의 제조 방법
JP3941099B2 (ja) * 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100820780B1 (ko) * 2002-06-29 2008-04-10 주식회사 하이닉스반도체 반도체소자의 구리 배선 제조 방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
JP4159824B2 (ja) * 2002-08-19 2008-10-01 富士通株式会社 半導体装置及びその製造方法
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP2006505127A (ja) * 2002-10-29 2006-02-09 エーエスエム インターナショナル エヌ.ヴェー. 酸素架橋構造及び方法
KR100466332B1 (ko) * 2002-12-14 2005-01-14 동부전자 주식회사 반도체 소자의 제조 방법
KR100476482B1 (ko) * 2002-12-14 2005-03-21 동부전자 주식회사 반도체 소자의 장벽 금속층 형성 방법
KR100477816B1 (ko) * 2002-12-30 2005-03-22 주식회사 하이닉스반도체 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
US20040126482A1 (en) * 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
DE10306314B3 (de) * 2003-02-14 2004-10-28 Infineon Technologies Ag Verfahren zur Erzeugung leitfähiger Strukturen mit Submikrometerabmessungen mittels elektrochemischer Abscheidung
FR2851371B1 (fr) * 2003-02-18 2005-06-03 St Microelectronics Sa Procede de reparation d'un circuit electronique integre comprenant la realisation d'une isolation electrique
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7914847B2 (en) * 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP4959333B2 (ja) * 2003-05-09 2012-06-20 エーエスエム アメリカ インコーポレイテッド 化学的不活性化を通じたリアクタ表面のパシベーション
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3819381B2 (ja) * 2003-07-07 2006-09-06 株式会社半導体理工学研究センター 多層配線構造の製造方法
EP1519421A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
KR100513801B1 (ko) * 2003-07-24 2005-09-13 주식회사 하이닉스반도체 갭필을 위한 유동성 절연막을 구비하는 반도체 소자의제조 방법
JP2007516599A (ja) 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
MXPA06001857A (es) * 2003-08-20 2006-05-04 Hunter Douglas Persiana retractil con tablillas plegadizas.
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
DE10345461B3 (de) * 2003-09-30 2005-08-11 Infineon Technologies Ag Selektive sequentielle Gasphasenabscheidung und Verfahren zur Ausbildung von Kragenstrukturen für Lochgräben in Halbleitersubstraten
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
KR100577528B1 (ko) * 2003-12-30 2006-05-10 매그나칩 반도체 유한회사 반도체 소자의 인덕터 제조 방법
KR100621765B1 (ko) * 2004-01-20 2006-09-08 삼성전자주식회사 반도체 소자에서의 박막 형성방법 및 그에 따른 박막형성장치
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
JP4537721B2 (ja) * 2004-02-02 2010-09-08 ルネサスエレクトロニクス株式会社 成膜方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
EP1776321A1 (en) * 2004-06-24 2007-04-25 Beneq Oy Method for doping material and doped material
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
DE102004040943B4 (de) * 2004-08-24 2008-07-31 Qimonda Ag Verfahren zur selektiven Abscheidung einer Schicht mittels eines ALD-Verfahrens
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7233071B2 (en) * 2004-10-04 2007-06-19 International Business Machines Corporation Low-k dielectric layer based upon carbon nanostructures
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7476618B2 (en) * 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
WO2006059261A2 (en) * 2004-12-01 2006-06-08 Koninklijke Philips Electronics N.V. A method of forming an interconnect structure on an integrated circuit die
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20070271751A1 (en) * 2005-01-27 2007-11-29 Weidman Timothy W Method of forming a reliable electrochemical capacitor
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) * 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
US20060272577A1 (en) * 2005-06-03 2006-12-07 Ming Mao Method and apparatus for decreasing deposition time of a thin film
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
JP2009508003A (ja) * 2005-09-08 2009-02-26 アプライド マテリアルズ インコーポレイテッド 大面積エレクトロニクス用のパターン形成無電解金属化処理
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070243452A1 (en) * 2006-04-14 2007-10-18 Applied Materials, Inc. Reliable fuel cell electrode design
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
EP2024533A1 (en) 2006-05-30 2009-02-18 Fuji Film Manufacturing Europe B.V. Method and apparatus for deposition using pulsed atmospheric pressure glow discharge
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
JP5543203B2 (ja) 2006-06-16 2014-07-09 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
WO2008008319A2 (en) * 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
WO2008016650A2 (en) * 2006-07-31 2008-02-07 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR101427142B1 (ko) * 2006-10-05 2014-08-07 에이에스엠 아메리카, 인코포레이티드 금속 규산염 막의 원자층 증착
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100790897B1 (ko) * 2006-11-21 2008-01-03 삼성전자주식회사 반응성 이온을 이용한 원자층 증착 방법 및 그 장치
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
KR100834283B1 (ko) 2006-12-28 2008-05-30 동부일렉트로닉스 주식회사 금속 배선 형성 방법
US20080171436A1 (en) * 2007-01-11 2008-07-17 Asm Genitech Korea Ltd. Methods of depositing a ruthenium film
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
EP2109876B1 (en) 2007-02-13 2015-05-06 Fuji Film Manufacturing Europe B.V. Substrate plasma treatment using magnetic mask device
US9177908B2 (en) * 2007-04-30 2015-11-03 Taiwan Semiconductor Manufacturing Company, Limited Stacked semiconductor capacitor structure
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
JP2010539332A (ja) * 2007-09-13 2010-12-16 ヴェロシス インコーポレイテッド 多孔質無電解めっき
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
WO2009037531A1 (en) 2007-09-20 2009-03-26 Freescale Semiconductor, Inc. Improvements for reducing electromigration effect in an integrated circuit
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
TW200939510A (en) * 2007-11-19 2009-09-16 Applied Materials Inc Solar cell contact formation process using a patterned etchant material
WO2009067475A1 (en) * 2007-11-19 2009-05-28 Applied Materials, Inc. Crystalline solar cell metallization methods
US7655564B2 (en) * 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP5597551B2 (ja) 2008-02-01 2014-10-01 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 移動基材のプラズマ表面処理の装置、方法および当該方法の使用
WO2009099325A1 (en) 2008-02-08 2009-08-13 Fujifilm Manufacturing Europe B.V. Method for manufacturing a multi_layer stack structure with improved wvtr barrier property
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8545936B2 (en) 2008-03-28 2013-10-01 Asm International N.V. Methods for forming carbon nanotubes
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090315093A1 (en) * 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
WO2010009295A2 (en) * 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
DE102008035815A1 (de) * 2008-07-31 2010-02-04 Advanced Micro Devices, Inc., Sunnyvale Verbessern der strukturellen Integrität und Definieren kritischer Abmessungen von Metallisierungssystemen von Halbleiterbauelementen unter Anwendung von ALD-Techniken
US7951637B2 (en) * 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US8323523B2 (en) * 2008-12-17 2012-12-04 Lam Research Corporation High pressure bevel etch process
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9223203B2 (en) 2011-07-08 2015-12-29 Asm International N.V. Microcontact printed films as an activation layer for selective atomic layer deposition
GB201117242D0 (en) 2011-10-06 2011-11-16 Fujifilm Mfg Europe Bv Method and device for manufacturing a barrier layer on a flexible subtrate
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
WO2013106225A1 (en) 2012-01-12 2013-07-18 Applied Materials, Inc. Methods of manufacturing solar cell devices
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US9076661B2 (en) 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
JP5809596B2 (ja) 2012-05-07 2015-11-11 住友電気工業株式会社 半導体装置およびその製造方法
JP2013235895A (ja) * 2012-05-07 2013-11-21 Sumitomo Electric Ind Ltd 半導体装置およびその製造方法
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US11579344B2 (en) 2012-09-17 2023-02-14 Government Of The United States Of America, As Represented By The Secretary Of Commerce Metallic grating
US9580828B2 (en) 2012-09-17 2017-02-28 The United States of America, as represented by the Secretary of Commerce (NIST) Self-terminating growth of platinum by electrochemical deposition
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9502365B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Opening in a multilayer polymeric dielectric layer without delamination
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
US9583386B2 (en) * 2014-10-25 2017-02-28 Lam Research Corporation Interlevel conductor pre-fill utilizing selective barrier deposition
US10276393B2 (en) 2015-01-26 2019-04-30 Kokusai Electric Corporation Method of manufacturing semiconductor device
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
CN106328578B (zh) * 2015-06-18 2019-05-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP6492289B2 (ja) * 2015-10-14 2019-04-03 パナソニックIpマネジメント株式会社 部品供給装置および部品装着装置
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US11028477B2 (en) 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
WO2017083469A1 (en) * 2015-11-13 2017-05-18 Applied Materials, Inc. Techniques for filling a structure using selective surface modification
US20170170114A1 (en) * 2015-12-15 2017-06-15 Lam Research Corporation Multilayer film including a tantalum and titanium alloy as a scalable barrier diffusion layer for copper interconnects
EP3424070B1 (en) 2016-03-03 2024-03-27 Applied Materials, Inc. Improved self-assembled monolayer blocking with intermittent air-water exposure
CN108778739B (zh) 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
WO2017189135A1 (en) 2016-04-25 2017-11-02 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
TWI739984B (zh) 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10157833B1 (en) 2017-05-23 2018-12-18 Globalfoundries Inc. Via and skip via structures
US10242885B2 (en) 2017-05-26 2019-03-26 Applied Materials, Inc. Selective dry etching of metal films comprising multiple metal oxides
KR102509390B1 (ko) 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
SG11202001268TA (en) 2017-08-14 2020-03-30 Lam Res Corp Metal fill process for three-dimensional vertical nand wordline
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10941301B2 (en) 2017-12-28 2021-03-09 Tokyo Ohka Kogyo Co., Ltd. Surface treatment method, surface treatment agent, and method for forming film region-selectively on substrate
US11033930B2 (en) * 2018-01-08 2021-06-15 Applied Materials, Inc. Methods and apparatus for cryogenic gas stream assisted SAM-based selective deposition
US11227829B2 (en) 2018-03-29 2022-01-18 Intel Corporation Device terminal interconnect structures
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
US11315828B2 (en) * 2018-08-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide composite as etch stop layer
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
DE102018131694A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11114382B2 (en) 2018-10-19 2021-09-07 International Business Machines Corporation Middle-of-line interconnect having low metal-to-metal interface resistance
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
KR20210092840A (ko) 2018-12-14 2021-07-26 램 리써치 코포레이션 3d nand 구조체 상의 원자 층 증착
US10903111B2 (en) 2019-03-20 2021-01-26 International Business Machines Corporation Semiconductor device with linerless contacts
JP6960953B2 (ja) * 2019-03-20 2021-11-05 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
US12002679B2 (en) 2019-04-11 2024-06-04 Lam Research Corporation High step coverage tungsten deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US10998263B2 (en) 2019-06-13 2021-05-04 International Business Machines Corporation Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device
KR20220047333A (ko) 2019-08-12 2022-04-15 램 리써치 코포레이션 텅스텐 증착
US11532558B2 (en) 2019-09-27 2022-12-20 Intel Corporation Metallization barrier structures for bonded integrated circuit interfaces
US11164815B2 (en) * 2019-09-28 2021-11-02 International Business Machines Corporation Bottom barrier free interconnects without voids
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US20210225634A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiCN THIN FILMS
US20210225633A1 (en) * 2020-01-17 2021-07-22 Asm Ip Holding B.V. FORMATION OF SiOCN THIN FILMS
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
US11646226B2 (en) * 2020-05-11 2023-05-09 Applied Materials, Inc. Method of tuning film properties of metal nitride using plasma
US11444024B2 (en) 2020-11-02 2022-09-13 Intel Corporation Subtractively patterned interconnect structures for integrated circuits
US20220139772A1 (en) * 2020-11-02 2022-05-05 Intel Corporation Interconnect structures with area selective adhesion or barrier materials for low resistance vias in integrated circuits
JP7547189B2 (ja) 2020-12-21 2024-09-09 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022171414A (ja) 2021-04-30 2022-11-11 東京応化工業株式会社 表面処理方法、基板表面の領域選択的製膜方法及び表面処理剤
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill
JP7097482B1 (ja) 2021-07-26 2022-07-07 東京応化工業株式会社 表面処理剤、表面処理方法及び基板表面の領域選択的製膜方法
US20230029867A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company Limited Conductive structures with bottom-less barriers and liners
KR20230033128A (ko) * 2021-08-27 2023-03-08 삼성전자주식회사 반도체 소자
JP2023087564A (ja) 2021-12-13 2023-06-23 東京応化工業株式会社 基板表面処理方法、基板表面の領域選択的製膜方法、及び表面処理剤
TW202400828A (zh) * 2022-03-11 2024-01-01 美商蘭姆研究公司 選擇性沉積方法及化學品輸送系統
JP2024061924A (ja) 2022-10-24 2024-05-09 嶺南大學校 産學協力團 バリア層及びバリア層の形成方法並びに配線基板

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
ES2166774T3 (es) 1993-02-26 2002-05-01 Zeon Corp Composicion promotora del crecimiento de plantas.
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
JPH0922896A (ja) 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JP4236707B2 (ja) * 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3150095B2 (ja) * 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US5953596A (en) * 1996-12-19 1999-09-14 Micron Technology, Inc. Methods of forming thin film transistors
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6872429B1 (en) * 1997-06-30 2005-03-29 Applied Materials, Inc. Deposition of tungsten nitride using plasma pretreatment in a chemical vapor deposition chamber
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
JP4097747B2 (ja) * 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US5933761A (en) 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100282927B1 (ko) 1999-02-02 2001-02-15 정수홍 장벽금속막을 구비한 금속 배선 및 그 제조방법
KR100320743B1 (ko) 1999-03-04 2002-01-19 우재영 항산화, 미백, 보습, 면역증강 및 항여드름 작용을 나타내는 목질진흙버섯 자실체 및 배양균사체 추출물, 그 제조방법 및 용도
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115003854A (zh) * 2020-04-14 2022-09-02 应用材料公司 金属膜的沉积

Also Published As

Publication number Publication date
US20020155722A1 (en) 2002-10-24
WO2001015220A1 (en) 2001-03-01
KR100737305B1 (ko) 2007-07-09
EP1206799A1 (en) 2002-05-22
US6852635B2 (en) 2005-02-08
JP4043785B2 (ja) 2008-02-06
JP5207690B2 (ja) 2013-06-12
US20040121616A1 (en) 2004-06-24
AU6800300A (en) 2001-03-19
JP2008078647A (ja) 2008-04-03
US6391785B1 (en) 2002-05-21
KR20020047126A (ko) 2002-06-21
JP2003508897A (ja) 2003-03-04
US6664192B2 (en) 2003-12-16

Similar Documents

Publication Publication Date Title
TW478045B (en) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP5173098B2 (ja) ダマシン・メタライゼーションのためのコンフォーマルライニング層
JP5057355B2 (ja) 集積回路内での金属層の選択的形成
JP4825422B2 (ja) 絶縁層の上部表面から延びる複数のトレンチを有する多孔質絶縁層を含む集積回路を製造する方法
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
JP5554806B2 (ja) 複数の開口部を備える基板上への層の蒸着方法
TWI333234B (en) Integration of ald/cvd barriers with porous low k materials
KR101013231B1 (ko) 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US7691742B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
JP2008532271A (ja) 原子層堆積のための表面のプラズマ前処理
TW200947558A (en) Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
KR100519376B1 (ko) 반도체 소자의 확산 방지막 형성 방법
KR20240141817A (ko) 고 전도성 금속 필름을 선택적으로 침착시키는 방법
US7465652B2 (en) Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
TW202419661A (zh) 用於選擇性沉積的高純度炔基胺
Schuhmacher et al. Atomic-layer Deposited Barrier and Seed Layers for Interconnects

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent