KR20180070615A - 광활성 소자 및 재료 - Google Patents

광활성 소자 및 재료 Download PDF

Info

Publication number
KR20180070615A
KR20180070615A KR1020187013375A KR20187013375A KR20180070615A KR 20180070615 A KR20180070615 A KR 20180070615A KR 1020187013375 A KR1020187013375 A KR 1020187013375A KR 20187013375 A KR20187013375 A KR 20187013375A KR 20180070615 A KR20180070615 A KR 20180070615A
Authority
KR
South Korea
Prior art keywords
transition metal
metal compound
cycle
sub
conductive
Prior art date
Application number
KR1020187013375A
Other languages
English (en)
Other versions
KR102409503B1 (ko
Inventor
톰 이. 블롬베르그
한누 후오타리
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Priority to KR1020227019833A priority Critical patent/KR102481449B1/ko
Publication of KR20180070615A publication Critical patent/KR20180070615A/ko
Application granted granted Critical
Publication of KR102409503B1 publication Critical patent/KR102409503B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02322Optical elements or arrangements associated with the device comprising luminescent members, e.g. fluorescent sheets upon the device
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/062Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the metal-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Photovoltaic Devices (AREA)
  • Light Receiving Elements (AREA)

Abstract

반응 공간 내에서 유전체 전이금속 화합물 상(phase) 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 증착 방법이 본원에 개시되어 있다. 증착 방법은 복수의 슈퍼-사이클을 포함할 수 있다. 각 슈퍼-사이클은 유전체 전이금속 화합물 서브-사이클 및 환원성 서브-사이클을 포함할 수 있다. 유전체 전이금속 화합물 서브-사이클은 기판을 유전체 전이금속 화합물과 접촉시키는 단계를 포함한다. 환원성 서브-사이클은 기판을 환원제 및 질소 반응물과 교번적, 순차적으로 접촉시키는 단계를 포함한다. 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.

Description

광활성 소자 및 재료
본 출원은 광활성 소자 및 재료의 분야에 관한 것으로서, 보다 구체적으로는 유전체 전이금속 화합물상을 형성하는 방법 및 전도성 또는 반전도성 전이금속 화합물상에 관한 것이다.
원자층 증착(ALD)은 코팅될 구조체의 기하구조에 상관없이 양호한 등각성(conformality) 및 단차 피복성(step coverage)을 제공할 수 있는 순차적이고 자기 포화적인 표면 반응들에 기반을 두고 있다. 그러나, 부분적으로는 ALD가 본질적으로 열역학적으로 선호하는 반반응(half-reaction)에 기반을 두고 있기 때문에, ALD에 의한 금속막의 증착은 도전적인 것이었다.
고융점 금속 전도층은 마이크로 및 나노 전자공학에서 기본적인 빌딩 블록이다. 산화 저항성 금속 박막들은 수 많은 상황에서 바라는 것이다. 예를 들어, 티타늄 질화물층은 반도체 제조 산업에서, 예컨대 게이트 전극 재료 또는 구리 확산 장벽으로 일반적으로 사용된다. 그러나, 티타늄 질화물은 공기 중에 두었을 때 아마도 결정립계(grain boundary)를 통하여 수십 나노미터의 깊이까지 산화되는 것으로 알려져 있다.
또한, 광활성(photoactive) 재료들 및/또는 전기 전도성의 투광성 재료들은 다양한 상황에서 유용하다. 예를 들어, 광활성 재료들은 광양자의 방사 에너지를 전기 에너지로 변환하기 위해 사용될 수 있고, 예컨대 태양 전지에서 중요한 요소이다.
일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 원자층 증착(ALD) 방법이 제공된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 전이금속 산화물 또는 전이금속 불화물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 TiF3을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 상은 전이금속 원소, 전이금속 합금, 전이금속 산화물, 전이금속 질화물, 전이금속 실리사이드, 및/또는 전이금속 탄화물을 포함할 수 있다. 일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 TiF3이고, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN일 수 있다.
일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm 범위의 직경을 갖는 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다.
일부 양태들에서, 반응 공간 내에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 원자층 증착(ALD) 방법이 제공된다. 일부 구현예들에서, 상기 ALD 방법은 복수의 슈퍼-사이클을 포함할 수 있고, 여기서 적어도 하나의 슈퍼-사이클은 두 개의 서브-사이클, 즉 금속 불화물 서브-사이클 및 제2 서브-사이클을 포함한다. 일부 구현예들에서, 상기 금속 불화물 서브-사이클은 기판을 금속 불화물과 접촉시키는 단계를 포함하고, 상기 제2 서브-사이클은 상기 기판을 실란(silane) 또는 보란(borane) 및 질소 반응물과 교번적이고 순차적으로 접촉시키는 단계를 포함한다. 일부 구현예들에서, 상기 제2 서브-사이클은 환원성 서브-사이클로서 지칭되고, 상기 기판은 환원제 및 질소 반응물과 접촉된다. 일부 구현예들에서, 상기 기판은 실리콘을 포함할 수 있다.
일부 구현예들에 따르면, 상기 유전체 전이금속 화합물의 전이금속은 Ti, Ta, Nb, Mo 및 W로부터 선택되는 금속을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 불화물을 포함한다. 일부 구현예들에서, 상기 전이금속 불화물은 TiF4를 포함한다. 일부 구현예들에서, 상기 환원제는 실란 또는 보란이다. 일부 구현예들에서, 상기 환원제는 디실란 또는 트리실란을 포함한다. 일부 구현예들에서, 상기 환원제는 디보란 또는 트리보란을 포함한다. 일부 구현예들에서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 및 질소 라디칼로부터 선택된다. 일부 구현예들에서, 상기 전이금속 불화물은 TiF4이고 상기 환원제는 Si3H8이다. 일부 구현예들에서, 상기 금속 불화물 서브-사이클 및 상기 환원성 서브-사이클은 상기 복수의 슈퍼-사이클 중 적어도 하나에서 적어도 약 0.1의 비율로 수행된다. 일부 구현예들에서, 상기 박막은 TiF3를 포함한다.
일부 구현예들에 따르면, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막은 약 0.1 내지 약 10 at%, 약 0.1 내지 약 5 at% 또는 약 0.4 내지 약 2.3 at% 실리콘을 포함한다. 일부 구현예들에서, 상기 박막은 약 1 내지 약 50 at% 질소, 약 5 내지 약 45 at% 질소, 약 10 내지 약 50 at% 질소를 포함한다. 일부 구현예들에서, 상기 박막은 전도성이다. 일부 구현예들에서, 상기 박막은 약 107 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300°C 미만에서 주변 공기에 의해 산화되지 않는다.
일부 구현예들에서, 상기 박막은 유전체 전이금속 화합물 및 전도성 또는 반전도성 전이금속 화합물의 혼합물을 포함한다. 일부 구현예들에서, 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 박막은 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 박막은 하나 이상의 광양자의 방사 에너지를 흡수하여 전기 에너지를 생성하도록 구성되는 광활성 재료이다. 일부 구현예들에서, 상기 박막은 투명하거나 부분적으로 투명하다. 일부 구현예들에서, 상기 박막은 전기 전도성이다. 일부 구현예들에서, 상기 박막은 전자기파의 전파를 안내하도록 구성되는 도파관을 포함한다.
일부 구현예들에서, 상기 박막은 복합 박막으로 입사하는 광의 적어도 일부를 흡수하여 상기 복합 박막 내에 전위차를 생성하도록 구성된다. 일부 구현예들에서, 상기 박막은 전기 전도성이고 광에 대해 투과성이고, 상기 박막 표면으로 입사하는 광의 적어도 일부는 상기 박막을 통과한다. 일부 구현예들에서, 상기 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 상기 박막 내에 전자기파로서 전달하도록 구성된다.
일부 구현예들에 따르면, TiN 상에 포함된 TiF3을 포함하는 박막이 본원에서 개시된다. 일부 구현예들에서, 상기 박막은 약 5 내지 약 50 at% 질소를 포함한다. 일부 구현예들에서, 상기 박막은 약 0.4 내지 약 2.3 at% 실리콘을 포함한다. 일부 구현예들에서, 상기 박막은 약 100 nm 미만의 두께를 가진다. 일부 구현예들에서, 상기 박막은 약 10 nm 미만의 두께를 가진다. 일부 구현예들에서, 상기 박막은 약 100 nm, 약 1 ㎛, 또는 일부 예에서 약 1 mm에 이르는 두께를 가질 수 있다.
일부 구현예들에서, 박막은 유전체 전이금속 화합물 및 전도성 또는 반전도성 전이금속 화합물의 혼합물을 포함한다. 일부 구현예들에서, 상기 박막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 박막은 TiF3 및 TiN을 포함한다. 일부 구현예들에서, 상기 박막은 하나 이상의 광양자의 방사 에너지를 흡수하여 전기 회로에서 전기 에너지를 생성하도록 구성되는 광활성 재료이다. 일부 구현예들에서, 상기 박막은 광양자 투과성이거나 부분적으로 광양자 투과성이다. 일부 구현예들에서, 상기 복합 박막은 전기 전도성이다. 일부 구현예들에서, 상기 박막은 전자기파의 전파를 안내하도록 구성되는 도파관을 포함한다.
일부 구현예들에서, 상기 박막은, 상기 박막으로 입사하는 광의 적어도 일부를 흡수하여 상기 복합 박막 내에 전위차를 생성하도록 구성된다. 일부 구현예들에서, 상기 박막은 전기 전도성이고 광에 대해 투과성이고, 상기 박막 표면으로 입사하는 광의 적어도 일부는 상기 박막을 통과한다. 일부 구현예들에서, 상기 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 상기 박막 내에 전자기파로서 전달하도록 구성된다.
일부 구현예들에 따르면, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광전 소자가 본원에 개시된다. 본원에서 사용된 바와 같이, 용어 광전 소자(photonic device)는 광양자, 즉 빛을 생성하거나, 검출하거나, 흡수하거나, 처리하거나, 반응할 수 있는 구성요소 또는 장치들을 지칭할 수 있다. 용어 광전 소자는, 예컨대 레이저 다이오드, 발광 다이오드, 태양 전지, 및/또는 광전지들을 지칭할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다.
일부 구현예들에서, 상기 광전 소자는 광활성 구성요소, 예컨대 광전극을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지로 광양자들을 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 재료를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 Si, SiGe, Ge, CdTe, GaAs, GaSb 및/또는 InGaAs를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 광전 소자는 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 광전 소자는 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 아연 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드, 탄소 나노튜브, 그라핀, 또는 나노와이어 박막을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 광전 소자는, 상기 광전 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다. 일부 구현예들에서, 상기 도파관 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.
본 발명을 도시하는 의미를 갖고 본 발명을 한정하지는 않는 상세한 설명 및 첨부 도면들로부터 본 발명을 더 잘 이해할 것이고, 도면들 중:
도 1은 일부 구현예들에 따라 유전체 전이금속 화합물 상과 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 ALD 방법을 도시한 흐름도이다.
도 2는 일 구현예에 따라 TiF3/TiN 막을 증착하기 위한 ALD 방법을 도시한 흐름도이다.
도 3은 본 개시의 일 구현예에 따라 형성된 막의 XRD 패턴을 보여준다.
도 4는 본 개시의 일 구현예에 따라 형성된 막의 산화 거동의 분석이다.
도 5는 본 개시의 일 구현예에 따라 형성된 막의 산화 거동의 추가적인 분석이다.
도 6은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로서 사용하여 증착된 TiN 막의 암시야(dark field) TEM 이미지 및 단면 TEM 이미지를 보여준다.
도 7a 및 7b는 내부에 포함된 TiF3 입자들을 포함하는 TiN막의 명시야(bright field) 및 암시야 TEM 이미지들을 보여준다.
도 8은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에 분포하는 원소들의 에너지 분산성 X-선 분광학(EDS)을 도시한다.
도 9는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
도 10a 및 10b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 TiN 막의 암시야 TEM 이미지 및 단면 TEM 이미지를 보여준다.
도 11은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 EDS 이미지를 보여준다.
도 12는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XRD 패턴을 보여준다.
도 13은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
도 14a 및 14b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6/Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막들의 광전 분석의 개략도를 보여준다.
도 15a 및 15b는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6/Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 상부 전극을 갖는 광전지들의 개략도를 보여준다.
전도성 또는 반전도성 전이금속 화합물 상 내에 포함된 유전체 전이금속 화합물 상, 예컨대 금속 불화물 또는 금속 산화물 상을 포함하는 박막들은 광활성 특성들을 가질 수 있다. 예를 들어, 광에 노출시 이러한 복합 막들의 저항은 변화될 수 있다. 일부 구현예들에서, 이러한 복합 막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상의 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm 범위의 직경을 갖는 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다. 예를 들어, 일부 구현예들에서, 복합막은 TiN에 포함된 이산 TiF3 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 산화물 또는 불화물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 산화물, 전이금속 불화물, 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물은 전이금속 산화물, 전이금속 불화물, 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물로 구성된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 다음으로 구성되는 군으로부터 선택될 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, WO3- xFx. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 다음을 포함할 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, 또는 WO3- xFx 또는 이들 중 하나 이상의 혼합물. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3 유사 구조를 가진다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3의 결정 구조와 유사한 결정 구조를 포함한다. ReO3 유사 구조라는 용어는 유전체 전이금속 화합물 상을 ReO3로 한정하려는 의도가 아니며, 유전체 전이금속 화합물 상이 ReO3(레늄 (IV) 산화물)의 결정 구조와 유사한 결정 구조를 포함할 수 있음을 단순히 예시하기 위해 사용된다. 예시적인 ReO3 유사 구조는 단위 셀의 중앙에서 큰 A 양이온을 잃어버리게 되는 페로브스카이트(ABO3)형 결정 구조로서 생각될 수도 있다. ReO3 유사 구조는 단위 셀의 각 모서리에 금속 원자를, 각 단위 셀 에지, 대략 금속 원자들 사이의 중간에 산소 또는 불소와 같은 하나의 비금속 원자를 갖는 입방체 구조이다. 일부 구현예들에서, ReO3 유사 구조는 이상적인 ReO3 유사 구조에서 변형된 구조를 포함한다. 일부 구현예들에서, 유전체 전이금속 화합물은 ReO3 유사 구조와 같은 Pm3m {221} 공간 그룹을 포함한다.
일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 전이금속과 같은 금속 원소, 전이금속을 함유하는 금속 합금과 같은 금속 합금, 전이금속 질화물과 같은 금속 질화물, 전이금속 탄화물과 같은 금속 탄화물, 또는 이들 중 둘 이상의 혼합물들을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 전이금속과 같은 금속 원소, 전이금속을 함유하는 금속 합금과 같은 금속 합금, 전이금속 질화물과 같은 금속 질화물, 전이금속 탄화물과 같은 금속 탄화물, 또는 이들 중 둘 이상의 혼합물들로 구성되는 군으로부터 선택될 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 원소 주기율표의 주기 4 원소를 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC, Ti, 또는 이들 중 하나 이상의 혼합물로 구성되는 군으로부터 선택될 수 있다.   일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC 또는 Ti 또는 이들 중 하나 이상의 혼합물로 구성되는 군으로부터 선택될 수 있다.  
본원에 개시된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 다양한 상황에서 사용될 수 있다. 예를 들어, 전도성 불화물 막 또는 TiF3을 포함하는 전도성 박막과 같은 금속 불화물을 포함하는 전도성 막이 TiN층 또는 다른 금속막 상에서 산소 장벽막으로서 사용될 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 전도성 불화물 함유 막들은 애싱(ashing) 또는 다른 산화 조건들에 대항하여 장벽막으로서 유용할 것이다. 일부 구현예들에서, 본 개시에 따라 형성된 전도성 불화물 함유 막들은 주변 공기와 같은 산소 및/또는 물 또는 수분을 포함하는 주위 환경에 대항하는 보호층으로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 불화물 함유 막들은 예컨대, 패터닝 층에서 또는 양호한 산화 저항이 요망되는 다른 응용들에서 희생층으로서 유용하다. 일부 구현예들에서, 전도성 불화물 막은 수직 및 수평 표면들 상에 등각성으로(conformally) 증착된다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성 막은 게이트 적층체 위, 예컨대 HfO2와 같은 고유전율(high-k)층의 최상부 위, 그리고 실제 게이트 전극층 또는 전도성 게이트 유전체 장벽층 아래에 p-형 캡핑층으로서 사용될 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성막이 p-형 캡핑층으로 사용될 때, 이 적층체에서 전극의 유효 일함수는 약 4.9 eV 초과, 바람직하게는 약 5.0 내지 약 5.2 eV이다.
유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 다양한 상황에서, 예컨대 광활성 재료로서 사용될 수도 있다. 일부 구현예들에서, 본 개시에 따라 형성된 박막들은 광활성 소자의 광전극으로서 사용될 수 있다. 일부 구현예들에서, 박막은, 회로에서 전기 에너지를 생성하는 광양자들의 방사 에너지를 흡수할 수 있다. 일부 구현예들에서, 박막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 박막은 글라스, 수정, 및/또는 SiO2를 포함하는 기판 상에 증착된다. 일부 구현예들에서, 기판은 실리콘 웨이퍼 또는 그의 일부를 포함할 수 있다. 일부 구현예들에서, 당업자에게 명백하게 되듯이, 박막은, 예컨대 박막 태양전지 제조에 사용되는 전형적인 기판 상에 증착된다.
일부 구현예들에서, 본 개시의 박막은 광활성 소자의 광양자 투과 구성요소로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 박막은 광활성 소자의 전하 수집 구성요소로서 사용될 수 있다. 일부 구현예들에서, 본 개시의 박막은 광활성 소자의 도파관 구성요소로서 사용될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 다음 재료들 중 하나 이상을 포함하지 않는다: MgF2, CaF2, ZnF2, SrF2, YF3, 또는 LaF3. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 포함하지 않는다: AlF3 또는 LiF. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 포함하지 않는다: KF와 같은 알카리 금속 불화물(원소 주기율표의 1족) 또는 MgF2 또는 CaF2와 같은 알카리토(원소 주기율표의 2족) 금속 불화물. 일부 구현예들에서, 상기 박막은 다음 재료들 중 하나 이상을 포함하지 않는다: YF3 또는 LaF3과 같은 3족 불화물들. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: 알카리 금속, 알카리 토금속, 및 3족 금속. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: Mg, Ca, Zn, Sr, Y, 또는 La. 일부 구현예들에서, 상기 막은 다음 재료들 중 하나 이상을 제외한 금속들을 약 20 at% 초과하여, 바람직하게는 약 10 at% 이하로, 보다 바람직하게는 5 at% 이하로, 가장 바람직하게는 1 at% 이하로 포함하지 않는다: Ti, Zr, Hf, V, Nb, Ta, Cr, Ni, Fe, Mo, 또는 W, 및 바람직하게는 다음 금속들 중 하나 이상을 제외한 금속: Ti, Nb, Ta, Mo, 및 W. 본원에서 논의된 바와 같이, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막들은 기상 증착 방법, 예컨대 원자층 증착(ALD)에 의해 증착될 수 있다. 이러한 막들은 바람직하게는 산화 저항성, 전도성, 광활성, 및/또는 광양자 투과성일 수 있다. 일부 구현예들에서, 박막은 티타늄 불화물(TiF3)을 포함할 수 있다. TiF3는 다양한 상황, 예컨대 촉매, 광활성 재료, 광전극, 도파관, 전하 수집 구성요소, 및/또는 광양자 투과 재료를 형성하는 재료들에서 사용될 수 있는 안정한 고체 불화물이다.
일부 금속 박막들에서 불소의 존재는 산화 저항성을 향상시킨다. 티타늄 질화물과 같은 금속 질화물은 반도체 산업에서, 예컨대 장벽막으로서 흔히 사용된다. 그러나, 위에서 논의된 바와 같이, 티티늄 질화물 막은 원치않는 산화를 겪을 수 있다. 본 출원은 부분적으로는 티타늄 불화물을 포함하는 전도성 박막과 같은 금속 불화물을 포함하는 전도성 박막이 증착될 수 있다는 예상치 않은 발견에 기반하고 있다. 일부 구현예들에서, 티타늄 불화물 함유 막은 TiN 막, 예를 들어 공지된 기상 증착 방법들, 예컨대 ALD 및/또는 CVD에 의해 증착된 TiN 막보다 더 큰 산화 저항성을 가진다.
일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 증착하기 위한 기상 증착 방법들이 제공된다. 일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막을 기판 상에 증착하기 위한 증착 방법은 원자층 증착(ALD)형 방법, 화학 기상 증착(CVD)형 방법, 또는 ALD와 CVD의 조합형 방법을 포함할 수 있다. 일부 구현예들에서, 다른 방법들, 예컨대 물리 기상 증착(PVD), 플라즈마 강화 원자층 증착(PEALD) 등이 사용될 수 있다.
일부 구현예들에서, 상기 방법들은, 기판이 기상 유전체 전이금속 화합물, 예컨대 TiF4에 노출되고, 유전체 전이금속 화합물의 단층이 기판 표면 상에 흡착되는 제1 서브-사이클을 포함할 수 있다. 제2 서브-사이클에서, 기상의 실란 또는 보란 화합물, 또는 다른 "환원제", 및 기상 질소 반응물이 교번적, 순차적으로 제공된다. 상기 환원제 및 질소 반응물은 상기 기판 표면 상에서 유전체 전이금속 화합물과 반응하여 불화물 전구체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막을 형성한다. 일부 구현예들에서, 제1 서브-사이클은 TiF4와 같은 기상 유전체 전이금속 화합물, 및 실란 또는 보란과 같은 환원제 모두를 포함할 수 있다. 일부 구현예들에서, 제2 사이클은 실란 또는 보란을 포함하지 않는다. 따라서, 일부 구현예들에서, 제1 사이클은 기상 유전체 전이금속 화합물 및 실란 또는 보란을 포함하고, 제2 사이클은 기상 질소 반응물을 포함한다. "환원제"라는 용어가 사용되지만, 일부 구현예들에서, 화학적 환원은 요구되지 않는다. 따라서, 일부 구현예들에서, "환원제"는 단지 실란 화합물 또는 보란 화합물을 나타낸다. 그러나, 임의의 이론에 구속되지 않고서, 일부 구현예들에서, 본원에서 논의된 바와 같이, 환원제는 기판 상에서 금속종의 산화적 상태를 환원시킬 수 있었을 것으로 생각된다.
일부 구현예들에서, 금속은, 예컨대 Tin, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 환원제는, 예컨대 실란 또는 보란 화합물일 수 있다. 질소 반응물은, 예컨대 NH3일 수 있다. 질소 반응물이 사용되는 일부 구현예들에서, 질소 반응물은 기판 표면 상에서 금속종의 산화 상태에 대하여 적어도 일부 환원 효과를 보일 수 있다.
상기 제1 및 제2 서브-사이클은 함께 ALD 슈퍼-사이클을 구성한다. 각 슈퍼-사이클에서, 제1 서브-사이클 및 제2 서브-사이클은 독립적으로 1회 이상 반복될 수 있다. 또한, 슈퍼-사이클은 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막을 원하는 두께로 증착하기 위해 1회 이상 반복될 수 있다. 제1 및 제2 서브-사이클은 임의의 순서로 수행될 수 있다. 예를 들어, 일부 구현예들에서, 제2 서브-사이클이 먼저 수행될 수 있다. 아울러, 각 서브-사이클에서 반응물들의 순서는 가변될 수 있다. 예를 들어, 일부 구현예들에서, 처음으로 또는 두 번째로 수행될 수 있는 환원성 서브-사이클에서, 질소 반응물은 실란 또는 보란 화합물 앞에서 펄스화 되고 그 역도 가능하다.
하나 이상의 슈퍼-사이클에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 원하는 조성 및/또는 원하는 특성을 갖는 막을 증착하기 위해 가변될 수 있다. 일부 구현예들에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 ALD 방법의 각 슈퍼-사이클에서 동일하다. 일부 구현예들에서, 제1 서브-사이클 대 제2 서브-사이클의 비는 증착 방법 동안 하나 이상의 슈퍼-사이클에서 가변될 수 있다.
일부 구현예들에서, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막이 형성되는 데, 이 막은 환원성 화합물로부터 얻어진 일부 실리콘 또는 보론을 포함하고 및/또는 질소 반응물로부터 얻어진 일부 질소를 포함한다. 예를 들어, 일부 구현예들에서, TiF3을 포함하는 전도성 박막이 증착되는 데, 이 박막은 일부 Si 및 일부 N을 함유한다.
본원에서 제시되는 모든 원자분율(즉, at%) 값은 단순화를 위해 수소를 배제하는 데, 이는 수소를 정확하게 양론적으로 분석하기가 어렵기 때문이다. 그러나, 일부 구현예들에서, 유의미한 정확도로 수소를 분석하는 것이 가능하면, 막들의 수소 함량은 역 20 at% 미만, 약 10 at% 미만 또는 약 5 at% 미만이다.
일부 구현예들에서, 실란이 환원제로서 사용되고, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막은 소량의 실리콘 또한 포함한다. 예를 들어, 일부 구현예들에서, 실리콘 함량은 약 15 at% 미만일 수 있다. 일부 구현예들에서, 실리콘 함량은 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at%일 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 전도성 막 내 실리콘 함량은 바람직하게는 약 1.5 at% 미만이다.
일부 구현예들에서, 보란이 환원제로서 사용되고, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 전도성 막은 소량의 보론 또한 포함한다. 예를 들어, 일부 구현예들에서, 보론 함량은 약 15 at% 미만일 수 있다. 일부 구현예들에서, 보론 함량은 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at%이다. 일부 구현예들에서, 보론 함량은 약 1.5 at% 미만이다.
일부 구현예들에서, 막들은 소량의 질소를 포함한다. 예를 들어, 일부 구현예들에서, 질소 함량은 약 0.5 내지 약 50 at%, 약 1 내지 약 20 at%, 또는 약 2 내지 약 15 at% 범위일 수 있다.
일부 구현예들에서, 막들은 불소를 약 10 at% 초과, 약 20 내지 약 75 at%, 약 40 내지 약 70 at%, 또는 약 45 내지 약 65 at%의 양으로 포함한다.
일부 구현예들에서, 막들은 약 0.25 내지 약 5, 약 0.5 내지 약 3, 또는 약 1 내지 약 2.5의 불소 대 티타늄 비(F/Ti (at%/at%))를 가진다.
일부 구현예들에서, 막들이 산화 저항성이라는 사실에도 불구하고, 막들은 소량의 산소를 포함할 수 있다. 예를 들어, 일부 구현예들에서, 산소 함량은 약 2.5 at% 미만, 약 1.5 at% 미만, 약 1.0 at% 미만, 또는 심지어 약 0.5 at% 미만이다.
일부 구현예들에서, 불화물 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하며 본원에 기술된 ALD 방법에 의해 증착된 박막은 공지된 기상 증착 방법, 예컨대 ALD로 증착된 대응하는 금속 질화물 막보다 더 큰 산화 저항성을 가진다. 일부 구현예들에서, 본원에 기술된 ALD 방법에 의해 증착된 박막은 광활성 재료이다.
일부 구현예들에서, 박막들은 막의 표면으로 입사하는 광의 일부를 흡수하여 막 내에 전위차 또는 막 내에 전류 흐름을 생성한다. 일부 구현예들에서, 박막은 광 투과성이거나 광양자 투과성, 즉 박막은 막의 표면으로 입사하는 광의 적어도 일부가 막을 통과할 수 있도록 한다. 일부 구현예들에서, 박막은, 상기 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 전자기파에 의해 상기 박막 전달한다.
일부 구현예들에서, TiF3를 포함하는 전도성 박막은, 기판 표면 상에 TiF4를 자기제한 방식으로 흡수하기 위한 제1 서브-사이클 및 TiF4를 TiF3로 환원시키기 위한 제2 서브-사이클을 포함하는 ALD 방법에 의해 증착된다. 예를 들어, TiF4는, 단층에 이르는 TiF4가 기판 표면 상에 형성되도록 제1 서브-사이클에서 제공된다. 제1 서브-사이클은 2회 이상 반복될 수 있다. 일부 구현예들에서, 퍼지 단계는 각각의 제1 서브-사이클들 사이에 포함된다. 제2 서브-사이클에서, 기판은 실란 또는 보란 화합물과 같은 환원제, 및 암모니아와 같은 질소 반응물에 교번적, 순차적으로 노출된다. 제2 서브-사이클은 기판 표면 상의 TiF4의 적어도 일부를 TiF3으로 환원시키도록 작용한다. 일부 구현예들에서, 형성된 막들은 비교적 소량의 실리콘 또는 보론 및 질소를 갖는 TiF3를 포함한다. 일부 구현예들에서, 형성된 막들은 TiF3 일 일부 질소를 포함한다. 일부 구현예들에서, 상기 막은 TiF3과 TiN의 혼합물이다. 일부 구현예들에서, 상기 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상, 예를 들어 TiN 상에 포함된 TiF3 상을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들과 같은 이산 형태로 되어 있고, 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인다.
제1 및 제2 서브-사이클 각각은 슈퍼-사이클에서 1회 이상 반복될 수 있다. 슈퍼-사이클은 원하는 두께의 막이 이루어질 때까지 반복된다. 하나 이상의 슈퍼-사이클에서 두 개의 서브-사이클의 비를 조절함으로써, TiF3의 양은 원치않는 양의 실리콘 또는 질소를 유입시키지 않고서 증가될 수 있다. 특히, 일부 구현예들에서, 제1 서브-사이클에 비해, 기판이 환원제 및 질소 반응물과 교번적, 순차적으로 접촉되는 제2 서브-사이클의 수를 증가시키면, TiF3로 전환되는 TiF4의 양이 증가한다.
일부 구현예들에서, 환원성(제2) 서브-사이클은 제2 화합물을 사용할 수 있지만, 다른 화합물들이 사용될 수 있다. 일부 구현예들에서, 실리콘 화합물은 SiH4, Si2H6, 또는 Si3H8와 같은 실란 화합물이다. 일부 구현예들에서, 보론 화합물은 적어도 1회의 환원성 서브-사이클에서 사용될 수 있다. 예를 들어, 일부 구현예들에서, 환원제는 보란 화합물, 예컨대 BH3, B2H6, 또는 트리보란(triborane) 중 하나 이상일 수 있다. 다른 환원제들도 사용될 수 있음을 이해할 것이다. 일부 구현예들에서, 각 서브-사이클에서 동일한 환원제가 사용되고, 반면에 다른 구현예들에서, 하나 이상의 서브-사이클에서 상이한 환원제들이 사용될 수 있다.
일부 구현예들에서, 질소 반응물은 NH3, 질소 원자, 질소 라디칼, 질소 플라즈마, 예컨대 플라즈마에 의해 생성될 수 있는 질소를 포함하는 다른 여기종, 또는 다른 적합한 질소 함유 화합물들 중 하나 이상을 포함할 수 있다.
일부 구현예들에서, TiF3을 포함하는 박막이 증착되는 데, 이 막은 막 내에 불소를 포함하지 않는, 공지된 기상 증착 방법에 의해 증착된 TiN 막, 예컨대 ALD에 의해 증착된 TiN막보다 더 높은 산화 저항성을 가진다.
일부 구현예들에서, 불소를 포함하는 박막, 예컨대 적어도 일부 질소를 포함하는 금속 불화물 박막이 증착되는 데, 이 박막은 매끈하며, 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상 입자들을 포함하는 박막이 증착된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다.
일부 구현예들에서, 약 500 nm 이하의 두께를 갖는 TiF3을 포함하는 박막이 증착된다. 일부 구현예들에서, 상기 박막은 약 100 nm 미만, 약 50 nm 미만, 약 30 nm 미만, 또는 약 10 nm 미만의 두께를 가진다. 일부 구현예들에서, 두께는 사용되게 될 막의 적용에 따라 선택될 수 있다. 예를 들어, 일부 구현예들에서, 막의 두께는 위에서 전술한 두께보다 훨씬 작을 수 있고, 예를 들어, 약 2 내지 약 50 Å, 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다.
TiF3을 포함하는 박막들을 형성하는 상황에서 주로 도시되었지만, 다른 유전체 전이금속 화합물 막들 또는 유전체 전이금속 화합물의 적어도 일부를 함유하는 막들은, 전이금속 화합물 반응물이 사용되는 적어도 하나의 서브-사이클을 포함하는 증착 슈퍼-사이클, 예를 들어 ALD 또는 CVD 슈퍼-사이클을 이용하여 증착될 수 있다. 예를 들어, 일부 구현예들에서, 두 가지 상이한 금속 및 불소를 포함하는 금속 질화물 막은 기판이 제1 금속 반응물 및 제1 질소 반응물과 교번적, 순차적으로 접촉되는 제1 서브-사이클, 및 기판이 금속 불화물 및 실란 또는 보란과 같은 환원제와 접촉되는 제2 서브-사이클을 포함하는 증착 방법에 의해 증착될 수 있다. 예시적인 방법들은, 예를 들어 본원에 그의 전체 내용이 참조로 포함된 미국 출원 번호 제13/802,157호에 기술되어 있다.
본원에 기술되어 있는 증착 방법들은, 금속 불화물을 포함하는 막들, 즉 티타늄 불화물을 포함하는 막들과 같이, MF 막들로서 지칭될 수 있는 막들을 증착하기 위해 사용될 수 있다. M과 F의 화학양론, 및 그에 따른 상대적인 양은 변할 수 있다. 에를 들어, 티타늄 불화물을 포함하는 막에서 Ti와 F의 상대적인 양은 변할 수 있다. 또한, 위에서 논의된 바와 같이, 일부 구현에들에서, 막들은 두 가지 상이한 금속을 포함할 수 있다. 이 막에서 각 원소의 양은, 예를 들어 증착 방법에서 서브-사이클들의 비를 제어함으로써 조절될 수 있다.
예를 들어, TiF3을 포함하는 막들을 형성하기 위한 일부 구현예들에서, 티타늄 불화물 서브-사이클에 비해 환원성 서브-사이클의 수를 감소시키면, 막 내에서 TiF3의 양이 증가하면서, TiF4의 양이 감소될 수 있다. 일부 구현예들에서, 티타늄 불화물 대 환원성 서브-사이클 비는 약 1 이하이고, 약 10 at.% 미만의 질소 함량을 갖는 TiF3 막들이 생성될 수 있다. 티타늄 불화물 대 환원성 서브-사이클 비가 증가함에 따라, 막 내에서 불화물의 양은 일반적으로 증가하고 상대적인 TiF3 함량은 증가하고, 질소 함량은 또한 감소할 수 있다. 임의 이론에 얽매이지 않고서, 일부 상황들에서, 고용체가 형성될 수 있다고 생각된다.
증착 방법
일부 구현예들에서, 본원에 기술된 바와 같이 박막은 원자층 증착(ALD)형 방법, 화학 기상 증착(CVD)형 방법, 또는 ALD와 CVD의 조합형 방법에 의해 증착될 수 있다. 일부 구현예들에서, 다른 방법들, 예컨대 물리 기상 증착(PVD), 플라즈마 강화 원자층 증착(PEALD) 등이 사용될 수 있다.
간략하게, ALD형 방법은 전구체 화학물질의 제어된 자기-제한 표면 반응을 기초로 한다. 기상 반응은 반응 챔버 내에 교번적으로 및 순차적으로 전구체를 제공함으로써 방지된다. 기상 반응물은, 예를 들어 반응 펄스 사이에 과량의 반응물 및/또는 반응 부산물을 반응 챔버로부터 제거함으로써 반응 챔버에서 서로 분리된다.
간략하게, 기판은 반응 챔버로 로딩되어 일반적으로 낮추어진 압력에서 적절한 증착 온도로 가열된다. 일부 구현예들에서, 기판은 300 mm 실리콘 웨이퍼를 포함한다. 일부 구현예들에서, 기판은 450 mm 실리콘 웨이퍼를 포함한다. 증착 온도는 전구체의 열 분해 온도보다 낮지만, 반응물의 응축을 방지하고 원하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응종에 따라 달라질 것이다.
제1 반응물은 기상 펄스의 형태로 챔버에 안내되거나 펄스화되어 기판 표면과 접촉한다. 전구체의 단지 겨우 하나의 단층이 자기-제한 방식으로 기판 표면 상에 흡착되도록 조건들이 선택되는 것이 바람직하다. 과량의 제1 반응물 및 반응 부산물이 있으면, 이들은 종종 질소 또는 아르곤과 같은 불활성 가스의 펄스와 함께 반응 챔버로부터 퍼징된다.
반응 챔버를 퍼징한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 가스를 아르곤이나 질소와 같은 불활성 가스로 대체함으로써 기상 전구체 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 전형적인 퍼징 시간은 약 0.05 내지 20초, 보다 바람직하게는 약 1 내지 10, 및 보다 더 바람직하게는 약 1 내지 2초이다. 그러나, 필요하면, 예컨대 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조 위에 층을 증착하는 것이 필요한 경우, 다른 퍼징 시간이 사용될 수 있다. 적절한 펄스화 시간은 특정 환경에 기초하여 당업자에 의해 용이하게 결정될 수 있다.
제2 기체 반응물은 표면에 구속된 제1 반응물과 반응하는 챔버 내로 펄스화된다. 과량의 제2 반응물과 기판 표면의 기체 부산물은 바람직하게는 불활성 가스의 도움으로 반응챔버로부터 퍼징된다. 펄스화 및 퍼징 단계는 원하는 두께의 박막이 기판 상에 형성될 때까지 반복되며, 각각의 사이클은 간신히 단분자층(molecular monolayer)을 남긴다. TiN에 포함된 TiF3을 포함하는 막과 같이, 본원에 개시된 박막을 형성함에 있어서, 예컨대 입자들의 형태로 되어 있는 유전체 전이금속 화합물 재료를 증착하고, 전도성 또는 반전도성 전이금속 화합물 재료를 증착하기 위한 각 ALD 슈퍼-사이클에서, 둘 이상의 슈퍼-사이클은 1회 이상 반복된다.
증착 방법에 도움이 되는 추가적인 반응물들 또한 공급될 수 있다. 이러한 반응물들은 이들 자신의 펄스로 또는 전구체 펄스와 함께 제공될 수 있고, 예를 들어 원하는 표면 말단을 제공하거나, 부착된 리간드들 및/또는 자유 부산물을 벗겨내거나 제거하기 위해 사용될 수 있다. 일부 구현예들에서, 추가 반응물들은 임의 종을 성장하는 막에 제공하지 않는다.
이들 방법에서 사용되는 전구체들이 반응 챔버로 전달되어 기판 표면과 접촉하기 전 기상으로 존재하면, 이들 전구체는 표준 조건(상온 및 대기압) 하에서 고체, 액체 또는 기체 재료일 수 있다.
위에서 언급한 바와 같이, 각각의 사이클 또는 슈퍼-사이클의 각각의 펄스 또는 상(phase)은 바람직하게 자기-제한적이다. 과량의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 단계에서 제공될 수 있다. 표면 포화는 이용가능한 모든 반응 부위(예컨대, 물리적 크기 또는 "입체 장애" 반응물의 적용)의 반응물 점유를 보장하므로 우수한 단차 피복도를 제공한다. 일부 장치들에서, 자기 제한적 거동도는, 예를 들어 등각성에 대항하여 반응물 펄스들의 일부 중첩이 증착 속도를 균형 잡게 하도록 함으로써(일부 CVD형 반응들을 허용함으로써) 조절될 수 있다. 일부 구현예들에서, 본원에 기술된 증착 방법들은 CVD형 방법을 부분적으로 또는 CVD형 방법을 완전하게 포함할 수 있다. 시간 및 공간적으로 잘 분리된 반응물들을 갖는 이상적인 ALD 조건들은 거의 완벽한 자기-제한 거동 및 그에 따른 최대 등각성을 제공하지만, 입체 장애는 사이클 당 하나의 분자층보다 덜 생기게 된다. 자기 제한적인 ALD 반응과 혼합된 제한된 CVD 반응은 증착 속도를 높일 수 있다.
기화된 반응물을 기판 상으로 "펄스화(pulsing)"는 증기가 제한된 시간 동안 챔버 내로 전달됨을 의미한다. 전형적으로, 펄스화 시간은 약 0.05 내지 10초이다. 그러나, 기판 유형 및 이의 표면적에 따라, 펄스화 시간은 약 10초보다 훨씬 더 길 수 있다.
예로서, 단일 웨이퍼 ALD 반응기 내의 300 mm 웨이퍼의 경우, 전구체들은 일반적으로 약 0.05초 내지 약 10초, 보다 바람직하게는 약 0.1초 내지 약 5초, 그리고 가장 바람직하게는 0.3초 내지 약 3.0초 동안 펄스화된다. 그러나, 펄스화 시간은 경우에 따라 분 단위일 수 있다. 최적의 펄스화(pulsing) 시간은 특정 환경에 기초하여 당업자에 의해 쉽게 결정될 수 있다.
금속 전구체의 질량 유량은 당업자에 의해 결정될 수 있다. 일부 구현예들에서, 예를 들어 300 mm 웨이퍼들 상에서의 증착의 경우, 반응물들의 유량은 제한 없이 바람직하게는 약 1 sccm 내지 약 1000 sccm, 약 10 sccm 내지 약 800 sccm, 또는 약 50 sccm 내지 약 500 sccm이다.
반응물들 각각의 펄스화 시간 및 질량 유량은 독립적으로 선택될 수 있다. 일부 구현예들에서, 둘 이상의 반응물들의 펄스화 시간(및/또는 질량 유량)은 동일하고, 반면에 일부 구현예들에서, 펄스화 시간(또는 질량 유량)은 상이하다.
반응 챔버 내 압력은 전형적으로 약 0.01 mbar 내지 약 20 mbar, 보다 바람직하게는 약 1 mbar 내지 약 10 mbar이다. 그러나, 일부 경우들에서, 특별한 반응기의 사용, 공정 및 전구체들과 같은 다수의 변수들에 따라 당업자가 쉽게 결정할 수 있듯이, 압력은 이 범위보다 더 높거나 낮을 것이다.
막의 증착을 시작하기 전에, 위에서 논의된 바와 같이, 기판은 적절한 성장 온도로 가열될 수 있다. 바람직한 증착 온도는 다수의 요인, 예컨대 제한 없이 반응물 전구체, 압력, 유량, 반응기의 배열, 및 그 위에 증착될 재료의 성질을 비롯여 기판의 조성에 따라 달라질 수 있다. 특별한 상황의 경우, 특정 성장 온도가 당업자에 의해 선택될 수 있다.
일부 구현예들에서, 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다.
공정 시간은 부분적으로는 생성될 층의 두께, 막의 조성, 개별적인 증착 서브-사이클들의 성장 속도 및 총 성장 속도에 따라 달라진다.
사용될 수 있는 적절한 반응기의 예는 상업적으로 이용가능한 ALD 장비, 예컨대 애리조나, 피닉스의 ASM America사 및 네덜란드, 알메르의 ASM Europe B.V.,로부터 입수가능한 F-120® 반응기, Pulsar® 반응기 및 Advance® 400 시리즈 반응기를 포함한다. 이러한 ALD 반응기 외에, 적절한 장비를 갖춘 CVD 반응기 및 전구체를 펄스화하기 위한 수단을 포함하여, 박막의 ALD 성장이 가능한 다른 많은 종류의 반응기가 사용될 수 있다. 일부 실시예에서, 유동형 ALD 반응기가 사용된다.
일부 구현예들에서, 반응기는 약 50개 초과의 기판, 약 100개 초과의 기판, 또는 약 125개 초과의 기판을 수용할 수 있는 회분식 반응기이다. 일부 구현예들에서, 반응기는 소-회분식 반응기이며, 2 내지 약 20개의 기판, 3 내지 약 15개의 기판 또는 4 내지 약 10개의 기판을 구비한다. 일부 구현예들에서, 기판은 실리콘 웨이퍼, 예컨대 적어도 약 150 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 구현예들에서, 기판은 적어도 약 200 mm 또는 약 300 mm의 직경을 갖는 실리콘 웨이퍼이다. 일부 구현예들에서, 기판은 적어도 약 450 mm의 직경을 갖는 실리콘 웨이퍼일 수 있다.
본원에 기재되어 있는 금속 불화물을 포함하는 전도성 막들을 증착하기 위한 ALD 방법은 클러스터 도구에 연결된 반응기 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 도구에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 기판이 각각 실행되기 전에 공정 온도로 가열되는 반응기에 비해 처리량을 향상시킨다.
독립형 반응기는 로드-록 (load-lock)이 장착되어 있을 수 있다. 이러한 경우, 각 실행 사이에 반응 공간을 냉각할 필요가 없다.
일부 구현예들에서, 둘 이상의 전구체 재료들이 반응 챔버 내 기판과 동시에 접촉하는 CVD 방법이 사용된다. 일부 구현예들에서, 예를 들어 금속 불화물 전구체, 환원제, 및 질소 반응물은 반응 챔버에 동시에 제공되어 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. 일부 구현예들에서, 증착된 박막의 조성 및 구조는 금속 불화물 전구체, 환원제, 및 질소 반응물이 반응 공간으로 유동하는 상대적인 속도에 의해 조절될 수 있다.
일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 상이한 조성을 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 동시에 적용된다. 일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 상이한 농도를 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 적어도 부분적으로 동시에 적용된다. 일부 구현예들에서, 금속 불화물 전구체, 환원제, 및 질소 반응물은, 금속 불화물 전구체 펄스가 뒤따르는 환원제, 및/또는 질소 반응물 전구체 펄스 또는 펄스들과 부분적으로 중첩되도록 하는 방식으로 반응 챔버에게로 별도로 펄스화된다. 상기 반응물은 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다. 일부 구현예들에서, 금속 불화물 전구체, 환원제, 및 질소 반응물은, 환원제, 및/또는 질소 반응물 전구체 펄스 또는 펄스들이 뒤따르는 금속 불화물 전구체 펄스와 부분적으로 중첩되도록 하는 방식으로 반응 챔버에게로 펄스화된다. 상기 반응물은 반응 챔버 내의 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다.
일부 구현예들에서, CVD 방법이 사용되는 데, 여기서 낮은 농도들을 갖는 둘 이상의 전구체 재료들이 반응 챔버 내 기판에 동시에 적용된다. 일부 구현예들에서, (예를 들어 기체 상 반응을 피하고 표면 조절된 반응을 가능하게 하기 위해) 매우 낮은 농도들을 갖는 금속 불화물 전구체, 환원제, 및 질소 반응물이 반응 챔버에 동시에 제공되어 가열된 기판 표면 상에서 반응하여 전도성 또는 반전도성 전이금속 화합물 상 및 유전체 전이금속 화합물 상을 포함하는 박막을 형성한다.
일부 구현예들에서, CVD 방법은 약 100℃ 내지 약 800℃, 바람직하게는 200℃~600℃의 기판 온도에서 수행된다. 증착 사이클들을 포함하는 CVD 공정을 사용하는 구현예들에 적용된 접촉 시간, 제거 시간 및 전구체 농도는 본원에 기술된 ALD 방법 증착 사이클들의 경우 개시된 것이 무엇인지를 기초로 선택될 수 있다. 예를 들어, 전구체의 고농도 또는 실질적으로 더 높은 농도는, 예컨대 ALD 방법의 사이클들에 적용된 농도일 수 있고, 또는 낮은 농도 또는 실질적으로 더 낮은 농도는, 예컨대 ALD 방법에서 전구체 농도의 1/5, 또는 바람직하게는 1/10 미만일 수 있다. 전구체가 기판과 부분적으로 동시에 접촉할 수 있는 일부 구현예들에서, 제1 전구체 접촉 단계는 뒤따르는 전구체 접촉 단계와 50% 이하, 바람직하게는 30% 이하로 중첩될 수 있다.
전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 증착
위에서 언급되었고 아래에서 상세히 논의되듯이, 전도성 또는 반전도성 전이금속 화합물 상 에 포함된 유전체 전이금속 화합물 상을 포함하는 막들은 유전체 전이금속 화합물 증착 서브-사이클 및 환원성 서브-사이클을 사용하여 증착될 수 있다. 일부 구현예들에서, 전이금속은 Ti, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 두 개의 서브-사이클은 매끄럽고/매끄럽거나 나노결정성 막을 형성하기 위해 슈퍼-사이클에서 원하는 비율로 반복될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 상을 포함하는 박막들과 같은, 박막들은 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 박막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.
일부 구현예들에서, 증착 방법은 ALD 방법이다. 일부 구현예들에서, 증착 공정은 순차적이거나 주기적인 방법, 예컨대 ALD 방법과 동일한 전구체 및 조건 선택을 이용하는 순차적이거나 펄스화된 CVD 방법이다. 일부 구현예들에서, 증착 방법은 PECVD 방법이다. 일부 구현예들에서, 증착 방법은 LPCVD/RTCDV 방법이다. 일부 구현예들에서, 증착 방법은 자기-제한적이지 않은 단계를 가진다. 일부 구현예들에서, 상기 방법은 CVD 조건에 근접한 공정 조건 방식으로, 또는 경우에 따라 완전히 CVD 조건으로 작동할 수 있다.
일부 구현예들에서, 유전체 전이금속 화합물 상을 포함하는 박막은 다수의 슈퍼-사이클을 포함할 수 있는 방법에 의해 증착되는 데, 여기서 각 슈퍼-사이클은 적어도 하나의 DM(유전체 전이금속 화합물) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 각 슈퍼-사이클에서 DM 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 유전체 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 균질한 전도성 박막, 예컨대 금속 불화물을 포함하는 막과 같은 균질한 전도성 막이 형성되도록 제한되고, 여기서 DM과 CM(전도성 또는 반전도성 전이금속 화합물)의 뚜렷한 층들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보이지 않는다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 전도성 또는 반전도성 전이금속 화합물 상(CM)에 포함된 유전체 전이금속 화합물 상(DM)을 포함하는 막이 형성되도록 제한되고, 여기서 뚜렷한 DM 입자들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보일 수 있다.
슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(DM) + c(환원제+질소 화합물), 여기서 DM은 유전체 전이금속 서브-사이클을 나타내고, b는 각 슈퍼-사이클에서 DM 서브-사이클들의 수이고; (환원제+질소 화합물)은 환원성 서브-사이클을 나타내고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 유전체 전이금속 화합물 대 환원성 서브-사이클들의 비는 b:c로 주어질 수 있다.
제1 및 제2 증착 서브-사이클(b와 c)은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 제1 유전체 전이금속 화합물 증착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 유전체 전이금속 화합물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.5, 약 1, 약 3, 약 5, 약 10, 약 20, 약 40 또는 약 50이다.
일부 구현예들에서, 제1 유전체 전이금속 화합물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 이 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 유전체 전이금속 화합물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 서브-사이클에서 가변될 수 있다. 막 내에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상의 원하는 양을 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율들을 선택할 수 있다.
제1 유전체 전이금속 화합물 흡착 서브-사이클 및 제2 환원성 서브-사이클로서 지칭되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성 서브-사이클로 시작하고, (원하는 회수를 반복한 후에) 그런 다음 유전체 전이금속 화합물 흡착 서브-사이클(다른 슈퍼-사이클을 시작하기 전에 원하는 회수가 반복될 수 있음)이 수행된다.
일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(DM+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 DM 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 유전체 전이금속 화합물 대 질소 서브-사이클들의 비는 b:c로 주어질 수 있다.
일부 구현예들에서, 금속 또는 M은 Ti, Ta, Nb, Mo, 또는 W를 포함한다.
일부 구현예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 구현예들에서, 상기 환원제는 실란, 디실란 또는 트리실란이다. 일부 구현예들에서, 상기 환원제는 보란, 디보란 또는 트리보란이다. 위에서 언급된 바와 같이, "환원제"로서 지칭되지만, 일부 구현예들에서, 실제 화학적 환원이 일어나는 것은 필요하지 않다. 유사하게, 일부 구현예들에서, 환원은 "환원성 서브-사이클"에서 반드시 일어나지는 않는다.
일부 구현예들에서, 질소 전구체는 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼 또는 플라즈마에서 발생된 다른 종으로 구성되는 군으로부터 선택될 수 있다.
일부 구현예들에서, 열적 ALD 방법은 불화물 막을 증착하기 위해 사용되고, N-전구체는 암모니아 또는 N2H4이다. 일부 구현예들에서, 플라즈마 ALD 방법이 사용되고, 전도성의 불화물 함유 막을 증착하기 위한 N-전구체는 질소 원자, 질소 함유 플라즈마, 또는 질소 라디칼을 포함한다.
이들 방법들에 대하여 기술된 공정 조건들이 유전체 전이금속 화합물 상을 포함하는 다른 막들의 증착에 적용될 수 있지만, TiF3를 포함하는 예시적 박막, TiN에 포함된 TiF3을 포함하는 박막의 증착을 위한 특정 공정 조건들 및 파라미터들이 제공된다.
일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, 유전체 전이금속 화합물 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다.
일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 매우 얇은 두께, 예컨대 약 3 nm 미만의 두께로 클로즈드된(여기서 클로즈드(closed)는, 예컨대 LEIS에 의해 판단되듯이, 하부에 있는 기판의 원자들이 최외부 표면에서 더 이상 검출되지 않음을 의미한다) 막을 증착하기 위해 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 전기적으로 연속적이도록, 즉 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 전류를 전도하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 층으로서 연속적이지만, 연속적인 소지(matrix)에서 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 일부 비연속적인 특징부, 예컨대 홀들을 포함하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 클로즈드되지 않고 연속적이지 않을 수도 있지만, 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 여전히 확산 장벽으로 작용하도록 선택된다.
일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 광활성인 막, 예컨대 전기 회로에서 전기 에너지를 생산하기 위해 하나 이상의 광양자의 방사 에너지를 흡수할 수 있는 막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 박막 내에 전위차를 생성하기 위해 박막으로 입사하는 광의 적어도 일부를 흡수하게 될 박막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 전기적으로 전도성이고 광을 투과하는, 예컨대 박막의 표면으로 입사하는 광의 적어도 일부가 박막을 통과하는 박막을 증착하도록 선택된다. 일부 구현예들에서, 유전체 전이금속 화합물 서브-사이클 대 환원성 서브-사이클의 비는 도파관으로 작용할 수 있는 박막, 예컨대 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 박막 내에 전자기파로서 전달할 수 있는 막을 증착하도록 선택된다.
일부 구현예들에서, 각 슈퍼-사이클에서 환원성 서브-사이클의 상대적인 수를 증가시키면, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막의 면저항 및/또는 비저항이 증가한다.
일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 약 200,000 Ω/sq 미만, 약 140,000 Ω/sq 미만, 약 20,000 Ω/sq 미만, 약 10,000 Ω/sq 미만, 약 1,000 Ω/sq 미만, 또는 심지어 약 1,000 Ω/sq 미만의 면저항을 가질 수 있다.
일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 약 107 μΩcm 미만의 층 비저항을 가질 수 있다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300℃ 미만에서 주변 공기에 의해 산화되지 않는다.
일부 구현예들에서, 본 개시에 따라 형성된 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 적어도 약 500 μΩcm, 적어도 약 1,000 μΩcm, 적어도 약 5,000 μΩcm, 또는 심지어 적어도 약 10,000 μΩcm의 층 비저항을 가질 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상 입자들을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다.
일부 구현예들에서, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 박막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다.
일부 구현예들에서, 본 개시에 따라 형성된, 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막은 산소를 함유하는 분위기에서 약 500℃ 미만, 약 400℃ 미만, 약 300℃ 미만, 또는 약 250℃ 미만의 온도에서 실질적으로 산화를 나타내지 않는다. 일부 구현예들에서, 막들은 주변 공기와 같은 산소 함유 분위기에서 상온 또는 외부에서 자연적으로 발생하는 온도, 예컨대 약 -50℃ 내지 약 50℃에서 연장된 기간 동안에도 산화에 저항성이다. 예를 들어, 일부 구현예들에 따르면, 본 방법들에 따라 형성된 막들은 6시간 초과, 바람직하게는 24시간 초과하는 동안에도 산화에 저항성일 수 있고, 일부 경우들에서, 막 조성에 따라서, 막들은 10일 초과, 바람직하게는 30일 초과, 그리고 일부 경우들에서, 원하는 경우, 1년 초과의 기간 동안에도 산화에 저항성일 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막들은 대기에서 10년 초과, 또는 20년 초과하는 동안에도 산화에 저항성일 수 있다. 예를 들어, 주변 공기에 대한 노출은 일부 특별한 적용들, 예컨대 금속 불화물을 포함하는 막이 수분/물도 포함할 수 있는 분위기에 대항하여 보호층으로 사용된다. 산소를 포함하는 다른 분위기는 산소 원자, 플라즈마 또는 라디칼, 오존, 물/수분, 또는 OH-기를 포함하는 다른 종을 포함할 수 있다.
금속 불화물을 포함하는 박막의 증착
위에서 언급되었고 아래에서 상세히 논의되듯이, 금속 불화물을 포함하는 막들, 예컨대 전도성 또는 반전도성 전이금속 화합물 상 에 포함된 금속 불화물 상을 포함하는 막들은 금속 불화물 증착 서브-사이클 및 환원성 서브-사이클을 사용하여 증착될 수 있다. 일부 구현예들에서, 금속은 Ti, Ta, Nb, Mo, 및 W로부터 선택될 수 있다. 두 개의 서브-사이클은 매끄럽고/매끄럽거나 나노결정성 막을 형성하기 위해 슈퍼-사이클에서 원하는 비율로 반복될 수 있다. 일부 구현예들에서, 금속 불화물을 포함하는 박막들과 같은, 박막들은 주상 결정립 구조를 갖지 않는다. 일부 구현예들에서, 박막들은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.
일부 구현예들에서, 증착 방법은 ALD 방법이다. 일부 구현예들에서, 증착 공정은 순차적이거나 주기적인 방법, 예컨대 ALD 방법과 동일한 전구체 및 조건 선택을 이용하는 순차적이거나 펄스화된 CVD 방법이다. 일부 구현예들에서, 증착 방법은 PECVD 방법이다. 일부 구현예들에서, 증착 방법은 LPCVD/RTCDV 방법이다. 일부 구현예들에서, 증착 방법은 자기-제한적이지 않은 단계를 가진다. 일부 구현예들에서, 상기 방법은 CVD 조건에 근접한 공정 조건 방식으로, 또는 경우에 따라 완전히 CVD 조건으로 작동할 수 있다.
일부 구현예들에서, 금속 불화물을 포함하는 박막은 다수의 슈퍼-사이클을 포함할 수 있는 방법에 의해 증착되는 데, 여기서 각 슈퍼-사이클은 적어도 하나의 MF(금속 불화물) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 각 슈퍼-사이클에서 MF 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 불화물 함유 막을 증착하기 위해 선택될 수 있다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 균질한 전도성 박막, 예컨대 금속 불화물을 포함하는 막과 같은 균질한 전도성 박막이 형성되도록 제한되고, 여기서 MF와 MN의 뚜렷한 층들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보이지 않는다. 일부 구현예들에서, 슈퍼-사이클에서 연속적으로 수행되는 각 서브-사이클의 수는 전도성 또는 반전도성 전이금속 화합물 상(MN)에 포함된 유전체 전이금속 불화물 상(MF)을 포함하는 막이 형성되도록 제한되고, 여기서 뚜렷한 MF 입자들은, 예컨대 TEM 또는 SEM 단면 이미지에서 보일 수 있다.
슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(MF) + c(환원제+질소 화합물), 여기서 MF는 MxFy 서브-사이클을 나타내고, b는 각 슈퍼-사이클에서 DM 서브-사이클들의 수이고; c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고; a는 슈퍼-사이클들의 수이다. 금속 불화물 대 환원성 서브-사이클의 비는 b:c로서 주어질 수 있다.
제1 및 제2 증착 서브-사이클(b와 c)은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 제1 금속 불화물 증착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 금속 불화물 흡착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.5, 약 1, 약 3, 약 5, 약 10, 약 20, 약 40 또는 약 50이다.
일부 구현예들에서, 제1 금속 불화물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 비(b:c)는 이 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 금속 불화물 흡착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 서브-사이클에서 가변될 수 있다. 막 내에 원하는 양의 금속, 불화물, 및 질소를 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율을 선택할 수 있다.
제1 금속 불화물 흡착 서브-사이클 및 제2 환원성 서브-사이클로서 지칭되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성 서브-사이클로 시작하고, (원하는 회수를 반복한 후에) 그런 다음 금속 불화물 흡착 서브-사이클(다른 슈퍼-사이클을 시작하기 전에 원하는 회수가 반복될 수 있음)이 수행된다.
일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(MF+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 MF 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클의 비는 b:c로서 주어질 수 있다.
일부 구현예들에서, 금속 또는 M은 Ti, Ta, Nb, Mo, 또는 W를 포함한다.
일부 구현예들에서, 상기 환원제는 실란 또는 보란을 포함한다. 일부 구현예들에서, 상기 환원제는 실란, 디실란 또는 트리실란이다. 일부 구현예들에서, 상기 환원제는 보란, 디보란 또는 트리보란이다. 위에서 언급된 바와 같이, "환원제"로서 지칭되지만, 일부 구현예들에서, 실제 화학적 환원이 일어나는 것은 필요하지 않다. 유사하게, 일부 구현예들에서, 환원은 "환원성 서브-사이클"에서 반드시 일어나지는 않는다.
일부 구현예들에서, 질소 전구체는 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼 또는 플라즈마에서 발생된 다른 종으로 구성되는 군으로부터 선택될 수 있다.
일부 구현예들에서, 열적 ALD 방법은 불화물 막을 증착하기 위해 사용되고, N-전구체는 암모니아 또는 N2H4이다. 일부 구현예들에서, 플라즈마 ALD 방법이 사용되고, 전도성의 불화물 함유 막을 증착하기 위한 N-전구체는 질소 원자, 질소 함유 플라즈마, 또는 질소 라디칼을 포함한다.
이들 방법들에 대하여 기술된 공정 조건들이 불화물을 포함하는 다른 막들의 증착에 적용될 수 있지만, TiF3를 포함하는 예시적 박막, TiN에 포함된 TiF3을 포함하는 박막의 증착을 위한 특정 공정 조건들 및 파라미터들이 제공된다.
일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, 금속 불화물 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다.
일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 매우 얇은 두께, 예컨대 약 3 nm 미만의 두께로 클로즈드된(여기서 클로즈드(closed)는, 예컨대 LEIS에 의해 판단되듯이, 하부에 있는 기판의 원자들이 최외부 표면에서 더 이상 검출되지 않음을 의미한다) 막을 증착하기 위해 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 전기적으로 연속적이도록, 즉 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 전류를 전도하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 층으로서 연속적이지만, 연속적인 소지(matrix)에서 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 일부 비연속적인 특징부, 예컨대 홀들을 포함하도록 선택된다. 일부 구현예들에서, 서브-사이클의 비는, 막이 클로즈드되지 않고 연속적이지 않을 수도 있지만, 매우 얇은 두께, 예컨대 약 3 nm 미만, 약 2 nm 미만, 약 1.5 nm 미만, 심지어 약 1,0 nm 미만의 두께에서 여전히 확산 장벽으로 작용하도록 선택된다.
일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 광활성인 막, 예컨대 전기 회로에서 전기 에너지를 생산하기 위해 하나 이상의 광양자의 방사 에너지를 흡수할 수 있는 막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 박막 내에 전위차를 생성하기 위해 박막으로 입사하는 광의 적어도 일부를 흡수하게 될 박막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 전기적으로 전도성이고 광을 투과하는, 예컨대 박막의 표면으로 입사하는 광의 적어도 일부가 박막을 통과하는 박막을 증착하도록 선택된다. 일부 구현예들에서, 금속 불화물 서브-사이클 대 환원성 서브-사이클의 비는 도파관으로 작용할 수 있는 박막, 예컨대 박막의 표면으로 입사하는 변조된 광 빔에 포함된 정보를 박막 내에 전자기파로서 전달할 수 있는 막을 증착하도록 선택된다.
일부 구현예들에서, 각 슈퍼-사이클에서 환원성 서브-사이클의 수를 증가시키면, 금속 불화물 막의 면저항 및/또는 비저항이 증가한다.
일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 약 200,000 Ω/sq 미만, 약 140,000 Ω/sq 미만, 약 20,000 Ω/sq 미만, 약 10,000 Ω/sq 미만, 약 1,000 Ω/sq 미만, 또는 심지어 약 1,000 Ω/sq 미만의 면저항을 가질 수 있다.
일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 약 107 μΩc 미만의 층 비저항을 가질 수 있다. 일부 구현예들에서, 상기 박막은 약 500 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 5Х103 μΩcm 내지 약 5Х106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 104 μΩcm 내지 약 106 μΩcm의 층 비저항을 가진다. 일부 구현예들에서, 상기 박막은 약 300℃ 미만에서 주변 공기에 의해 산화되지 않는다.
일부 구현예들에서, 본 개시에 따른 불화물 함유 막은 적어도 약 500 μΩcm, 적어도 약 1,000 μΩcm, 적어도 약 5,000 μΩcm, 또는 심지어 적어도 약 10,000 μΩcm 의 층 비저항을 가질 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 불화물 함유 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 금속 불화물 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 금속 불화물 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 금속 불화물 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들은 직경이 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 금속 불화물 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 금속 불화물 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 금속 불화물 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다.
일부 구현예들에서, 불화물 함유 막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, 불화물 함유 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다.
일부 구현예들에서, 본 개시에 따라 형성된, 금속 불화물을 포함하는 막은 산소를 함유하는 분위기에서 약 500℃ 미만, 약 400℃ 미만, 약 300℃ 미만, 또는 약 250℃ 미만의 온도에서 실질적으로 산화를 나타내지 않는다. 일부 구현예들에서, 막들은 주변 공기와 같은 산소 함유 분위기에서 상온 또는 외부에서 자연적으로 발생하는 온도, 예컨대 약 -50℃ 내지 약 50℃에서 연장된 기간 동안에도 산화에 저항성이다. 예를 들어, 일부 구현예들에 따르면, 본 방법들에 따라 형성된 막들은 6시간 초과, 바람직하게는 24시간 초과하는 동안에도 산화에 저항성일 수 있고, 일부 경우들에서, 막 조성에 따라서, 막들은 10일 초과, 바람직하게는 30일 초과, 그리고 일부 경우들에서, 원하는 경우, 1년 초과의 기간 동안에도 산화에 저항성일 수 있다. 일부 구현예들에서, 본 개시에 따라 형성된 막들은 대기에서 10년 초과, 또는 20년 초과하는 동안에도 산화에 저항성일 수 있다. 예를 들어, 주변 공기에 대한 노출은 일부 특별한 적용들, 예컨대 금속 불화물을 포함하는 막이 수분/물도 포함할 수 있는 분위기에 대항하여 보호층으로 사용된다. 산소를 포함하는 다른 분위기는 산소 원자, 플라즈마 또는 라디칼, 오존, 물/수분, 또는 OH-기를 포함하는 다른 종을 포함할 수 있다.
전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 ALD 증착
위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 불화물 화합물을 포함하는 박막과 같은 유전체 전이금속 화합물 상을 포함하는 막들을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각 슈퍼-사이클은 적어도 하나의 유전체 전이금속 화합물 상(DM) 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. DM 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 유전체 전이금속 화합물, 예컨대 금속 불화물에 노출된다. 환원성 서브-사이클에서, 기판은 환원제, 예컨대 실란 또는 보란 및 질소 반응물에 노출된다. DM 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 유전체 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. DM 서브-사이클은 환원성 서브-사이클보다 먼저 수행될 수 있고 그 역도 가능하다. 유사하게, 환원성 사이클에서, 환원제는 질소 반응물보다 먼저 제공될 수 있고 그 역도 가능하다.
도 1은 다수의 ALD 슈퍼-사이클(100)을 포함하는 반응 챔버에서 유전체 전이금속 화합물 상 및 전도성 또는 반전도성 전이금속 화합물 상을 포함하는 막을 기판 상에 형성하기 위한 ALD 방법을 도시한다. 각 슈퍼-사이클은 제1 DM 증착 서브-사이클(200) 및 제2 환원성 서브-사이클(300)을 포함한다. 슈퍼-사이클(100)은 원하는 두께의 박막을 증착하기 위해 원하는 횟수만큼 반복된다. 슈퍼-사이클(100) 내 서브-사이클들(200과 300) 사이의 비는 원하는 조성 및 특성을 갖는 막을 얻기 위해 선택될 수 있다.
제1 유전체 전이금속 화합물 증착 서브-사이클은:
기화된 유전체 전이금속 화합물, 예컨대 금속 불화물을 반응 챔버 내에 펄스화하여 상기 유전체 전이금속 화합물의 최대 단분자층을 기판 상에 형성하는 단계(210);
상기 반응 챔버를 퍼징하여 과량의 유전체 전이금속 화합물 및 반응 부산물이 있으면 이들을 제거하는 단계(220); 및
펄스화 단계 및 퍼징 단계를 반복하는 단계(250)를 포함한다.
일부 구현예들에서, 제1 증착 서브-사이클은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제1 증착 서브-사이클은 약 30~60회 내에서 연속적으로, 약 30회 내지 약 50회까지 연속적으로, 또는 약 40회까지 연속적으로 반복된다.
박막을 형성하기 위한 원자층 증착 슈퍼-사이클(100)은 또한 하나 이상의 제2 환원성 서브-사이클(300)을 포함한다. 일부 구현예들에서, 제2 환원성 서브-사이클(300)은:
기화된 환원제, 예컨대 디실란 또는 트리실란을 반응 챔버 내로 펄스화하여 흡착된 유전체 전이금속 화합물의 적어도 일부를 환원시키는 단계(310);
반응 챔버를 퍼징하여 과량의 환원제 및 반응 부산물이 있으면 이들을 제거하는 단계(320);
NH3과 같은 질소 반응물의 펄스를 반응 챔버 내로 선택적으로 제공하는 단계(330);
반응 챔버를 선택적으로 퍼징하여 과량의 질소 반응물 및 임의의 기체 부산물을 제거하는 단계(340); 및
적어도 펄스화 단계(310) 및 퍼징 단계(320)를 반복하는 단계(350)를 포함한다.
일부 구현예들에서, 제2 환원성 서브-사이클(300)은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제2 환원성 서브-사이클은 약 3 내지 6회, 또는 약 5회 반복된다.
제1 및 제2 서브-사이클(200, 300)은 완전한 ALD 슈퍼-사이클(100)에서 다수회 반복되고, 완전한 ALD 슈퍼-사이클(100)은 원하는 농도의 유전체 전이금속 화합물 상을 포함하는 원하는 두께의 박막을 형성하기 위해 반복된다.
일부 구현예들에서, 제1 증착 서브-사이클(200)과 제2 환원성 서브-사이클(300)이 반복되는 횟수는 각각의 완전한 ALD 슈퍼-사이클(100)에서 동일하다. 다른 구현예들에서, 제1 및 제2 서브-사이클(100, 200)의 횟수는 하나 이상의 완전한 ALD 슈퍼-사이클(100)에서 변한다. 각각의 완전한 ALD 슈퍼-사이클(100)에서 제1 및 제2 서브-사이클(100, 200)의 수 및 제1 및 제2 서브-사이클(100, 200)과 전체 ALD 슈퍼-사이클(100)의 총 수는 원하는 두께 및 조성의 박막을 증착하기 위해 조절될 수 있다.
제1 증착 서브-사이클(200)로 시작하는 것으로 도시되어 있지만, 각각의 완전한 ALD 사이클은 제1 서브-사이클(100) 또는 제2 서브-사이클(200) 중 어느 하나로 시작하여 끝날 수 있다. 예를 들어, 박막을 형성하기 위한 각각의 ALD 슈퍼-사이클은 제1 유전체 전이금속 화합물 증착 서브-사이클 또는 환원성 서브-사이클로 시작될 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성서브-사이클로 시작될 수 있다.
일부 구현예들에서, 500 nm 이하의 등각성 박막을 형성하기 위해 박막은 기판 표면 상에 ALD에 의해 증착된다. 일부 구현예들에서, 상기 박막의 두께는 100 nm 미만, 약 50 nm 미만, 약 10 nm 미만이다. 적용에 따라서, 두께는 훨씬 얇을 수 있는데, 예컨대 약 2 내지 약 50 Å, 바람직하게는 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, TiF3을 포함하는 막이 광전극으로 사용될 때, 이 막의 두께는 약 30 nm일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다.
본 발명의 범주를 벗어나지 않고서 위에서 설명된 방법들 및 구조들에 대한 다양한 변경, 생략, 및 추가가 이루어질 수 있다. 이러한 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.
전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 PVD 증착
일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 화합물 재료를 포함하는, 본원에 기술된 바와 같은 박막들은 물리적 기상 증착(PVD)형 방법에 의해 증착될 수 있다. 일부 구현예들에서, 유전체 전이금속 화합물 재료 및 전도성 또는 반전도성 전이금속 화합물 재료를 포함하는 박막은 반응성 스퍼터링 증착 방법에 의해 증착될 수 있다. 일부 구현예들에서, 반응성 스퍼터링 방법은 전이금속 원소를 포함하는 타겟을 사용하는 단계를 포함할 수 있다. 예를 들어, 타겟은 티타늄 타겟과 같은 전이금속 타겟을 포함할 수 있다. 일부 구현예들에서, 증착 방법은 질소, 불소 및/또는 산소 종을 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다.
일부 구현예들에서, 상기 유전체 전이금속 화합물은 고체 전이금속 불화물, 전이금속 산화물, 또는 전이금속 산불화물 또는 이들 중 하나 이상의 혼합물을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 재료는 TiF3을 포함할 수 있다.
일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 재료는 전이금속 질화물을 포함할 수 있다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료는 TiN을 포함할 수 있다.
일부 구현예들에서, 상기 박막은 스퍼터링 방법, 예컨대 반응성 스퍼터링 방법을 사용하여 증착된다. 일부 구현예들에서, 상기 스퍼터링 방법은 질소 및/또는 불소를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 분위기는 질소 함유종 및/또는 불소 함유종을 포함할 수 있다. 일부 구현예들에서, 상기 분위기는, 예컨대 N2, NH3, 및/또는 F2를 포함할 수 있다.
일부 구현예들에서, TiN 내에 TiF3을 포함하는 박막은 스퍼터링 방법, 예컨대 반응성 스퍼터링 방법으로 증착된다. 일부 구현예들에서, 상기 스퍼터링 방법은 N2 및/또는 F2를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다. 일부 구현예들에서, 상기 스퍼터링 방법은 NH3 및/또는 F2를 포함하는 분위기에서 플라즈마를 발생시키는 단계를 포함할 수 있다.
일부 구현예들에서, 상기 분위기의 조성은 증착 방법 동안 변할 수 있다. 예를 들어, ?호 함유종 및 불소 함유종의 농도는 증착 방법 전체에 걸쳐서 변할 수 있다. 일부 구현예들에서, 상기 분위기는 질소 함유종을 포함할 수 있고 불소 함유종을 포함하지 않을 수 있다. 일부 구현예들에서, 상기 분위기는 불소 함유종을 포함할 수 있고 질소 함유종을 포함하지 않을 수 있다. 일부 구현예들에서, 증착 방법 동안에, 상기 분위기는 상기 증착 방법의 적어도 일부 동안 질소 함유종을 포함할 수 있고 불소 함유종을 포함하지 않을 수 있으며, 상기 증착 방법의 적어도 상이한 일부 동안, 예컨대 증착 방법의 초기 또는 나중 부분 동안 불소 함유종을 포함할 수 있고 질소 함유종을 포함하지 않을 수 있다.
전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 재료를 포함하는 박막의 ALD 증착
위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 재료 내에 유전체 전이금속 화합물 재료를 포함하는 박막을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각 슈퍼-사이클은 적어도 하나의 전이금속 화합물 서브-사이클 및 적어도 하나의 제2 서브-사이클, 예컨대 환원성 서브-사이클을 포함한다. 상기 전이금속 화합물 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 전이금속 화합물에 노출된다. 환원성 서브-사이클과 같은 상기 제2 서브-사이클에서, 기판은 다른 반응물들, 예컨대 실란 또는 보란과 같은 환원제 및/또는 질소 반응물과 같은 제3 반응물에 노출된다. 전이금속 화합물 서브-사이클과 제2 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 전이금속 화합물 상을 포함하는 막을 증착하기 위해 선택될 수 있다. 상기 전이금속 화합물 서브-사이클은 제2 서브-사이클보다 먼저 진행될 수 있고, 그 역도 가능하다. 유사하게, 환원성 서브-사이클과 같은 제2 서브-사이클에서, 환원제는 제3 반응물, 예컨대 질소 반응물보다 먼저 제공될 수 있고, 그 역도 가능하다.
TIF 3 포함하는 막의 ALD 증착
위에서 언급된 바와 같이, 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상, 예컨대 TiN 내에 TiF3을 포함하는 막, 예컨대 TiFx 화합물, 예컨대 TiF3을 포함하는 박막을 증착하기 위한 원자층 증착 방법은 다수의 슈퍼-사이클을 포함할 수 있고, 각각의 슈퍼-사이클은 적어도 하나의 TiF4 서브-사이클 및 적어도 하나의 환원성 서브-사이클을 포함한다. 상기 TiF4 서브-사이클에서, 기판 표면 상에 단층 정도까지 흡착되도록 기판은 기상 TiF4에 노출된다. 환원성 서브-사이클에서, 기판은 환원제, 예컨대 실란 또는 보란 및 질소 반응물에 노출된다. TiF4 서브-사이클과 환원성 서브-사이클의 비는 원하는 조성을 이루기 위해 가변될 수 있고, 슈퍼-사이클의 수는 원하는 두께의 티타늄 불화물을 포함하는 막을 증착하기 위해 선택될 수 있다. TiF4 서브-사이클은 환원성 서브-사이클보다 먼저 수행될 수 있고 그 역도 가능하다. 유사하게, 환원성 사이클에서, 환원제는 질소 반응물보다 먼저 제공될 수 있고 그 역도 가능하다.
일부 구현예들에서, TiF4 서브-사이클은 환원제, 예컨대 실란 화합물 또는 보란 화합물을 포함할 수 있다. 그리고, 일부 구현예들에서, 제2 서브-사이클은 실란 또는 보란 화합물을 포함하지 않는다.
슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(티타늄 불화물) + c(환원제+질소 화합물), 여기서 (티타늄 불화물)은 TiF4 서브-사이클을 나타내고 b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이고; (환원제+질소 화합물)은 환원성 서브-사이클을 나타내고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이고; a는 슈퍼-사이클들의 수이다. 슈퍼-사이클에서 TiF4 서브-사이클이 먼저 오는 것으로 도시되지만, 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서, 환원성 서브-사이클이 먼저 온다. 따라서, 일부 구현예들에서, TiF4 서브-사이클은 제1 서브-사이클로 여겨질 수 있고 환원성 서브-사이클은 제2 서브-사이클로 여겨질 수 있는 데, 반면에 일부 구현예들에서, 환원성 서브-사이클은 제1 서브-사이클로 여겨질 수 있고 TiF4 서브-사이클은 제2 서브-사이클로 여겨질 수 있다.
일부 구현예들에서, 슈퍼-사이클은 다음과 같이 기재될 수 있고:
a[b(TiF4+환원제)+c(질소 반응물)], b는 각 슈퍼-사이클에서 TiF4 서브-사이클들(환원제를 포함)의 수이고; c는 각 슈퍼-사이클에서 질소 반응물 서브-사이클들의 수이고, a는 슈퍼-사이클들의 수이다. 금속 불화물 대 질소 서브-사이클의 비는 b:c로서 주어질 수 있다.
일부 구현예들에서, 환원제는 보란 또는 실란, 예컨대 디보란, 트리보란, 디실란, 또는 트리실란일 수 있다. 일부 구현예들에서, 상기 환원제는 디실란이다. 일부 구현예들에서, 상기 환원제는 트리실란이다. 일부 구현예들에서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마 또는 질소 라디칼일 수 있다.
일부 구현예들에서, 슈퍼-사이클은 a[b(TiF4) + c(Si2H6+NH3)]로 기재될 수 있는 데, b는 각 슈퍼-사이클에서 TiF4 서브-사이클들의 수이고, c는 각 슈퍼-사이클에서 환원성 서브-사이클들의 수이며, a는 슈퍼-사이클들의 수이다.
따라서, TiF4 서브-사이클 대 환원성 서브-사이클의 비는 b:c (또는 TiF4:환원성)로 주어질 수 있다. 일부 구현예들에서, ALD 방법의 각 ALD 슈퍼-사이클에서 서브-사이클들의 비는 일정하다. 다른 구현예들에서, 하나 이상의 슈퍼-사이클에서 서브-사이클들의 비는 변할 수 있다. 달리 나타내지 않으면, 서브-사이클들의 비가 본원에 제공될 때, 그 비는 다수의 슈퍼-사이클을 포함하는 완전한 ALD 방법에서 서브-사이클들의 비를 지칭한다.
일부 구현예들에서, 제1 및 제2 증착 서브-사이클은 동일한 반응 온도에서 수행된다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 중 하나 또는 둘 모두에 대한 증착 온도는 약 100℃ 내지 약 700℃, 약 200℃ 내지 약 500℃, 약 250℃ 내지 약 400℃, 또는 약 325℃ 내지 약 375℃이다. 일부 구현예들에서, TiF4 및 환원성 서브-사이클 둘 다 약 350℃에서 수행된다.
일부 구현예들에서, 제1 및 제2 서브-사이클은 동일한 반응기에서 수행된다.
제1 및 제2 서브-사이클은 원하는 조성 및 원하는 특성을 갖는 막을 증착하기 위해 선택된 비율로 제공될 수 있다. 예를 들어, 일부 구현예들에서, 하나 이상의 ALD 슈퍼-사이클에서 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 비는 약 0.01 내지 약 100, 약 0.05 내지 약 50, 또는 약 0.1 내지 약 1일 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 1 미만이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 3이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 1 내지 약 50, 약 3 내지 약 30 또는 약 5 내지 약 20이다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클에서 TiF4 증착 서브-사이클 대 환원성 서브-사이클의 비는 약 0.01, 약 0.2, 약 0.3, 약 0.4, 약 0.5, 약 0.6, 약 0.8 또는 약 1이다.
위에서 언급된 바와 같이, 서브-사이클들의 비는 원하는 조성 및 원하는 막 특성들을 이루기 위해 선택될 수 있다. 일부 구현예들에서, TiF4 서브-사이클에 비해 환원성 서브-사이클의 수를 증가시킴으로써, 더 많은 비율의 TiF4가 TiF3로 변환된다. 일부 구현예들에서, TiF4 대 환원성 서브-사이클의 비는 증착된 막의 면저항 및/또는 비저항을 증가시키기 위해 증가된다.
일부 구현예들에서, 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 비는 이 ALD 방법에서 수행된 완전한 슈퍼-사이클들 모두에서 동일하다. 다른 구현예들에서, 제1 TiF4 증착 서브-사이클 대 제2 환원성 서브-사이클의 특정 비는 상이한 완전 ALD 서브-사이클에서 가변될 수 있다. 막 내에 원하는 양의 티타늄, 불화물, 및 질소를 제공하여 원하는 특성을 갖는 막을 얻기 위하여 당업자는 특정 비율을 선택할 수 있다.
일부 구현예들에서, 증착되는 TiF3를 포함하는 막은 전도성 막이다. 일부 구현예들에서, TiF3을 포함하는 막이 증착되는 데, 이 막은 막 내에 불소를 포함하지 않는, 공지된 기상 증착 방법에 의해 증착된 TiN 막, 예컨대 ALD에 의해 증착된 TiN막보다 더 높은 산화 저항성을 가진다(예컨대, 주변 공기에서 300℃에서 측정될 때).
일부 구현예들에서, TiF3을 포함하는 전도성 막은 형성되는 데, 이 막은 환원성 화합물로부터 일부 실리콘 또는 보론을, 그리고 질소 반응물로부터 일부 질소를 포함한다. 예를 들어, 일부 구현예들에서, TiF3을 포함하는 전도성 박막이 증착되는 데, 이 박막은 일부 Si 및 일부 N을 함유한다.
일부 구현예들에서, 실란은 환원제로 사용되고 TiF3을 포함하는 막 또한 소량의 실리콘을 포함한다. 예를 들어, 일부 구현예들에서, 실리콘 함량은 약 15 at% 내지, 바람직하게는 약 0.01 내지 약 10 at%, 보다 바람직하게는 약 0.1 내지 약 5 at%, 그리고 가장 바람직하게는 약 0.1 내지 약 2 at% 범위일 수 있다. 일부 구현예들에서, 실리콘 함량은 바람직하게는 약 1.5 at% 미만이다.
일부 구현예들에서, 보란은 환원제로 사용되고 TiF3을 포함하는 막 또한 소량의 보론을 포함한다. 예를 들어, 일부 구현예들에서, 보론 함량은 약 15 at% 미만, 약 0.01 내지 약 10 at%, 약 0.1 내지 약 5 at%, 또는 약 0.1 내지 약 2 at% 범위일 수 있다. 일부 구현예들에서, 보론 함량은 바람직하게는 약 1.5 at% 미만이다.
일부 구현예들에서, TiF3를 포함하는 막들은 소량의 질소 또한 포함한다. 예를 들어, 일부 구현예들에서, 질소 함량은 약 0.5 내지 약 50 at%, 약 1~20 at%, 또는 약 2 내지 약 15 at% 범위일 수 있다.
일부 구현예들에서, 막들은 불소를 약 10 at% 초과, 바람직하게는 약 20 내지 약 75 at%, 약 40 내지 약 70 at%, 또는 약 45 내지 약 65 at%의 양으로 포함한다.
일부 구현예들에서, TiF3을 포함하는 막들은 약 1 at% 미만의 산소를 포함한다.
일부 구현예들에서, TiF3을 포함하는 증착된 막은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 TiF3 입자들을 포함한다. 일부 구현예들에서, 상기 TiF3 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 TiF3 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상으로 둘러싸인 이산 입자들을 포함한다. 일부 구현예들에서, 상기 TiF3 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 TiF3 입자들은 직경이 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 TiF3 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 TiF3 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 TiF3 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 증착된 박막의 두께 전체에 걸쳐서 실질적으로 연장된다.
일부 구현예들에서, TiF3을 포함하는 막은 실리콘을 포함하는 기판 상에 증착된다. 일부 구현예들에서, TiF3을 포함하는 막은 Si, SiGe Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반도체 재료 중 적어도 하나를 포함하는 기판 상에 증착된다.
도 2는 다수의 ALD 슈퍼-사이클(101)을 포함하는 증착 챔버 내에서 TiF3을 포함하는 막을 기판 상에 형성하기 위한 ALD 방법을 도시한다. 각 슈퍼-사이클은 제1 TiF4 증착 서브-사이클(201) 및 제2 환원성 서브-사이클(301)을 포함한다. 슈퍼-사이클(100)은 원하는 두께의 TiF3 막을 증착하기 위해 원하는 횟수만큼 반복된다. 슈퍼-사이클(101) 내 서브-사이클들(201과 301) 사이의 비는 원하는 조성 및 특성을 갖는 막을 얻기 위해 선택될 수 있다.
상기 제1 티타늄 불화물 증착 서브-사이클은:
기화된 TiFx, 예컨대 TiF4를 반응 챔버 내로 펄스화하여 티타늄 불화물의 최대 단분자층을 기판 상에 형성하는 단계(211);
반응 챔버를 퍼징하여 과량의 티타늄 불화물 및 반응 부산물이 있으면 이들을 제거하는 단계(221); 및
펄스화 단계 및 퍼징 단계를 반복하는 단계(251)를 포함한다.
일부 구현예들에서, 제1 증착 서브-사이클은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제1 증착 서브-사이클은 약 30~60회 내에서 연속적으로, 약 30회 내지 약 50회까지 연속적으로, 또는 약 40회까지 연속적으로 반복된다.
TiF3/TiN 막을 형성하기 위한 원자층 증착 슈퍼-사이클(101)은 또한 하나 이상의 제2 환원성 서브-사이클(301)을 포함한다. 일부 구현예들에서, 제2 환원성 서브-사이클(301)은:
기화된 환원제, 예컨대 디실란 또는 트리실란을 반응 챔버 내로 펄스화하여 TiF4 내지 TiF3의 적어도 일부를 환원시키는 단계(311);
반응 챔버를 퍼징하여 과량의 환원제 및 반응 부산물이 있으면 이들을 제거하는 단계(321);
NH3와 같은 질소 반응물의 펄스를 반응 챔버 내로 제공하는 단계로서, 상기 질소 반응물은 적어도 일부 질소가 상기 티타늄 불화물 막에 기여하도록 하는 단계(331);
반응 챔버를 퍼징하여 과량의 질소 반응물 및 임의의 기체 부산물을 제거하는 단계(341); 및
펄스화 단계 및 퍼징 단계를 반복하는 단계(351)를 포함한다.
일부 구현예들에서, 제2 환원성 서브-사이클(301)은 1, 2, 3, 4, 5, 10, 20, 50, 100회 이상 연속해서 반복된다. 일부 구현예들에서, 제2 환원성 서브-사이클은 약 3 내지 6회, 또는 약 5회 반복된다.
제1 및 제2 서브-사이클(201, 301)은 완전한 ALD 슈퍼-사이클(101)에서 다수회 반복되고, 완전한 ALD 슈퍼-사이클(101)은 원하는 농도의 티타늄, 불화물, 및 질소를 포함하는 원하는 두께의 TiF3 막을 형성하기 위해 반복된다.
일부 구현예들에서, 제1 증착 서브-사이클(201)과 제2 환원성 서브-사이클(301)이 반복되는 횟수는 각각의 완전한 ALD 슈퍼-사이클(100)에서 동일하다. 다른 구현예들에서, 제1 및 제2 서브-사이클(101, 201)의 횟수는 하나 이상의 완전한 ALD 슈퍼-사이클(101)에서 변한다. 각각의 완전한 ALD 슈퍼-사이클(101)에서 제1 및 제2 서브-사이클(101, 201)의 수 및 제1 및 제2 서브-사이클(101, 201)과 전체 ALD 슈퍼-사이클(101)의 총 수는 원하는 두께 및 조성의 TiF3/TiN 막을 증착하기 위해 조절될 수 있다.
제1 증착 서브-사이클(201)로 시작하는 것으로 도시되어 있지만, 각각의 완전한 ALD 사이클은 제1 서브-사이클(101) 또는 제2 서브-사이클(201) 중 어느 하나로 시작하여 끝날 수 있다. 예를 들어, 박막을 형성하기 위한 각각의 ALD 슈퍼-사이클은 제1 티타늄 불화물 증착 서브-사이클 또는 환원성 서브-사이클로 시작될 수 있다. 일부 구현예들에서, 하나 이상의 슈퍼-사이클은 환원성서브-사이클로 시작될 수 있다.
일부 구현예들에서, 500 nm 이하의 등각성 박막을 형성하기 위해 TiF3을 포함하는 막이 ALD에 의해 기판 상에 증착된다. 일부 구현예들에서, 상기 박막의 두께는 100 nm 미만, 약 50 nm 미만, 약 10 nm 미만이다. 적용에 따라서, 두께는 훨씬 얇을 수 있는데, 예컨대 약 2 내지 약 50 Å, 바람직하게는 약 3 내지 약 30 Å, 그리고 일부 경우에는 약 5 내지 약 20 Å일 수 있다. 일부 구현예들에서, TiF3을 포함하는 막이 광전극으로 사용될 때, 이 막의 두께는 약 30 nm일 수 있다. 일부 구현예들에서, 상기 박막은 약 100 nm 초과, 약 1 ㎛ 초과, 또는 일부 예에서 약 1 mm를 초과하는 두께를 가질 수 있다.
일부 구현예들에서, TiF3을 포함하는 막은 산소 또는 물/수분 함유 분위기, 예컨대 약 300℃를 초과하는 온도에서 주변 공기에서 단지 산화되기 시작하여 형성된다.
본 발명의 범주를 벗어나지 않고서 위에서 설명된 방법들 및 구조들에 대한 다양한 변경, 생략, 및 추가가 이루어질 수 있다. 이러한 모든 변형 및 변경은 첨부된 청구범위에 의해 정의된 본 발명의 범주에 속하는 것으로 의도된다.
광전 소자
본원에 기술된 방법들과 재료들은 광기전력 또는 광전 소자, 예컨대 태양 전지 또는 도파관 소자에 사용하기 위한 광활성 또는 다른 원하는 특성들을 갖는 막을 제공할 수 있다. 일부 구현예들에 따르면, 광전 소자 제조 동안, 적절한 기판, 예컨대 p-형 실리콘 기판 상에 개시된 방법들에 의해 복합 박막들이 증착된다.
일부 구현예들에서, 본원에 기술된 바와 같은 박막 또는 광전 소자는 태양으로부터의 복사에 해당하는 적어도 광의 파장들에서 작동하거나 활성화될 수 있다. 일부 구현예들에서, 박막 또는 광전 소자는 적어도 약 100 nm 내지 약 3000 nm의 광 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광전 소자는 적어도 가시광의 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광전 소자는 적어도 약 350 nm 초과 또는 약 500 nm를 초과하는 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광전 소자는 가시광 스펙트럼의 적어도 적색에 해당하는 파장에서 작동하거나 활성화된다. 일부 구현예들에서, 박막 또는 광전 소자는, 당업자에게 알려져 있듯이, 전형적인 태양 전지가, 예컨대 약 532 nm, 및/또는 약 630 nm 내지 약 680 nm 등에서 작동할 수 있는 적어도 그러한 방사 파장에서 작동하거나 활성화된다.
일부 구현예들에서, 광전 소자는 제1 전도성 또는 반전도성 전이금속층, 상기 제1층 위에 위치된 제2 반전도성층, 및 상기 제2층 위에 위치된 제3 복합막층을 포함할 수 있다. 일부 구현예들에서, 상기 층들은 고체층이다. 일부 구현예들에서, 상기 층들은 액체를 포함하지 않는다.
일부 구현예들에서, 상기 제1 전도성 또는 반전도성 전이금속층은 광전 소자를 위한 전기 접점으로서 작용할 수 있다. 일부 구현예들에서, 상기 제1층은 전도성 또는 반전도성 전이금속 산화물 또는 질화물을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 Ti, Ta, Nb, Mo, 및 W로부터 선택되는 금속을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 TiN을 포함할 수 있다. 일부 구현예들에서, 상기 제1층은 고체이다. 일부 구현예들에서, 상기 제1층은 액체가 아니다. 일부 구현예들에서, 상기 제1층의 두께는 500 nm 미만이다. 일부 구현예들에서, 상기 제1층의 두께는 100 nm 미만, 바람직하게는 약 50 nm 미만이다. 일부 구현예들에서, 상기 제1층의 두께는 45 nm이다.
일부 구현예들에서, 상기 제2층은 Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반전도성 재료, 예컨대 III-V 또는 II-VI 재료들 중 적어도 하나를 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 p+-형 실리콘을 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 산화물층을 더 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 최상부 표면, 바닥면, 또는 최상부 표면과 바닥면 양쪽 위에 산화물층, 예컨대 SiO2를 포함할 수 있다. 일부 구현예들에서, 상기 산화물층 또는 층들은 자연산화물 또는 열적 산화물을 포함할 수 있다. 일부 구현예들에서, 상기 제2층은 고체이다. 일부 구현예들에서, 상기 제2층은 액체가 아니다. 일부 구현예들에서, 상기 산화물층 또는 층들은 두께가 약 50 nm 미만, 바람직하게는 두께가 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 산화물층 또는 층들은 두께가 약 10 nm 미만, 약 5 nm 미만, 또는 약 3 nm 미만일 수 있다. 일부 구현예들에서, 상기 제2층은 최상부 표면, 바닥면, 또는 최상부 표면과 바닥면 양쪽 위에 산화물을 포함하지 않을 수 있다.
일부 구현예들에서, 상기 제3층은 본원에 개시된 바와 같은 박막을 포함할 수 있다. 일부 구현예들에서, 상기 제3 복합막층은 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상의 전이금속은 Ti, Ta, Nb, Mo 및 W 중 하나로부터 선택될 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 다음 재료들을 포함하는 목록으로부터 선택될 수 있다: TiF3, Cr2O3, NiO, WO3, Ti2O3, TiOF2, NbO2F, NbO3 - xFx, NbOx /2F3-x, MoO3 - xFx, MoOxF3 -x, TaO2F, TaOxF3 -x, WO3-xFx. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 ReO3 구조를 가진다. 일부 구현예들에서, 전도성 또는 반전도성 전이금속 화합물 상은 Cr, TiN, Fe, W, TiC, Ti를 포함하는 목록으로부터 선택될 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물상은 TiF3을 포함한다. 일부 구현예들에서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함한다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 TiF3을 포함하고, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함한다. 일부 구현예들에서, 상기 제3층은 TiF3과 TiN의 혼합물을 포함한다.
일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 상기 전도성 또는 반전도성 전이금속 화합물 상과 뚜렷한 결정립계를 갖는다. 일부 구현예들에서, 상기 이산 전이금속 화합물 상 입자들은 직경이 약 500 nm 미만, 바람직하게는 직경이 약 100 nm 미만, 보다 바람직하게는 직경이 약 20 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 직경이 약 10 nm 미만일 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 50 nm 미만, 바람직하게는 약 20 nm 미만이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들 사이의 평균 거리는 약 10 nm 내지 약 20 nm이다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상 입자들은 주상 결정립들을 포함한다. 일부 구현예들에서, 상기 주상 결정립들은 제3층의 두께 전체에 걸쳐서 실질적으로 연장된다.
일부 구현예들에서, 상기 제2층은 광전 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 제3층은 광전 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 제2층과 제3층은 광전 소자에서 광활성 구성요소로서 작용할 수 있다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하는 데, 예를 들어, 상기 광활성 구성요소는 입사광에 노출 시 상기 소자 내에 전위차를 생성할 수 있다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지를 이용하여 광양자들을 생성하도록 구성된다.
일부 구현예들에서, 상기 광전 소자의 제3층은 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광전 소자의 제3층은 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 광전 소자의 제3층은, 상기 광전 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다.
일부 구현예들에 따르면, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광전 소자가 본원에 개시된다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 이산 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 입자들을 포함할 수 있다. 일부 구현예들에서, 상기 유전체 전이금속 화합물 상은 상기 유전체 전이금속 화합물 상 입자들을 둘러싼다.
일부 구현예들에서, 상기 광전 소자는 광활성 구성요소를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 광양자들의 방사 에너지를 흡수하여 회로에서 전기 에너지를 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전기 에너지를 이용하여 광양자들을 생성하도록 구성된다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 전도성 재료를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 Si, SiGe, Ge, CdTe, GaAs, GaSb 및/또는 InGaAs를 포함한다. 일부 구현예들에서, 상기 광활성 구성요소는 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 광전 소자는 광양자 투과 구성요소를 포함하고, 상기 광양자 투과 구성요소는 광양자가 상기 광양자 투과 구성요소를 통과할 수 있도록 구성된다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 광양자 투과 구성요소는 TiF3 및 TiN을 포함한다.
일부 구현예들에서, 상기 광전 소자는 광양자로 여기된 전하 캐리어를 수집하도록 구성되는 전하 수집 구성요소를 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 아연 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드, 탄소 나노튜브, 그라핀, 또는 나노와이어 박막을 포함한다. 일부 구현예들에서, 상기 전하 수집 구성요소는 TiF3과 TiN의 혼합물을 포함한다.
일부 구현예들에서, 상기 광전 소자는, 상기 광전 소자의 적어도 일부 상에 입사되는 광양자 플럭스의 특징을 전달하도록 구성되는 도파관 구성요소를 포함한다. 일부 구현예들에서, 상기 도파관 구성요소는 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함한다.
실시예
Pulsar® 2000 R&D 반응기 내에서 다수의 TiF3 막들을 증착하였다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막들을 증착하였다: TiF4 서브-사이클 및 환원성 서브-사이클: z[x(TiF4 + y(Si3H8 + NH3)] 및 z[x(TiF4 + y(Si2H6 + NH3)]. 반응기 온도는 약 370℃였다.
기본 공정 파라미터들은 다음과 같다: TiF4; 3초 펄스 / 5초 퍼지, NH3; 10초 펄스 / 5 초 퍼지, Si2H6/Si3H8; 1초 펄스 / 5초 퍼지.
자연산화물을 갖는 실리콘 상에 막을 증착하였다. TiF4/환원성 서브-사이클 비(x/y)를 변화시켜서 막 조성을 변경하였고, 슈퍼-사이클의 수(z)로 막 두께를 조절하였다.
막들의 면저항은 CDE Resmap 168을 이용한 4점 프로브 측정으로, 두께, 거칠기 및 밀도는 Br
Figure pct00001
ker D8 Advance를 이용한 x-선 반사도(XRR)로, 두께는 Sentech SE800 타원해석기(ellipsometer)로, 조성은 monochromated AlKα를 사용하는 PHI Quantum 2000에 의한 x-선 광전자 분광법(분석은 뉴저지, 이스트 윈저에 위치한 EAG 랩이 수행하였음), 및 CuKα를 사용하는 PANalytical X'Pert Pro MPD X-선 회절기에 의한 x-선 회절(XRD)로 측정하였다. ALD 방법은 놀라운 양의 불화물을 함유한 막들을 생성하였다. XPS 및 XRD 분석으로 이들 막이 TiF3과 TiN의 혼합물임을 밝혀내었다. 막들은 투명하였고 전기 전도성이었다. 표 1은 상이한 TiF4/환원성 서브-사이클 비를 갖는 방법들의 조성, 비저항, 거칠기, 밀도 및 성장 속도를 요약한 것이다.
Figure pct00002
TiN(TiF3:TiN 막)에 포함된 TiF3 입자들을 포함하는 다수의 복합막이 본원에 개시된 ALD 방법에 의해 증착되었다. 이들 막은 TiN에 포함된 TiF3 상 입자들을 포함하였다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막들을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] 및 z[x(TiF4 + y(Si3H8 + NH3)]. 반응기 온도는 약 370℃였다.
기본 공정 파라미터들은 다음과 같다: TiF4; 3초 펄스 / 5초 퍼지, NH3; 10초 펄스 / 5 초 퍼지, Si2H6/Si3H8; 1초 펄스 / 5초 퍼지.
자연산화물을 갖는 실리콘 기판 상에 막을 증착하였다. TiF4/환원성 서브-사이클 비(x/y)를 변화시켜서 막 조성 및 TiF3 상 입자들의 크기를 변경하였고, 슈퍼-사이클의 수(z)로 막 두께를 조절하였다. 2 nm 내지 50 nm 범위의 TiF3 상 입자들을 갖는 박막을 증착하였다.
명시야(bright field) 및 암시야(dark field) 전자 현미경을 사용하여 박막의 구조에 대한 특징을 나타내었다. 도 6은 실리콘 기판 상에 증착된 TiF3:TiN 막의 구조를 보여주는 명시야 단면 TEM 이미지이다. 도 7a 및 7b는 내부에 포함된 TiF3 입자들을 포함하는 TiN막의 명시야 및 암시야 TEM 이미지들을 보여준다. 다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 상기 막을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)]. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 도 7a의 명시야 TEM 이미지에서 검은 점들로 보일 수 있다. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 도 7b의 암시야 TEM 이미지에서 흰 점들로 보일 수 있다. 본 시료에서 TiF3 입자들의 크기는 4.6 nm 내지 14.8 nm 범위였다. 막의 면저항은 4점 프로브 측정으로 특징을 나타내었고, 263 Ω/sq이라는 것을 알아내었다.
상기 박막의 조성은, 투과전자현미경(TEM)을 사용하여 수행된 에너지 분산형 X-선 분광학(EDS)을 사용하여 특징을 나타내었다. 도 8은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 TEM/EDS 단면 이미지를 보여준다. 이들 이미지는 TiN 소지 내에 포함되어 있는 비교적 이산적인 TiF3 결정들의 존재를 확인시켰다.
시료 막에 대하여 XPS 분석을 수행하였으며, 이 분석은 TiF3:TiN 박막이 그의 표면 근처에서 보다 높은 불화물 함량을 가진다는 것을 보여주었다. 도 9는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H6을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 박막을 또한 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H8 + NH3)]. 명시야 및 암시야 전자 현미경을 사용하여 박막의 구조에 대한 특징을 나타내었다. 도 10a는 내부에 포함된 TiF3 입자들을 포함하는 TiN 막의 암시야 TEM 이미지이다. TiN 내에 포함되며 TiN으로 둘러싸인 TiF3 입자들은 흰 점들로 보일 수 있고 15.1 nm 내지 48 nm의 크기 범위를 갖는다. 도 10b는 시료 막의 단면 암시야 이미지를 보여준다. 이 이미지는 시료 막의 개별 TiF3 입자의 치수들을 보여준다. 막의 면저항은 또한 4점 프로브 측정으로 특징을 나타내었고, 141 Ω/sq이라는 것을 알아내었다.
상기 박막의 조성은, 투과전자현미경(TEM)을 사용하여 수행된 에너지 분산형 X-선 분광학(EDS)을 사용하여 특징을 나타내었다. 도 11은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si2H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막 내에서 원소 분포들의 TEM/EDS 단면 이미지를 보여준다. 이들 이미지는 TiN 소지 내에 포함되어 있는 비교적 이산적인 TiF3 결정들의 존재를 확인시켰다.
시료 막에 대한 XRD 분석을 수행하였고, 막 내에서 별도의 TiF3 및 TiN 결정학적 상들을 확인하였다. 도 12는 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XRD 패턴을 보여준다.
시료막에 대한 XPS 분석을 수행하였고 이 분석은 TiF3:TiN 박막이 실리콘 기판 계면 근처에서 더 높은 질소 함량을 가진다는 것을 보여주었다. 도 13은 내부에 포함된 TiF3 입자들을 포함하며 TiF4, Si3H8을 환원제로서 그리고 NH3을 질소 반응물로 사용하여 증착된 시료 TiN 막에 대한 XPS 깊이 프로파일을 보여준다.
다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 실리콘 웨이퍼들 상에 30 nm 두꼐의 시료 TiF3:TiN 막을 증착하였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] 및 z[x(TiF4 + y(Si3H8 + NH3)]. 반응기 온도는 370℃였다. 몇 센티미터로 이격되어 놓인 Fluke 189 전압계 전극들과 막 표면을 접촉시켜서 막들의 광활성도를 분석하였다. 그런 다음, 적색의 레이저 포인터를 막의 표면을 향하여 비추어서 조명 스폿을 생성하였다. 도 14a 및 14b는 이러한 광기전력 분석의 모식도를 보여준다. 레이저 포인터 조명 스폿에 더 가까웠던 전극이 음전하를 얻었음을 알아내었다. 전극들 사이의 전위차는 조명 스폿의 위치에 따라 수 밀리볼트에서 약 100 밀리볼트까지 변하였다. 도 14a와 14b는 막 위에서 조명 스폿의 위치가 변함에 따라 전압계 전극들의 극성의 변화를 보여준다.
다음과 같은 과정을 포함하는 기본 슈퍼-사이클을 사용하는 슈퍼-사이클 방법으로 TiF3:TiN 박막을 사용하여 광전셀 시료들을 제조하였였다: 환원성 서브-사이클과 함께 TiF4 서브-사이클: z[x(TiF4 + y(Si2H6 + NH3)] and z[x(TiF4 + y(Si3H8 + NH3)]. 도 15a는 45 nm 두께의 TiN 바닥 전극과 40 nm 두께의 TiF3:TiN 최상부 전극 사이에 위치된 20 nm 두께의 열산화물 상부 및 하부층들을 갖는 p+-형 실리콘을 포함하는 광전셀의 모식도를 보여준다. 셀은 약 4 cm2의 표면적을 가졌다. 셀은 보통의 사무실 조명에 노출되었는 데, 그 결과 50 mV~150 mV의 개방 회로 전압이 생성되었다. 보통의 사무실 조명에 노출되었을 때, 셀은 약 2.5 ㎄를 120 Ω 저항기에 생성하였다.
도 15b는 45 nm 두께의 TiN 바닥 전극과 60 nm 두께의 TiF3:TiN 최상부 전극 사이에 위치된 자연산화물 상부 및 하부층들을 갖는 p+-형 실리콘을 포함하는 광전셀의 모식도를 보여준다. 다시, 셀은 약 4 cm2의 표면적을 가졌다. 셀은 할로겐 램프 조명(오스람 50 W, 240 V 전구, 2800K)을 조사받았는 데, Fluke 189 전압계로 측정했을 때 약 100 mV 내지 450 mV의 개방 회로 전압을 생성하였다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 청구범위의 범주가 구체적으로 개시된 구현예 내지 대안적인 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다.

Claims (31)

  1. 광전 소자의 층을 증착하기 위한 기상 증착 방법으로서, 상기 층은 전도성 또는 반전도성 전이금속 화합물 상(phase)에 포함된 유전체 전이금속 화합물 상을 포함하는, 방법.
  2. 제1항에 있어서, 상기 기상 증착 방법은 복수의 슈퍼-사이클을 포함하며, 각 슈퍼-사이클은 유전체 전이금속 화합물 서브-사이클 및 환원성 서브-사이클을 포함하고,
    상기 유전체 전이금속 화합물 서브-사이클은 기판을 기상의 유전체 전이금속 화합물과 접촉시키는 단계를 포함하고; 그리고
    상기 환원성 서브-사이클은 상기 기판을 환원제 및 질소 반응물과 교번적, 순차적으로 접촉시키는 단계를 포함하는, 방법.
  3. 제2항에 있어서, 상기 유전체 전이금속 화합물은 TiF4를 포함하는, 방법.
  4. 제2항에 있어서, 상기 환원제는 실란 또는 보란을 포함하는, 방법.
  5. 제2항에 있어서, 상기 질소 반응물은 암모니아, N2H4, 질소 원자, 질소 함유 플라즈마, 및 질소 라디칼 중 적어도 하나를 포함하는, 방법.
  6. 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 층을 포함하는 소자.
  7. 제6항에 있어서, 상기 소자는 광전소자인, 소자.
  8. 제6항에 있어서, 상기 유전체 전이금속 화합물 상은 약 0.1 nm 내지 약 500 nm의 직경을 갖는 입자들로 구성되는, 광전 소자.
  9. 제6항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 이산적인 유전체 전이금속 화합물 상 입자들을 둘러싸는, 광전 소자.
  10. 제6항에 있어서, 상기 층은 광활성 재료를 포함하고,
    상기 층은 상기 광전 소자의 표면에 입사하는 광양자들의 방사 에너지를 흡수하여 전기 회로 내에 전기 에너지를 생산하는, 광전 소자.
  11. 제10항에 있어서, 상기 층은 TiF3 및 TiN의 혼합물을 포함하는, 광전 소자.
  12. 제6항에 있어서, 상기 층은 전기 회로 내의 전기 에너지를 이용하여 광양자를 생산하는, 광전 소자.
  13. 제12항에 있어서, 상기 층은 TiF3 및 TiN의 혼합물을 포함하는, 광전 소자.
  14. 제6항에 있어서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 광양자 투과층으로서 작용하고,
    상기 광양자 투과층은 상기 광양자 투과층의 표면 위에 입사하는 광양자들이 상기 광양자 투과층을 통과하여 광활성층까지 가도록 하는, 광전 소자.
  15. 제14항에 있어서, 상기 광양자 투과층은 TiF3 및 TiN의 혼합물을 포함하는, 광전 소자.
  16. 제6항에 있어서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 광양자로 여기된 전하 캐리어들을 수집하는 전하 수집 구성요소로서 작용하는, 광전 소자.
  17. 제16항에 있어서, 상기 전하 수집 구성요소는 TiN 내에 TiF3의 혼합물을 포함하는, 광전 소자.
  18. 제6항에 있어서, 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 상기 층은 상기 광전 소자의 제1 부분 위에 입사하는 광양자 플럭스의 특징을 상기 광전 소자의 제2 부분으로 전달할 수 있는 도파관 구성요소로서 작용하는, 광전 소자.
  19. 제6항에 있어서, 광양자로 여기된 전하 캐리어들을 수집하는 전하 수집 구성요소를 더 포함하고, 상기 전하 수집 구성요소는 인듐 주석 산화물, 도핑된 주석 산화물, 도핑된 아연 산화물, 전도성 폴리머, 금속 그리드(grid), 탄소 나노튜브, 그라핀(graphene), 또는 나노와이어 박막 중 적어도 하나를 포함하는, 광전 소자.
  20. 제6항에 있어서, 상기 유전체 전이금속 화합물 상은 전이금속 산화물, 전이금속 불화물, 또는 전이금속 산불화물인, 광전 소자.
  21. 제20항에 있어서, 상기 유전체 전이금속 화합물은 TiF3를 포함하는, 광전 소자.
  22. 제6항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 전이금속 원소, 전이금속들의 합금, 전이금속 산화물, 전이금속 질화물, 전이금속 실리사이드, 또는 전이금속 탄화물인, 광전 소자.
  23. 제22항에 있어서, 상기 전도성 또는 반전도성 전이금속 화합물 상은 TiN을 포함하는, 광전 소자.
  24. 제6항에 있어서, Si, SiGe, Ge, CdTe, GaAs, GaSb, InGaAs 또는 일부 다른 반전도성 재료 중 적어도 하나를 포함하는 광활성 구성요소를 더 포함하는, 광전 소자.
  25. 전도성 또는 반전도성 전이금속 화합물 상에 포함된 유전체 전이금속 화합물 상을 포함하는 광활성 재료.
  26. 제25항에 있어서, 상기 광활성 재료는 광양자의 방사 에너지를 흡수하여 전기 회로 내에 전기 에너지를 생산하는, 광활성 재료.
  27. 제25항에 있어서, 상기 광활성 재료는 광양자가 투과하는 전기 전도성 재료인, 광활성 재료.
  28. 제25항에 있어서, 상기 광활성 재료는 도파관 재료이고,
    상기 도파관 재료는, 상기 도파관 재료의 제1 부분 위에 입사한 광양자 플럭스의 특징을 상기 도파관 재료의 제2 부분으로 전달할 수 있는, 광활성 재료.
  29. 제25항에 있어서, 상기 광활성 재료는 TiF3 및 TiN의 혼합물을 포함하는, 광활성 재료.
  30. 제27항에 있어서, 상기 광양자가 투과하는 전기 전도성 재료는 TiF3 및 TiN의 혼합물을 포함하는, 광양자 투과 전기 전도성 재료.
  31. 제28항에 있어서, 상기 도파관 재료는 TiF3 및 TiN의 혼합물을 포함하는, 도파관 재료.
KR1020187013375A 2015-10-16 2016-10-05 광활성 소자 및 재료 KR102409503B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227019833A KR102481449B1 (ko) 2015-10-16 2016-10-05 기판 상에 광 소자를 형성하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/885,721 US9941425B2 (en) 2015-10-16 2015-10-16 Photoactive devices and materials
US14/885,721 2015-10-16
PCT/US2016/055496 WO2017066059A1 (en) 2015-10-16 2016-10-05 Photoactive devices and materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227019833A Division KR102481449B1 (ko) 2015-10-16 2016-10-05 기판 상에 광 소자를 형성하는 방법

Publications (2)

Publication Number Publication Date
KR20180070615A true KR20180070615A (ko) 2018-06-26
KR102409503B1 KR102409503B1 (ko) 2022-06-15

Family

ID=58518487

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187013375A KR102409503B1 (ko) 2015-10-16 2016-10-05 광활성 소자 및 재료
KR1020227019833A KR102481449B1 (ko) 2015-10-16 2016-10-05 기판 상에 광 소자를 형성하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227019833A KR102481449B1 (ko) 2015-10-16 2016-10-05 기판 상에 광 소자를 형성하는 방법

Country Status (6)

Country Link
US (3) US9941425B2 (ko)
JP (1) JP6616500B2 (ko)
KR (2) KR102409503B1 (ko)
CN (2) CN108352397B (ko)
TW (2) TWI713607B (ko)
WO (1) WO2017066059A1 (ko)

Families Citing this family (258)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210648A (ja) * 2000-01-28 2001-08-03 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
KR20060123607A (ko) * 2004-03-03 2006-12-01 동경 엘렉트론 주식회사 성막 방법
JP2011026194A (ja) * 2009-07-24 2011-02-10 Qinghua Univ カーボンナノチューブフィルム複合構造体、カーボンナノチューブフィルム複合構造体を利用した透過型電子顕微鏡グリッド及びその製造方法
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4892788A (en) * 1988-03-28 1990-01-09 Air Products And Chemicals, Inc. Graphite brazing fixture coated with composite layers of titanium carbide and titanium nitride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) * 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
EE200100421A (et) 1999-02-11 2002-12-16 Hardide Limited Volframkarbiidist kattematerjal, seda sisaldav kate ning meetod volframkarbiidi saamiseks ja katte valmistamiseks
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6884719B2 (en) 2001-03-20 2005-04-26 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
GB2389230A (en) 2002-05-28 2003-12-03 Univ Nat Taiwan Nanoparticle light emitting device (LED)
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472542B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US8399820B2 (en) * 2009-06-23 2013-03-19 Sensors Unlimited, Inc. Multicolor detectors and applications thereof
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9843041B2 (en) 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
EP3029696B1 (en) * 2012-05-18 2018-11-14 Oxford University Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites
KR20150036114A (ko) * 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
CN105659201B (zh) 2013-10-18 2019-12-03 三菱电机株式会社 字符串显示装置
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN103920513B (zh) * 2014-04-29 2015-10-21 山东大学 Ti3+:TiO2/TiF3复合半导体光催化剂及其制备方法
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001210648A (ja) * 2000-01-28 2001-08-03 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
KR20060123607A (ko) * 2004-03-03 2006-12-01 동경 엘렉트론 주식회사 성막 방법
JP2011026194A (ja) * 2009-07-24 2011-02-10 Qinghua Univ カーボンナノチューブフィルム複合構造体、カーボンナノチューブフィルム複合構造体を利用した透過型電子顕微鏡グリッド及びその製造方法

Also Published As

Publication number Publication date
US20170110601A1 (en) 2017-04-20
KR102409503B1 (ko) 2022-06-15
US9941425B2 (en) 2018-04-10
WO2017066059A1 (en) 2017-04-20
JP6616500B2 (ja) 2019-12-04
KR102481449B1 (ko) 2022-12-26
US10861986B2 (en) 2020-12-08
CN115896750A (zh) 2023-04-04
JP2018536279A (ja) 2018-12-06
KR20220084440A (ko) 2022-06-21
US20180212077A1 (en) 2018-07-26
TWI713607B (zh) 2020-12-21
US11362222B2 (en) 2022-06-14
TW202113123A (zh) 2021-04-01
CN108352397A (zh) 2018-07-31
TW201732066A (zh) 2017-09-16
TWI746269B (zh) 2021-11-11
US20210074865A1 (en) 2021-03-11
CN108352397B (zh) 2023-01-10

Similar Documents

Publication Publication Date Title
US11362222B2 (en) Photoactive devices and materials
Hao et al. Atomic layer deposition of stable 2D materials
US11658030B2 (en) Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US20190319187A1 (en) Methods of forming a memory cell comprising a metal chalcogenide material
EP2426233B1 (en) Use of dialkyl monoalkoxy aluminum for the growth of Al2O3 thin films for photovoltaic applications
TWI716346B (zh) 原子層沈積方法及氟化物薄膜
US9773663B2 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
Pakkala et al. Atomic layer deposition
US8741386B2 (en) Atomic layer deposition of quaternary chalcogenides
KR101596157B1 (ko) 그래핀-실리콘 양자점 하이브리드 구조를 이용한 터널링 다이오드 및 그 제조방법
WO2009075585A1 (en) Method of depositing a doped zinc oxide film, a conductive zinc oxide film and use of the doped zinc oxide film
Schneider et al. Transparent ohmic contact for CIGS solar cells based on p-type aluminum copper sulfide material synthesized by atomic layer deposition
Liew et al. Improvement in Photovoltaic Performance of Thin Film β-FeSi2/Si Heterojunction Solar Cells with Al Interlayer
Heinselman et al. Reduced synthesis temperatures of SrNbO2N perovskite films for photoelectrochemical fuel production
Kartha Growth and characterization of cuprous oxide absorbers for photovoltaics
Adjeroud ALD Applications Room On Demand-Session AA17 ALD Applications Poster Session
Roozeboom et al. Atomic Layer Deposition Applications 10
Baji Compound semiconductor layers for optoelectronic and photovoltaic purposes
Adjeroud ALD/ALE 2021 Session AA17: ALD Applications Poster Session
Hindley Atomic layer deposition and metal organic chemical vapour deposition of materials for photovoltaic applications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right