CN108352397B - 光敏装置和材料 - Google Patents

光敏装置和材料 Download PDF

Info

Publication number
CN108352397B
CN108352397B CN201680059403.0A CN201680059403A CN108352397B CN 108352397 B CN108352397 B CN 108352397B CN 201680059403 A CN201680059403 A CN 201680059403A CN 108352397 B CN108352397 B CN 108352397B
Authority
CN
China
Prior art keywords
transition metal
metal compound
cycle
compound phase
sub
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680059403.0A
Other languages
English (en)
Other versions
CN108352397A (zh
Inventor
T.E.布隆贝格
H.霍特里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Priority to CN202211663763.5A priority Critical patent/CN115896750A/zh
Publication of CN108352397A publication Critical patent/CN108352397A/zh
Application granted granted Critical
Publication of CN108352397B publication Critical patent/CN108352397B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02322Optical elements or arrangements associated with the device comprising luminescent members, e.g. fluorescent sheets upon the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/062Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the metal-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Photovoltaic Devices (AREA)
  • Light Receiving Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

本文公开用于在反应空间中的衬底上沉积包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜的沉积方法。沉积方法可包含多个超循环。每一超循环可包含介电性过渡金属化合物子循环和还原子循环。所述介电性过渡金属化合物子循环可包含使所述衬底与介电性过渡金属化合物接触。所述还原子循环可包含使所述衬底与还原剂和氮反应物交替并依次接触。所述薄膜可包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。

Description

光敏装置和材料
技术领域
本申请大体上涉及光敏装置和材料领域,并且更确切地说,涉及形成包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜的方法。
背景技术
原子层沉积(ALD)是基于连续的自饱和表面反应,其可提供与待涂布的结构的几何形状无关的良好保形性和步阶覆盖。然而,通过ALD沉积金属膜一直受到挑战,在某种程度上是因为ALD基本上是基于热力学上有利的半反应。
耐火金属导电层为微电子和纳米电子件中的基本构建模块。抗氧化金属薄膜在多种情形下为合乎需要的。举例来说,在半导体制造业中通常使用氮化钛层例如作为栅电极材料或作为铜扩散阻挡层。然而,已知当储存在空气中时,氮化钛会从表面氧化,可能穿过晶界,直到数十纳米的深度。
此外,光敏材料和/或导电光透明材料适用于多种情形下。举例来说,光敏材料可用于将来自光子的辐射能转化成电能并且是例如太阳能电池中的重要元件。
发明内容
在一些实施例中,提供原子层沉积(ALD)方法来沉积包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的薄膜。在一些实施例中,介电性过渡金属化合物相可包括过渡金属氧化物或过渡金属氟化物。在一些实施例中,介电性过渡金属化合物相可包括TiF3。在一些实施例中,导电或半导体相可包括元素过渡金属、过渡金属的合金、过渡金属氧化物、过渡金属氮化物、过渡金属硅化物和/或过渡金属碳化物。在一些实施例中,导电或半导体过渡金属化合物相可为TiN。在一些实施例中,介电性过渡金属化合物相可为TiF3,并且导电或半导体过渡金属化合物相可为TiN。
在一些实施例中,介电性过渡金属化合物相可包括离散粒子。在一些实施例中,介电性过渡金属化合物相可包括直径在约0.1nm到约500nm范围内的粒子。在一些实施例中,导电或半导体过渡金属化合物相环绕介电性过渡金属化合物相粒子。
在一些方面,提供原子层沉积(ALD)方法来在反应空间中的衬底上沉积包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜。在一些实施例中,ALD方法可包括多个超循环,其中至少一个超循环包括两个子循环:金属氟化物子循环和第二子循环。在一些实施例中,金属氟化物子循环包括使衬底与金属氟化物接触,并且第二子循环包括使衬底与硅烷或硼烷和氮反应物交替并依次接触。在一些实施例中,第二子循环被称为还原子循环,并且衬底与还原剂和氮反应物接触。在一些实施例中,衬底可包括硅。
根据一些实施例,介电性过渡金属化合物的过渡金属包括选自Ti、Ta、Nb、Mo和W的金属。在一些实施例中,介电性过渡金属化合物包括过渡金属氟化物。在一些实施例中,过渡金属氟化物包括TiF4。在一些实施例中,还原剂为硅烷或硼烷。在一些实施例中,还原剂包括二硅烷或丙硅烷。在一些实施例中,还原剂包括二硼烷或三硼烷。在一些实施例中,氮反应物选自由以下组成的群组:氨、N2H4、氮原子、含氮等离子体和氮自由基。在一些实施例中,过渡金属氟化物是TiF4,并且还原剂是Si3H8。在一些实施例中,在多个超循环中的至少一次中以至少约0.1的比率进行金属氟化物子循环和还原子循环。在一些实施例中,薄膜包括TiF3
根据一些实施例,包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜包括约0.1到约10原子%、0.1到约5原子%或0.4到约2.3原子%硅。在一些实施例中,薄膜包括约1到约50原子%氮、约5到约45原子%氮、约10到约50原子%氮。在一些实施例中,薄膜为导电的。在一些实施例中,薄膜的层电阻率小于约107μΩcm。在一些实施例中,薄膜的层电阻率在约500μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约5×103μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约104μΩcm与约106μΩcm之间。在一些实施例中,在小于约300℃下薄膜不会被空气环境氧化。
在一些实施例中,薄膜包括介电性过渡金属化合物和导电或半导体过渡金属化合物的混合物。在一些实施例中,薄膜包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,薄膜包括TiF3和TiN的混合物。
在一些实施例中,薄膜是被配置成吸收一个或多个光子的辐射能以产生电能的光敏材料。在一些实施例中,薄膜是透明或部分透明的。在一些实施例中,薄膜是导电的。在一些实施例中,薄膜包括被配置成用于引导电磁波传播的波导。
在一些实施例中,薄膜被配置成吸收入射到复合薄膜的光的至少一部分以在所述复合薄膜中产生电位差。在一些实施例中,薄膜是导电的并对光透明,其中入射到薄膜表面的光的至少一部分穿过薄膜。在一些实施例中,薄膜被配置成将入射到薄膜表面的经调制光束中所含的信息以电磁波形式传递到薄膜中。
根据一些实施例,本文公开一种包括包埋于TiN相中的TiF3相粒子的薄膜。在一些实施例中,薄膜包括约5到约50原子%氮。在一些实施例中,薄膜包括约0.4到约2.3原子%硅。在一些实施例中,薄膜的厚度小于约100nm。在一些实施例中,薄膜的厚度小于约10nm。在一些实施例中,薄膜的厚度可为最多约100nm,最多约1μm,或在一些情况下最多约1mm。
在一些实施例中,薄膜包括介电性过渡金属化合物和导电或半导体过渡金属化合物的混合物。在一些实施例中,薄膜包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,薄膜包括TiF3和TiN的混合物。在一些实施例中,薄膜是被配置成吸收一个或多个光子的辐射能以在电路中产生电能的光敏材料。在一些实施例中,薄膜是光透明的或部分光子透明的。在一些实施例中,复合薄膜是导电的。在一些实施例中,薄膜包括被配置成用于引导电磁波传播的波导。
在一些实施例中,薄膜被配置成吸收入射到薄膜的光的至少一部分以在复合薄膜中产生电位差。在一些实施例中,薄膜是导电的并对光透明,其中入射到薄膜表面的光的至少一部分穿过薄膜。在一些实施例中,薄膜被配置成将入射到薄膜表面的经调制光束中所含的信息以电磁波形式传递到薄膜中。
根据一些实施例,本文公开一种包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的光子装置。如本文所用,术语光子装置可指能够产生、检测、吸收、操控或对光子,即光作出响应的组件或装置。术语光子装置可指例如激光二极管、发光二极管、太阳能电池和/或光伏电池。在一些实施例中,介电性过渡金属化合物相可包括离散粒子。在一些实施例中,介电性过渡金属化合物相可包括为约0.1nm到约500nm的粒子。在一些实施例中,导电或半导体过渡金属化合物相环绕介电性过渡金属化合物相粒子。
在一些实施例中,光子装置包括光敏组件,例如光电极。在一些实施例中,光敏组件被配置成吸收光子的辐射能以在电路中产生电能。在一些实施例中,光敏组件被配置成用电能产生光子。在一些实施例中,光敏组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,光敏组件包括半导体材料。在一些实施例中,光敏组件包括Si、SiGe、Ge、CdTe、GaAs、GaSb和/或InGaAs。在一些实施例中,光敏组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括光子透明组件,其被配置成允许光子穿过光子透明组件。在一些实施例中,光子透明组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,光子透明组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括被配置成用于收集经光子激发的载荷子的电荷收集组件。在一些实施例中,电荷收集组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,电荷收集组件包括氧化铟锡、经掺杂的氧化锡、氧化锌、经掺杂的氧化锌、导电聚合物、金属网格、碳纳米管、石墨烯或纳米线薄膜。在一些实施例中,光子透明组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括被配置成传递入射在光子装置的至少一部分上的光子通量的特征的波导组件。在一些实施例中,波导组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。
附图说明
将从具体实施方式和附图更好地理解本发明,附图意图说明本发明并且不限制本发明,并且其中∶
图1是展示根据一些实施例的用于沉积薄膜的ALD方法的流程图,所述薄膜包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相。
图2是展示根据一个实施例的用于沉积TiF3/TiN膜的ALD方法的流程图。
图3显示根据本公开的一个实施例形成的膜的XRD图。
图4是根据本公开的一个实施例形成的膜的氧化特性的分析。
图5是根据本公开的一个实施例形成的膜的氧化特性的另一分析。
图6显示TiN膜的暗场TEM图像和横截面TEM图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6作为还原剂,并使用NH3作为氮反应物沉积。
图7A和7B显示TiN膜的亮场和暗场TEM图像,所述TiN膜包括包埋于其中的TiF3粒子。
图8显示样品TiN膜中元素分布的能量分散X射线光谱分析(EDS)图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6作为还原剂,并使用NH3作为氮反应物沉积。
图9显示样品TiN膜的XPS深度曲线,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6作为还原剂,并使用NH3作为氮反应物沉积。
图10A和10B显示TiN膜的暗场TEM图像和横截面TEM图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
图11显示样品TiN膜中元素分布的EDS图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
图12显示样品TiN膜的XRD图,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
图13显示样品TiN膜的XPS深度曲线,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
图14A和14B显示样品TiN膜的光伏分析的示意图,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6/Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
图15A和15B显示具有包括TiN膜的顶部电极的光伏电池的示意图,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6/Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
具体实施方式
包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相,例如金属氟化物或金属氧化物相的薄膜可具有光敏性。举例来说,此类复合薄膜的电阻在暴露于光后可有所变化。在一些实施例中,此类复合薄膜可包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的离散粒子。在一些实施例中,介电性过渡金属化合物相可包括直径在约0.1nm到约500nm范围内的粒子。在一些实施例中,导电或半导体过渡金属化合物相环绕介电性过渡金属化合物相粒子。举例来说,在一些实施例中,复合薄膜可包括包埋于TiN中的离散TiF3粒子。在一些实施例中,介电性过渡金属化合物相可包括氧化物或氟化物。在一些实施例中,介电性过渡金属化合物包括过渡金属氧化物、过渡金属氟化物、过渡金属氟氧化物或这些中的一种或多种的混合物。在一些实施例中,介电性过渡金属化合物由过渡金属氧化物、过渡金属氟化物、过渡金属氟氧化物或这些中的一种或多种的混合物组成。在一些实施例中,介电性过渡金属化合物相可选自由以下组成的群组:TiF3、Cr2O3、NiO、WO3、Ti2O3、TiOF2、NbO2F、NbO3-xFx、NbOx/2F3-x、MoO3-xFx、MoOxF3-x、TaO2F、TaOxF3-x、WO3-xFx。在一些实施例中,介电性过渡金属化合物相包括:TiF3、Cr2O3、NiO、WO3、Ti2O3、TiOF2、NbO2F、NbO3-xFx、NbOx/2F3-x、MoO3-xFx、MoOxF3-x、TaO2F、TaOxF3-x或WO3-xFx或这些中的一种或多种的混合物。在一些实施例中,介电性过渡金属化合物相具有ReO3样结构。在一些实施例中,介电性过渡金属化合物相包括与晶体结构ReO3相似的晶体结构。术语ReO3样结构并不意图将介电性过渡金属化合物相限于ReO3,其用于以简化方式说明介电性过渡金属化合物相可包括与ReO3(氧化铼(IV))的晶体结构相似的晶体结构。示例性ReO3样晶体结构亦可被构想为钙钛矿(ABO3)型晶体结构,其在单胞中心处缺失大A阳离子。ReO3样晶体结构是在晶胞的每一转角处具有金属原子,并且在金属原子之间的大约中间位置的每一晶胞边缘上具有一个非金属原子,如氧或氟的立方结构。在一些实施例中,ReO3样结构包括由理想的ReO3样结构变形的结构。在一些实施例中,介电性过渡金属化合物包括Pm3m{221}空间群,如ReO3样结构。
在一些实施例中,导电或半导体过渡金属化合物相可包括元素金属,如过渡金属;金属合金,如包括过渡金属的金属合金;金属氮化物,如过渡金属氮化物;金属碳化物,如过渡金属碳化物;或所述物中的两种或更多种的混合物。在一些实施例中,导电或半导体过渡金属化合物相可选自由以下组成的群组:元素金属,如过渡金属;金属合金,如包括过渡金属的金属合金;金属氮化物,如过渡金属氮化物;金属碳化物,如过渡金属碳化物;或所述物中的两种或更多种的混合物。在一些实施例中,导电或半导体过渡金属化合物相可包括来自元素周期表第4周期的元素。在一些实施例中,导电或半导体过渡金属化合物相可选自由以下组成的群组:Cr、TiN、Fe、W、TiC、Ti或这些中的一种或多种的混合物。在一些实施例中,导电或半导体过渡金属化合物相可包括:Cr、TiN、Fe、W、TiC或Ti或这些中的一种或多种的混合物。
本文所公开的包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜可用于多种情形下。举例来说,导电氟化物膜或包括金属氟化物的导电膜,如包括TiF3的导电薄膜,可用作TiN层或其它金属膜上方的阻氧膜。在一些实施例中,根据本公开形成的导电含氟膜将适用作对抗灰化或其它氧化性条件的阻挡膜。在一些实施例中,根据本公开形成的导电含氟膜可用作对抗周围环境,包括氧气,如环境空气和/或水或湿气的保护层。在一些实施例中,本发明的导电含氟膜适用作图案化层中或需要良好抗氧化性的其它应用中的牺牲层。在一些实施例中,导电氟化物薄膜保形地沉积在竖直和水平表面上方。在一些实施例中,包括金属氟化物的导电膜可用作栅极堆叠上,例如高k层,如HfO2的顶部上的p型罩盖层,并可处于实际的栅电极层或导电栅极介电性阻挡层下方。在一些实施例中,当包括金属氟化物的导电膜用作p型罩盖层时,堆叠中的电极的有效功函数大于约4.9eV,优选在约5.0与约5.2eV之间。
包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜还可在多种情形下用作例如光敏材料。在一些实施例中,根据本公开形成的薄膜可用作光敏装置中的光电极。在一些实施例中,薄膜可吸收光子的辐射能以在电路中产生电能。在一些实施例中,薄膜沉积在包括硅的衬底上。在一些实施例中,薄膜沉积在包括玻璃、石英和/或SiO2的衬底上。在一些实施例中,衬底可包括硅晶片或其部分。在一些实施例中,薄膜沉积在薄膜太阳能电池制造中所用的典型衬底上,如将对于所属领域的技术人员显而易见。
在一些实施例中,本发明薄膜可用作光敏装置中的光子透明组件。在一些实施例中,本发明薄膜可用作光敏装置中的电荷收集组件。在一些实施例中,本发明薄膜可用作光敏装置中的波导组件。在一些实施例中,包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜不包括以下物质中的一种或多种:MgF2、CaF2、ZnF2、SrF2、YF3或LaF3。在一些实施例中,膜不包括以下物质中的一种或多种:AlF3或LiF。在一些实施例中,膜不包括以下物质中的一种或多种:碱金属氟化物(元素周期表中的第1族),如KF;或碱土(元素周期表中的第2族)金属氟化物,如MgF2或CaF2。在一些实施例中,膜不包括以下物质中的一种或多种:第3族金属氟化物,如YF3或LaF3。在一些实施例中,膜不包括超过约20原子%,优选超过约10原子%,更优选超过约5原子%,并且最优选超过约1原子%的以下金属中的一种或多种:碱金属、碱土金属和第3族金属。在一些实施例中,膜不包括超过约20原子%,优选超过约10原子%,更优选超过约5原子%,并且最优选超过约1原子%的以下金属中的一种或多种:Mg、Ca、Zn、Sr、Y或La。在一些实施例中,膜不包括超过约20原子%,优选超过约10原子%,更优选超过约5原子%,并且最优选超过约1原子%的除以下金属中的一种或多种外的金属:Ti、Zr、Hf、V、Nb、Ta、Cr、Ni、Fe、Mo或W,并且优选地,除以下金属中的一种或多种外的金属:Ti、Nb、Ta、Mo和W。如本文所论述,包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜可通过气相沉积方法,例如原子层沉积(ALD)来沉积。此类膜可宜为抗氧化的、导电的、光敏的和/或光子透明的。在一些实施例中,薄膜可包括氟化钛(TiF3)。TiF3是可用于多种情形下,例如用于形成催化剂、光敏材料、光电极、波导、电荷收集组件和/或光子透明材料的材料中的稳定的固态氟化物。
氟在一些金属薄膜中的存在会提高抗氧化性。金属氮化物,如氮化钛在半导体行业中常用作例如阻挡膜。然而,如上文所论述,氮化钛膜可能会经历不合需要的氧化。本申请部分地基于以下意外发现:可沉积包括金属氟化物的导电薄膜,如包括氟化钛的导电薄膜。在一些实施例中,含氟化钛的膜的抗氧化性要比TiN膜,如通过已知的气相沉积方法,例如ALD和/或CVD沉积的TiN膜高。
在一些实施例中,提供气相沉积方法来将包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜沉积在衬底上。在一些实施例中,用于将包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的薄膜沉积在衬底上的沉积方法可包括原子层沉积(ALD)型方法、化学气相沉积(CVD)型方法或ALD和CVD型方法的组合。在一些实施例中,可使用其它方法,如物理气相沉积(PVD)、等离子体增强原子层沉积(PEALD)等。
在一些实施例中,所述方法可包括第一子循环,其中将衬底暴露于气相介电性过渡金属化合物,如TiF4,并使单层介电性过渡金属化合物吸附在衬底表面上。在第二子循环中,交替并依次提供气相硅烷或硼烷化合物或其它“还原剂”,和气相氮反应物。还原剂和氮反应物与衬底表面上的介电性过渡金属化合物反应以形成包括氟化物介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜。在一些实施例中,第一子循环可包含气相介电性过渡金属化合物,如TiF4和还原剂,如硅烷或硼烷两者。在一些实施例中,第二循环不包含硅烷或硼烷。因此,在一些实施例中,第一循环包括气相介电性过渡金属化合物和硅烷或硼烷,并且第二循环包括气相氮反应物。尽管使用术语“还原剂”,但在一些实施例中并不需要化学还原。因此,在一些实施例中,术语“还原剂”简单地表示硅烷化合物或硼烷化合物。然而,在不希望受任何理论束缚的情况下,认为在一些实施例中,如本文中所述的还原剂可能还原表面上的金属物种的氧化态。
在一些实施例中,金属可选自例如Ti、Ta、Nb、Mo和W。还原剂可为例如硅烷或硼烷化合物。氮反应物可为例如NH3。在一些实施例中,在使用氮反应物的情况下,氮反应物可展现对衬底表面上的金属物种的氧化态至少一些还原作用。
第一和第二子循环在一起形成ALD超循环。在每一超循环中,第一子循环和第二子循环可独立地重复一次或多次。此外,超循环可重复一次或多次以将包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的导电膜沉积到所需厚度。第一和第二子循环可以任何顺序进行。举例来说,在一些实施例中,可首先进行第二子循环。此外,每一子循环中的反应物的顺序可有所变化。举例来说,在一些实施例中,在还原子循环中(其可首先或其次进行),在硅烷或硼烷化合物之前脉冲氮反应物或反之亦然。
一个或多个超循环中第一子循环与第二子循环的比率可有所变化以沉积出具有所需组成和/或所需特性的膜。在一些实施例中,第一子循环与第二子循环的比率在ALD方法中的每一超循环中为相同的。在一些实施例中,在沉积方法期间的一个或多个超循环中,第一子循环与第二子循环的比率可有所不同。
在一些实施例中,包括氟化物介电性过渡金属化合物相和导电或半导体过渡金属化合物相的导电薄膜经形成而包括来自还原化合物的一些硅或硼和/或来自氮反应物的一些氮。举例来说,在一些实施例中,包括TiF3的导电薄膜经沉积而含有一些Si和一些N。
为简单起见,本文所提供的全部原子百分比(即原子%)值不包括氢,因为难以准确地以定量方式分析氢。然而,在一些实施例中,如果有可能以合理的精确度分析氢,那么膜的氢含量小于约20原子%、小于约10原子%或小于约5原子%。
在一些实施例中,使用硅烷作为还原剂,并且包括氟化物介电性过渡金属化合物相和导电或半导体过渡金属化合物相的导电膜还包括少量硅。举例来说,在一些实施例中,硅含量可小于约15原子%。在一些实施例中,硅含量可为约0.01到约10原子%、约0.1到约5原子%或约0.1到约2原子%。在一些实施例中,包括金属氟化物的导电膜中的硅含量优选小于约1.5原子%。
在一些实施例中,使用硼烷作为还原剂,并且包括氟化物介电性过渡金属化合物相和导电或半导体过渡金属化合物相的导电膜还包括少量硼。举例来说,在一些实施例中,硼含量可小于约15原子%。在一些实施例中,硼含量为约0.01到约10原子%、约0.1到约5原子%或约0.1到约2原子%。在一些实施例中,硼含量小于约1.5原子%。
在一些实施例中,膜包括少量氮。举例来说,在一些实施例中,氮含量可在约0.5到约50原子%、约1到约20原子%或约2到约15原子%范围内。
在一些实施例中,膜包括呈大于约10原子%、约20到约75原子%、约40到约70原子%或约45到约65原子%的量的氟。
在一些实施例中,膜的氟与钛比率(F/Ti(原子%/原子%))为约0.25到约5、约0.5到约3或约1到约2.5。
在一些实施例中,膜可包括少量氧,尽管存在膜为抗氧化的事实。举例来说,在一些实施例中,氧含量小于约2.5原子%、小于约1.5原子%、小于约1.0原子%、或甚至小于约0.5原子%。
在一些实施例中,包括氟化物介电性过渡金属化合物相和导电或半导体过渡金属化合物相,并且通过如本文所述的ALD方法沉积的薄膜的抗氧化性要比通过已知的气相沉积方法,如ALD沉积的相应金属氮化物膜高。在一些实施例中,通过如本文所述的ALD方法沉积的薄膜是光敏材料。
在一些实施例中,薄膜吸收入射到膜表面的光的至少一部分以在膜中产生电位差,或在膜中产生电流流动。在一些实施例中,薄膜为光透明或光子透明的,即薄膜允许入射到膜表面的光的至少一部分穿过膜。在一些实施例中,薄膜将入射到膜表面上的经调制光束中所含的信息借助于电磁波传递到膜中。
在一些实施例中,通过ALD方法沉积包括TiF3的导电薄膜,所述ALD方法包括用于将TiF4以自限性方式吸附到衬底表面上的第一子循环和用于将TiF4还原成TiF3的第二子循环。举例来说,可在第一子循环中提供TiF4,以使得直到在衬底表面上形成单层TiF4。第一子循环可重复两次或更多次。在一些实施例中,在各个第一子循环之间包括吹扫步骤。在第二子循环中,将衬底交替并依次地暴露于还原剂,如硅烷或硼烷化合物,和氮反应物,如氨。第二子循环用以将衬底表面上的TiF4的至少一部分还原成TiF3。在一些实施例中,所形成的膜包括TiF3以及相对较少量的硅或硼和氮。在一些实施例中,所形成的膜包括TiF3和一些氮的混合物。在一些实施例中,膜为TiF3和TiN的混合物。在一些实施例中,膜包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相,例如包埋于TiN相中的TiF3相。在一些实施例中,介电性过渡金属化合物相呈离散形式,如离散粒子,并由导电或半导体过渡金属化合物相环绕。
超循环中的第一和第二子循环中的每一个可重复一次或多次。重复超循环直到获得所需厚度的膜。通过调整一个或多个超循环中的两个子循环的比率,可增加TF3的量而无需引入不合需要量的硅或氮。确切地说,在一些实施例中,相对于第一子循环增加第二子循环次数会增加转化成TiF3的TiF4的量,在所述第二子循环中,使衬底与还原剂和氮反应物交替并依次接触。
在一些实施例中,还原(第二)子循环可利用硅化合物;然而,也可使用其它化合物。在一些实施例中,硅化合物是硅烷化合物,如SiH4、Si2H6或Si3H8。在一些实施例中,硼化合物可用于至少一个还原子循环中。举例来说,在一些实施例中,还原剂可为硼烷化合物,如BH3、B2H6或三硼烷中的一种或多种。应了解,也可使用其它还原剂。在一些实施例中,在每一子循环中使用相同还原剂,而在其它实施例中,可在一个或多个子循环中利用不同还原剂。
在一些实施例中,氮反应物可包括以下中的一种或多种:NH3、氮原子、氮自由基、氮等离子体、可例如由等离子体产生的包括氮的其它受激物种,或其它合适的含氮化合物。
在一些实施例中,包括TiF3的薄膜经沉积而具有比通过未将氟并入膜中的已知气相沉积方法沉积的TiN膜,如通过ALD沉积的TiN膜要大的抗氧化性。
在一些实施例中,包括氟的薄膜,如包括至少一些氮的金属氟化物薄膜经沉积而为平滑的并且并不具有柱状晶粒结构。在一些实施例中,沉积出包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相粒子的薄膜。在一些实施例中,介电性过渡金属化合物相粒子与导电或半导体过渡金属化合物相具有清晰的晶界。在一些实施例中,介电性过渡金属化合物相粒子包括由导电或半导体过渡金属化合物相环绕的离散粒子。在一些实施例中,介电性过渡金属化合物相粒子的直径小于约500nm、优选小于约100nm、更优选小于约20nm。在一些实施例中,介电性过渡金属化合物相粒子的直径可小于10nm。在一些实施例中,介电性过渡金属化合物粒子之间的平均距离小于约50nm,优选小于约20nm。在一些实施例中,介电性过渡金属化合物粒子之间的平均距离为约10nm到约20nm。在一些实施例中,介电性过渡金属化合物粒子包括柱状晶粒。在一些实施例中,柱状晶粒大体上延伸贯穿沉积薄膜的厚度。
在一些实施例中,沉积厚度为约500nm或更低的包括TiF3的薄膜。在一些实施例中,薄膜的厚度小于约100nm、小于约50nm、小于约30nm或小于约10nm。在一些实施例中,可取决于将使用膜的应用来选择厚度。例如在一些实施例中,膜的厚度可比上文所述的厚度小得多,例如为约2到约
Figure BDA0001624763990000121
约3到约
Figure BDA0001624763990000122
并且在一些情况下,为约5到约
Figure BDA0001624763990000123
在一些实施例中,薄膜的厚度可大于约100nm、大于约1μm或在一些情况下大于约1mm。
尽管主要在形成包括TiF3的薄膜的情形下说明,但可使用沉积超循环,例如ALD或CVD超循环来沉积其它介电性过渡金属化合物膜或含有介电性过渡金属化合物中的至少一些的膜,所述超循环包括至少一个其中利用过渡金属化合物反应物的子循环。例如在一些实施例中,可通过沉积方法沉积包括两种不同金属和氟的金属氮化物膜,所述沉积方法包括第一子循环,其中使衬底与第一金属反应物和第一氮反应物交替并依次接触;和第二子循环,其中使衬底与金属氟化物和还原剂,如硅烷或硼烷交替并依次接触。示例性方法描述在例如US申请第13/802,157号中,其以引用的方式并入本文中。
本文所述的沉积方法可用于沉积包括金属氟化物的膜,其可被称为MF膜,如包括氟化钛的膜。化学计量,并且由此的M和F的相对量可有所变化。举例来说,包括氟化钛的膜中的Ti和F的相对量可有所变化。此外,如上文所论述,在一些实施例中,膜可包括两种不同金属。可对膜中的每一元素的量加以控制,例如通过控制沉积方法中的子循环的比率。
举例来说,在用于形成包括TiF3的膜的一些实施例中,相对于氟化钛子循环增加还原子循环次数可减少膜中TiF4的量,同时增加膜中TiF3的量。在一些实施例中,氟化钛与还原子循环比率小于或等于约1,并且可产生氮含量小于约10原子%的TiF3膜。当氟化钛与还原子循环比率增加时,膜中氟化物的量一般会增加,并且相关的TiF3含量增加,并且氮含量也可下降。在不希望受任何理论束缚的情况下,认为在一些情况下,可形成固体溶液。
沉积方法
在一些实施例中,如本文所述的薄膜可通过原子层沉积(ALD)型方法、化学气相沉积(CVD)型方法或ALD和CVD型方法的组合来沉积。在一些实施例中,可使用其它方法,如物理气相沉积(PVD)、等离子体增强原子层沉积(PEALD)等。
简单来说,ALD型方法是基于前体化学物质的受控自限性表面反应。通过将前体交替并依次进给到反应腔室中来避免发生气相反应。例如通过在反应物脉冲之间从反应腔室去除过量反应物和/或反应物副产物,使气相反应物在反应腔室中彼此分离。
简单来说,一般在较低压力下,将衬底装入反应腔室中并加热到合适沉积温度。在一些实施例中,衬底包括300mm硅晶片。在一些实施例中,衬底包括450mm晶片。维持沉积温度低于前体热分解温度,但处于足够高以避免反应物缩合并且提供所需表面反应的活化能的水平下。当然,任何给出的ALD反应的适当温度窗将取决于所涉及的表面终止和反应物物种。
将第一反应物以气相脉冲形式引导或脉冲于腔室中,并与衬底表面接触。优选选择使最多前体的约一个单层以自限性方式吸附在衬底表面上的条件。通常用惰性气体,如氮气或氩气的脉冲从反应腔室吹扫过量第一反应物和反应副产物(如果存在)。
吹扫反应腔室意指如通过用真空泵抽空腔室和/或通过用惰性气体,如氩气或氮气替代反应器中的气体来从反应腔室去除气相前体和/或气相副产物。典型吹扫时间是约0.05到20秒,更优选在约1秒与10秒之间,并且再更优选在约1秒与2秒之间。然而,必要时可利用其它吹扫时间,如当需要将层沉积在高纵横比结构或具有复杂表面形态的其它结构上方时。熟练技术人员可以基于特定情况容易地确定适当脉冲时间。
将第二气态反应物脉冲到腔室中,在所述腔室中,其与结合到表面的第一反应物反应。优选借助于惰性气体将过量第二反应物和表面反应物的气态副产物吹扫出反应腔室。重复脉冲和吹扫步骤直到在衬底上已形成所需厚度的薄膜,其中每一循环仅留下分子单层。在形成本文公开的薄膜,如包括包埋于TiN中的TiF3的膜中,在每一ALD超循环中重复两个子循环一次或多次以沉积例如呈粒子形式的介电性过渡金属化合物材料,并沉积导电或半导体过渡金属化合物材料。
还可供应帮助沉积方法的其它反应物。此类反应物可提供于其自身脉冲中或连同前体脉冲一起提供,并可用于例如提供所需表面终止,用于汽提或吸气剂粘附的配体和/或游离副产物。在一些实施例中,其它反应物不会为生长的膜提供任何物种。
方法中所采用的前体可为标准条件(室温和大气压)下的固体、液体或气态材料,条件是在其被引导到反应腔室中并与衬底表面接触之前其呈气相。
如上文所提及,每一循环或子循环的每一脉冲或阶段优选是自限制的。在每一阶段供应过量的反应物前体以使敏感的结构表面饱和。表面饱和确保了反应物占据所有可获得的反应位点(例如受到物理尺寸或“位阻”限制),因此提供优良的步阶覆盖。在一些安排中,自限性的程度可通过例如使反应物脉冲的一些重叠折衷掉与保形性相违背的沉积速度(通过允许一些CVD型反应)来加以调整。在一些实施例中,本文所述的沉积方法可包括部分CVD型方法或完全CVD型方法。伴随反应物在时间和空间上得到良好分离的理想ALD条件接近完美的自限性,并且因此接近最大保形性,但在每个循环小于一个分子层中引起位阻。受限的CVD反应与自限性ALD反应混合可提高沉积速度。
将汽化的反应物“脉冲”到衬底上意指将气相引导到腔室中持续一定限制时间段。通常,脉冲时间是约0.05秒到约10秒。然而,取决于衬底类型和其表面积,脉冲时间可甚至高于约10秒。
作为实例,对于单一晶片ALD反应器中的300mm晶片来说,前体通常脉冲持续约0.05秒到约10秒,更优选约0.1秒到约5秒并且最优选约0.3秒到约3.0秒。然而,在一些情况下,脉冲时间可以是分钟级的。熟练技术人员可以基于特定情况容易地确定最适宜的脉冲时间。
金属前体的质量流速可由熟练技术人员来确定。在一些实施例中,例如对于300mm晶片上的沉积来说,反应物的流速优选在约1sccm与约1000sccm之间、约10sccm到约800sccm或约50sccm到约500sccm,但不限于此。
反应物中的每一种的脉冲时间和质量流速可独立地进行选择。在一些实施例中,反应物中的两种或更多种的脉冲时间(和/或质量流速)是相同的,但在一些实施例中,脉冲时间(或质量流速)有所不同。
反应腔室中的压力通常是约0.01mbar到约20mbar,更优选约1mbar到约10mbar。然而,在一些情况下,压力将高于或低于这一范围,如可由熟练技术人员取决于多个参数,如所用特定反应器、方法和前体而容易确定。
开始沉积膜之前,可将衬底加热到合适生长温度,如上文所论述。优选的沉积温度可取决于多种因素而变化,所述因素如并且不限于反应物前体、压力、流速、反应器的布置和衬底组成,包含上方待沉积的材料的性质。具体生长温度可由熟练技术人员基于特定情况来进行选择。
在一些实施例中,沉积温度是约100℃到约700℃、约200℃到约500℃、约250℃到约400℃或约325℃到约375℃。
处理时间在某种程度上取决于待产生的层的厚度、膜的组成、单独沉积子循环的生长速率和总体生长速率。
可使用的合适反应器的实例包含市售ALD设备,如购自亚利桑那州菲尼克斯(Phoenix,Arizona)的ASM America,Inc和荷兰阿尔默勒(Almere,Netherlands)的ASMEurope B.V.的F-
Figure BDA0001624763990000151
反应器、
Figure BDA0001624763990000152
反应器和
Figure BDA0001624763990000153
400串联反应器。除这些ALD反应器之外,还可采用能够进行薄膜的ALD生长的许多其它种类的反应器,包含配备有用于脉冲前体的适当设备和构件的CVD反应器。在一些实施例中,使用流动型ALD反应器。
在一些实施例中,反应器是能够容纳大于约50个衬底、大于约100个衬底或大于约125个衬底的分批反应器。在一些实施例中,反应器是微型分批反应器并具有2到约20个衬底、3到约15个衬底或4到约10个衬底。在一些实施例中,衬底是硅晶片,如直径为至少约150mm的硅晶片。在一些实施例中,衬底是直径为至少约200mm或至少约300mm的硅晶片。在一些实施例中,衬底可为直径为至少约450mm的硅晶片。
本文所述的用于沉积包括金属氟化物的导电薄膜的ALD方法可任选地在与丛集工具连接的反应器或反应空间中进行。在丛集工具中,因为每个反应空间专用于一种类型的方法,所以每个模块中反应空间的温度可以保持恒定,与在每次操作前将衬底加热到高达方法温度的反应器相比,这改进了生产量。
独立反应器可配备有装载锁。在所述情况下,不必在每个操作之间冷却反应空间。
在一些实施例中,使用CVD方法,在所述CVD方法中,两种或更多种前体材料在反应腔室中同时接触衬底。在一些实施例中,举例来说,将金属氟化物前体、还原剂和氮反应物同时提供到反应腔室,其在所述反应腔室中的加热衬底表面上反应以形成包括导电或半导体过渡金属化合物相和介电性过渡金属化合物相的薄膜。在一些实施例中,可通过反应空间中的金属氟化物前体、还原剂和氮反应物的相关流速来控制沉积薄膜的组成和结构。
在一些实施例中,使用CVD方法,在所述CVD方法中,将具有不同浓度的两种或更多种前体材料同时涂覆到反应腔室中的衬底。在一些实施例中,使用CVD方法,在所述CVD方法中,将具有不同浓度的两种或更多种前体材料至少部分同时涂覆到反应腔室中的衬底。在一些实施例中,其使得金属氟化物前体脉冲与随后的还原剂和/或氮反应物前体脉冲部分重叠的方式将金属氟化物前体、还原剂和氮反应物分开脉冲到反应腔室。反应物在加热衬底表面上反应以形成包括导电或半导体过渡金属化合物相和介电性过渡金属化合物相的薄膜。在一些实施例中,以使得还原剂和/或氮反应物脉冲与随后的金属氟化物前体脉冲部分重叠的方式将金属氟化物前体、还原剂和/或氮反应物脉冲到反应腔室。反应物在反应腔室中的加热衬底表面上反应以形成包括导电或半导体过渡金属化合物相和介电性过渡金属化合物相的薄膜。
在一些实施例中,使用CVD方法,在所述CVD方法中,将具有低浓度的两种或更多种前体材料同时涂覆到反应腔室中的衬底。在一些实施例中,将具有极低浓度(例如避免气相反应并实现表面控制反应)的金属氟化物前体、还原剂和氮反应物同时提供到反应腔室,其在所述反应腔室中的加热衬底表面上反应以形成包括导电或半导体过渡金属化合物相和介电性过渡金属化合物相的薄膜。
在一些实施例中,在处于约100℃到约800℃之间,优选200℃-600℃之间的衬底温度下进行CVD方法。使用含有沉积循环的CVD方法的实施例中所施用的接触时间、去除时间和前体浓度可基于在本文所述的ALD方法沉积循环的情况下的公开内容来进行选择。举例来说,前体的高浓度或大体上较高浓度可以是例如ALD方法中的循环中所施用的浓度,并且低浓度或大体上较低浓度可以例如小于ALD方法中的前体浓度的1/5或优选低于1/10。在前体可部分地同时接触衬底的一些实施例中,第一前体接触步骤可与随后的前体接触步骤重叠50%或更低,优选30%或更低。
包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合物材料的 薄膜的沉积
如上文所提及并且如下文详细论述,可使用介电性过渡金属化合物沉积子循环和还原子循环沉积包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的膜。在一些实施例中,过渡金属可选自Ti、Ta、Nb、Mo和W。可在超循环中以所需比率重复两个子循环以形成平滑和/或纳米晶膜。在一些实施例中,薄膜,如包括介电性过渡金属化合物相的薄膜不具有柱状晶粒结构。在一些实施例中,薄膜包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。
在一些实施例中,沉积方法是ALD方法。在一些实施例中,沉积方法是连续或循环方法,如利用与ALD方法相同的前体和条件选择的连续或脉冲CVD方法。在一些实施例中,沉积方法是PECVD方法。在一些实施例中,沉积方法是LPCVD/RTCDV方法。在一些实施例中,沉积方法具有并非自限性的步骤。在一些实施例中,所述方法可在接近于CVD条件或在一些情况下,完全接近于CVD条件的方法条件方案下操作。
在一些实施例中,通过可包括多个超循环的方法沉积包括介电性过渡金属化合物相的薄膜,其中每一超循环包括至少一个介电性过渡金属化合物(DM)子循环和至少一个还原子循环。每一超循环中的DM和还原子循环的比率可有所变化以获得所需组成,并且可选择超循环次数来沉积出具有所需厚度的包括介电性过渡金属化合物相的膜。在一些实施例中,对超循环中连续进行的每一子循环的次数加以限制以使得形成均匀导电薄膜,如包括金属氟化物的膜,其中DM和导电或半导体过渡金属化合物(CM)的分开层例如在横截面TEM或SEM图像中并不可见。在一些实施例中,超循环中连续进行的每一子循环的次数使得形成包括包埋于导电或半导体过渡金属化合物相(CM)中的介电性过渡金属化合物相(DM)的薄膜,其中在例如横截面TEM或SEM图像中,分开的DM粒子为可见的。
超循环可记作:
a[b(DM)+c(还原剂+氮化合物)],其中DM表示介电性过渡金属化合物子循环,并且b是每一超循环中DM子循环的次数;(还原剂+氮化合物)表示还原子循环,并且c是每一超循环中还原子循环的次数;并且a是超循环的次数。介电性过渡金属化合物与还原子循环的比率可以b:c给出。
可以沉积出具有所需组成和所需特性的薄膜的选定比率提供第一和第二沉积子循环(b和c)。举例来说,在一些实施例中,一个或多个超循环中的第一介电性过渡金属化合物沉积子循环与第二还原子循环的比率(b:c)可为约0.01到约100、约0.05到约50或约0.1到约1。在一些实施例中,一个或多个超循环中的介电性过渡金属化合物吸附子循环与还原子循环的比率小于一。在一些实施例中,一个或多个超循环中的介电性过渡金属化合物吸附子循环与还原子循环的比率在约1与约3之间。在一些实施例中,一个或多个超循环中的介电性过渡金属化合物吸附子循环与还原子循环的比率在约1与约50之间、约3与约30之间或约5与约20之间。在一些实施例中,一个或多个超循环中的介电性过渡金属化合物吸附子循环与还原子循环的比率是约0.5、约1、约3、约5、约10、约20、约40或约50。
在一些实施例中,第一介电性过渡金属化合物吸附子循环与第二还原子循环的比率(b:c)在所述方法中进行的所有整个超循环中是相同的。在其它实施例中,第一介电性过渡金属化合物吸附子循环与第二还原子循环的具体比率在不同的整个超循环中可有所变化。具体比率可由熟练技术人员选择以在膜中提供所需量的介电性过渡金属化合物相和导电或半导体过渡金属化合物相并由此获得具有所需特性的膜。
尽管被称为第一介电性过渡金属化合物吸附子循环和第二还原子循环,但在一些实施例中,一个或多个超循环开始于还原子循环,之后(在重复所需次数之后)为介电性过渡金属化合物吸附子循环(其在开始另一个超循环之前也可重复所需次数)。
在一些实施例中,超循环可记作:
a[b(DM+还原剂)+c(氮反应物)],其中b是每一超循环中DM子循环(包含还原剂)的次数;c是每一超循环中氮反应物子循环的次数;并且a是超循环的次数。介电性过渡金属化合物与氮子循环的比率可以b:c给出。
在一些实施例中,金属或M包括Ti、Ta、Nb、Mo或W。
在一些实施例中,还原剂包括硅烷或硼烷。在一些实施例中,还原剂是硅烷、二硅烷或丙硅烷。在一些实施例中,还原剂是硼烷、二硼烷或三硼烷。如上文所提及,尽管被称为“还原剂”,但在一些实施例中,不需要进行实际化学还原。类似地,在一些实施例中,“还原子循环”中未必会进行还原。
在一些实施例中,氮前体可选自由以下组成的群组:氨、N2H4、氮原子、含氮等离子体或氮自由基或等离子体中所产生的其它物种。
在一些实施例中,使用热ALD方法沉积氟化物膜,并且N-前体是氨或N2H4。在一些实施例中,使用等离子体ALD方法,并且用于沉积导电的含氟化物的膜的N-前体包括氮原子、含氮等离子体或氮自由基。
下文提供具体方法条件和参数以沉积包括TiF3的示例性薄膜、包括包埋于TiN中的TiF3的薄膜,但关于这些方法所述的方法条件可应用于沉积包括介电性过渡金属化合物相的其它膜。
在一些实施例中,在相同反应温度下进行第一和第二沉积子循环。在一些实施例中,介电性过渡金属化合物和还原子循环中的一个或两个的沉积温度是约100℃到约700℃、约200℃到约500℃、约250℃到约400℃或约325℃到约375℃。在一些实施例中,在约350℃下进行TiF4和还原子循环两者。
在一些实施例中,选择介电性过渡金属化合物子循环与还原子循环的比率以沉积以极薄厚度,如小于约3nm闭合的膜(其中闭合意指如例如通过LEIS测定,在最外表面处未检测到底层衬底的原子)。在一些实施例中,选择子循环的比率以使得膜是电连续的,即,以极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm传导电流。在一些实施例中,选择子循环的比率以使得膜以层形式是连续的,但可以极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm在连续基质中含有一些非连续特征,如孔。在一些实施例中,选择子循环的比率以使得膜不闭合并且可能不是连续的,但仍充当呈极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm的扩散阻挡层。
在一些实施例中,选择介电性过渡金属化合物子循环与还原子循环的比率以沉积光敏膜,例如可吸收一个或多个光子的辐射能以在电路中产生电能的膜。在一些实施例中,选择介电性过渡金属化合物子循环与还原子循环的比率以沉积将吸收入射到薄膜的光的至少一部分以在薄膜中产生电位差的薄膜。在一些实施例中,选择介电性过渡金属化合物子循环与还原子循环的比率以沉积导电并对光透明的薄膜,例如入射到薄膜表面的光的至少一部分穿过所述薄膜。在一些实施例中,选择介电性过渡金属化合物子循环与还原子循环的比率以沉积可充当波导的薄膜,例如可将入射到薄膜表面的经调制光束中所含的信息以电磁波形式传递到薄膜中的膜。
在一些实施例中,增加每一超循环中还原子循环的相对次数会增加包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜的薄层电阻和/或电阻率。
在一些实施例中,根据本发明形成的包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜的薄层电阻小于约200,000Ω/sq、小于约140,000Ω/sq、小于约20,000Ω/sq、小于约10,000Ω/sq、小于约1,000Ω/sq或甚至小于约1,000Ω/sq。
在一些实施例中,根据本发明形成的包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜的层电阻率小于约107μΩcm。在一些实施例中,薄膜的层电阻率在约500μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约5×103μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约104μΩcm与约106μΩcm之间。在一些实施例中,在小于约300℃下薄膜不会被空气环境氧化。
在一些实施例中,根据本发明形成的包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜的层电阻率可为至少约500μΩcm、至少约1,000μΩcm、至少约5,000μΩcm或甚至是至少约10,000μΩcm。在一些实施例中,根据本公开形成的膜可包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相粒子。在一些实施例中,介电性过渡金属化合物相粒子与导电或半导体过渡金属化合物相具有清晰的晶界。在一些实施例中,介电性过渡金属化合物相粒子包括由导电或半导体过渡金属化合物相环绕的离散粒子。在一些实施例中,介电性过渡金属化合物相粒子的直径小于约500nm、优选小于约100nm、更优选小于约20nm。在一些实施例中,介电性过渡金属化合物相粒子的直径可小于10nm。在一些实施例中,介电性过渡金属化合物相粒子之的平均距离小于约50nm,优选小于约20nm。在一些实施例中,介电性过渡金属化合物相粒子之间的平均距离是约10nm到约20nm。在一些实施例中,介电性过渡金属化合物相粒子包括柱状晶粒。在一些实施例中,柱状晶粒大体上延伸贯穿沉积薄膜的厚度。
在一些实施例中,包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜沉积在包括硅的衬底上。在一些实施例中,膜沉积在包括以下中的至少一种的衬底上:Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某种其它半导体材料。
在一些实施例中,根据本发明形成的包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜在低于约500℃、低于约400℃、低于约300℃或低于约250℃的温度下在含有氧气的气氛中可基本上不会展现出氧化。在一些实施例中,膜在室温或室外天然存在的温度,如约-50℃到约50℃下在含氧气的气氛,如环境空气中会长期抗氧化。举例来说,根据一些实施例,根据本发明方法所形成的膜可抗氧化持续大于6小时、优选大于24小时,并且在一些情况下,取决于膜组成,膜可抗氧化持续大于10天,优选大于30天,并且在一些情况下,若需要,大于1年的时间段。在一些实施例中,根据本公开所形成的膜在环境气氛中可抗氧化持续大于10年或大于20年。举例来说,暴露于环境空气可能会发生在一些特定应用中,例如在包括金属氟化物的膜用作对抗环境空气的保护层的情况下,所述环境空气还可包含湿气/水。含有氧气的其它气氛可包含氧原子、等离子体或自由基、臭氧、水/湿气或含有OH基团的其它物种。
包括金属氟化物的薄膜的沉积
如上文所提及并且如下文详细论述,可使用金属氟化物沉积子循环和还原子循环沉积包括金属氟化物的膜,例如包括包埋于导电或半导体过渡金属化合物相中的金属氟化物相的膜。在一些实施例中,金属可选自Ti、Ta、Nb、Mo和W。可在超循环中以所需比率重复两个子循环以形成平滑和/或纳米晶膜。在一些实施例中,薄膜,如包括金属氟化物的薄膜不具有柱状晶粒结构。在一些实施例中,薄膜包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属氟化物相。
在一些实施例中,沉积方法是ALD方法。在一些实施例中,沉积方法是连续或循环方法,如利用与ALD方法相同的前体和条件选择的连续或脉冲CVD方法。在一些实施例中,沉积方法是PECVD方法。在一些实施例中,沉积方法是LPCVD/RTCDV方法。在一些实施例中,沉积方法具有并非自限性的步骤。在一些实施例中,所述方法可在接近于CVD条件或在一些情况下,完全接近于CVD条件的方法条件方案下操作。
在一些实施例中,通过可包括多个超循环的方法沉积包括金属氟化物的薄膜,其中每一超循环包括至少一个金属氟化物(MF)子循环和至少一个还原子循环。每一超循环中MF与还原子循环的比率可有所变化以获得所需组成,并且可选择超循环的次数以沉积出具有所需厚度的含氟膜。在一些实施例中,对超循环中连续进行的每一子循环的次数加以限制以使得形成均匀导电薄膜,如包括金属氟化物的膜,其中MF和MN的分开层例如在横截面TEM或SEM图像中并不可见。在一些实施例中,超循环中连续进行的每一子循环的次数使得形成包括包埋于导电或半导体过渡金属化合物相(MN)中的介电性过渡金属氟化物相(MF)的薄膜,其中在例如横截面TEM或SEM图像中,分开的MF粒子为可见的。
超循环可记作:
a[b(MF)+c(还原剂+氮化合物)],其中MF表示MxFy子循环,并且b是每一超循环中MF子循环的次数;(还原剂+氮化合物)表示还原子循环,并且c每一超循环中还原子循环的次数;并且a是超循环的次数。金属氟化物与还原子循环的比率可以b:c给出。
可以沉积出具有所需组成和所需特性的薄膜的选定比率提供第一和第二沉积子循环(b和c)。举例来说,在一些实施例中,一个或多个超循环中的第一金属氟化物沉积子循环与第二还原子循环的比率(b:c)可为约0.01到约100、约0.05到约50或约0.1到约1。在一些实施例中,一个或多个超循环中的金属氟化物吸附子循环与还原子循环的比率小于一。在一些实施例中,一个或多个超循环中的金属氟化物吸附子循环与还原子循环的比率在约1和约3之间。在一些实施例中,一个或多个超循环中的金属氟化物吸附子循环与还原子循环的比率在约1与约50之间、约3与约30之间或约5与约20之间。在一些实施例中,一个或多个超循环中的金属氟化物吸附子循环与还原子循环的比率是约0.5、约1、约3、约5、约10、约20、约40或约50。
在一些实施例中,第一金属氟化物吸附子循环与第二还原子循环的比率(b:c)在所述方法中进行的所有整个超循环中是相同的。在其它实施例中,第一金属氟化物吸附子循环与第二还原子循环的具体比率在不同的整个超循环中可有所变化。具体比率可由熟练技术人员选择以在膜中提供所需量的金属、氟化物和氮并由此获得具有所需特性的膜。
尽管被称为第一金属氟化物吸附子循环和第二还原子循环,但在一些实施例中,一个或多个超循环开始于还原子循环,之后(在重复所需次数之后)为金属氟化物吸附子循环(其在开始另一个超循环之前也可重复所需次数)。
在一些实施例中,超循环可记作:
a[b(MF+还原剂)+c(氮反应物)],其中b是每一超循环中MF子循环(包含还原剂)的次数;c是每一超循环中氮反应物子循环的次数;并且a是超循环的次数。金属氟化物与氮子循环的比率可以b:c给出。
在一些实施例中,金属或M包括Ti、Ta、Nb、Mo或W。
在一些实施例中,还原剂包括硅烷或硼烷。在一些实施例中,还原剂是硅烷、二硅烷或丙硅烷。在一些实施例中,还原剂是硼烷、二硼烷或三硼烷。如上文所提及,尽管被称为“还原剂”,但在一些实施例中,不需要进行实际化学还原。类似地,在一些实施例中,“还原子循环”中未必会进行还原。
在一些实施例中,氮前体可选自由以下组成的群组:氨、N2H4、氮原子、含氮等离子体或氮自由基或等离子体中所产生的其它物种。
在一些实施例中,使用热ALD方法沉积氟化物膜,并且N-前体是氨或N2H4。在一些实施例中,使用等离子体ALD方法,并且用于沉积导电的含氟化物的膜的N-前体包括氮原子、含氮等离子体或氮自由基。
下文提供具体方法条件和参数以沉积包括TiF3的示例性薄膜、包括包埋于TiN中的TiF3的薄膜,但关于这些方法所述的方法条件可应用于沉积包括氟化物的其它膜。
在一些实施例中,在相同反应温度下进行第一和第二沉积子循环。在一些实施例中,用于金属氟化物和还原子循环中的一个或两个的沉积温度是约100℃到约700℃、约200℃到约500℃、约250℃到约400℃或约325℃到约375℃。在一些实施例中,在约350℃下进行TiF4和还原子循环两者。
在一些实施例中,选择金属氟化物子循环与还原子循环的比率以沉积以极薄厚度,如小于约3nm闭合的膜(其中闭合意指如例如通过LEIS测定,在最外表面处未检测到底层衬底的原子)。在一些实施例中,选择子循环的比率以使得膜是电连续的,即,以极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm传导电流。在一些实施例中,选择子循环的比率以使得膜以层形式是连续的,但可以极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm在连续基质中含有一些非连续特征,如孔。在一些实施例中,选择子循环的比率以使得膜不闭合并且可能不是连续的,但仍充当呈极薄厚度,如小于约3nm、小于约2nm、小于约1.5nm或甚至小于约1.0nm的扩散阻挡层。
在一些实施例中,选择金属氟化物子循环与还原子循环的比率以沉积光敏膜,例如可吸收一个或多个光子的辐射能以在电路中产生电能的膜。在一些实施例中,选择金属氟化物子循环与还原子循环的比率以沉积将吸收入射到薄膜的光的至少一部分以在薄膜中产生电位差的薄膜。在一些实施例中,选择金属氟化物子循环与还原子循环的比率以沉积导电并对光透明的薄膜,例如入射到薄膜表面的光的至少一部分穿过所述薄膜。在一些实施例中,选择金属氟化物子循环与还原子循环的比率以沉积可充当波导的薄膜,例如可将入射到薄膜表面的经调制光束中所含的信息以电磁波形式传递到薄膜中的膜。
在一些实施例中,增加每一超循环中还原子循环的相对次数会增加金属氟化物膜的薄层电阻和/或电阻率。
在一些实施例中,根据本发明形成的含氟化物膜的薄层电阻小于约200,000Ω/sq、小于约140,000Ω/sq、小于约20,000Ω/sq、小于约10,000Ω/sq、小于约1,000Ω/sq或甚至小于约1,000Ω/sq。
在一些实施例中,根据本发明形成的含氟化物膜的层电阻率小于约107μΩcm。在一些实施例中,薄膜的层电阻率在约500μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约5×103μΩcm与约5×106μΩcm之间。在一些实施例中,薄膜的层电阻率在约104μΩcm与约106μΩcm之间。在一些实施例中,在小于约300℃下薄膜不会被空气环境氧化。
在一些实施例中,根据本发明形成的含氟化物膜的层电阻率可为至少约500μΩcm、至少约1,000μΩcm、至少约5,000μΩcm或甚至是至少约10,000μΩcm。在一些实施例中,根据本公开形成的含氟化物膜可包括包埋于导电或半导体过渡金属化合物相中的金属氟化物粒子。在一些实施例中,金属氟化物粒子与导电或半导体过渡金属化合物相具有清晰的晶界。在一些实施例中,金属氟化物粒子包括由导电或半导体过渡金属化合物相环绕的离散粒子。在一些实施例中,金属氟化物粒子的直径小于约500nm、优选小于约100nm、更优选小于约20nm。在一些实施例中,金属氟化物粒子的直径可小于10nm。在一些实施例中,金属氟化物粒子之间的平均距离小于约50nm,优选小于约20nm。在一些实施例中,金属氟化物粒子之间的平均距离是约10nm到约20nm。在一些实施例中,金属氟化物粒子包括柱状晶粒。在一些实施例中,柱状晶粒大体上延伸贯穿沉积薄膜的厚度。
在一些实施例中,含氟化物膜沉积在包括硅的衬底上。在一些实施例中,含氟化物膜沉积在包括以下中的至少一种的衬底上:Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某种其它半导体材料。
在一些实施例中,根据本发明形成的包括金属氟化物的膜在低于约500℃、低于约400℃、低于约300℃或低于约250℃的温度下在含有氧气的气氛中可基本上不会展现出氧化。在一些实施例中,膜在室温或室外天然存在的温度,如约-50℃到约50℃下在含氧气的气氛,如环境空气中会长期抗氧化。举例来说,根据一些实施例,根据本发明方法所形成的膜可抗氧化持续大于6小时、优选大于24小时,并且在一些情况下,取决于膜组成,膜可抗氧化持续大于10天,优选大于30天,并且在一些情况下,若需要,大于1年的时间段。在一些实施例中,根据本公开所形成的膜在环境气氛中可抗氧化持续大于10年或大于20年。举例来说,暴露于环境空气可能会发生在一些特定应用中,例如在包括金属氟化物的膜用作对抗环境空气的保护层的情况下,所述环境空气还可包含湿气/水。含有氧气的其它气氛可包含氧原子、等离子体或自由基、臭氧、水/湿气或含有OH基团的其它物种。
利用ALD的包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合 物材料的膜的沉积
如上文所提及,在一些实施例中,用于沉积包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的膜(如包括氟化物化合物的薄膜)的原子层沉积方法可包括多个超循环,其中每一超循环包括至少一个介电性过渡金属化合物相(DM)子循环和至少一个还原子循环。在DM子循环中,将衬底暴露于气相介电性过渡金属化合物,例如金属氟化物,以使得直到单层吸附在衬底表面上。在还原子循环中,将衬底暴露于还原剂,如硅烷或硼烷,和氮反应物。DM和还原子循环的比率可有所变化以获得所需组成,并且可选择超循环次数来沉积出具有所需厚度的包括介电性过渡金属化合物相的膜。DM子循环可在还原子循环之前并且反之亦然。类似地,在还原循环中,还原剂可先于氮反应物并且反之亦然。
图1展示用于在反应腔室中的衬底上形成包括介电性过渡金属化合物相和导电或半导体过渡金属化合物相的膜的ALD方法,所述方法包括多个ALD超循环100。每一超循环包括第一DM沉积子循环200和第二还原子循环300。视需要重复超循环100多次以沉积出具有所需厚度的薄膜。可选择超循环100中子循环200与300之间的比率以获得具有所需组成和特性的膜。
第一介电性过渡金属化合物沉积子循环包括:
将汽化的介电性过渡金属化合物,如过渡金属氟化物脉冲到反应腔室中210以在衬底上最多形成介电性过渡金属化合物的分子单层,并且
吹扫反应腔室220以去除过量介电性过渡金属化合物和反应物副产物(如果存在),并且
重复脉冲和吹扫步骤250。
在一些实施例中,连续重复第一沉积子循环1、2、3、4、5、10、20、50、100或更多次。在一些实施例中,连续重复第一沉积子循环不超过约30-60次,连续重复最多约30到50次或连续重复最多约40次。
用于形成薄膜的原子层沉积超循环100还包括一个或多个第二还原子循环300。在一些实施例中,第二还原子循环300包括:
将汽化的还原剂,如二硅烷或丙硅烷脉冲到反应腔室中310以还原经吸附的介电性过渡金属化合物中的至少一些,
吹扫反应腔室320以去除过量还原剂和反应物副产物(如果存在),
任选地将氮反应物的脉冲,如NH3提供到反应腔室中330,
任选地吹扫反应腔室340以去除过量氮反应物和任何气态副产物,并且
重复350至少脉冲和吹扫步骤310和320。
在一些实施例中,第二还原子循环300连续重复1、2、3、4、5、10、20、50、100或更多次。在一些实施例中,重复第二还原子循环约3到6次或约5次。
在整个ALD超循环100中重复第一和第二子循环200、300多次,并重复整个ALD超循环100以形成具有所需厚度的包括所需浓度的介电性过渡金属化合物相的薄膜。
在一些实施例中,在每一整个ALD超循环100中,第一沉积子循环200和第二还原子循环300的重复次数是相同的。在其它实施例中,在一个或多个整个ALD超循环100中,第一和第二子循环100、200的次数会有所变化。每一整个ALD超循环100中第一和第二子循环100、200的次数和第一和第二子循环100、200和总ALD超-循环100的总次数可加以调整来实现具有所需厚度和组成的薄膜的沉积。
尽管示出的是从第一沉积子循环200开始,但每一整个ALD循环可以第一子循环100或第二子循环200任一个开始和结束。举例来说,用于形成薄膜的每一ALD超循环可以第一介电性过渡金属化合物沉积子循环或还原子循环开始。在一些实施例中,一个或多个超循环可以还原子循环开始。
在一些实施例中,通过ALD在衬底表面上沉积薄膜以形成500nm或更低的保形薄膜。在一些实施例中,膜的厚度小于100nm、小于约50或小于约10nm。取决于应用,厚度可小得多,例如约2到约
Figure BDA0001624763990000271
优选约3到约
Figure BDA0001624763990000273
并且在一些情况下,约5到约
Figure BDA0001624763990000272
在一些实施例中,例如当包括TiF3的膜用作光电极时,膜的厚度可为约30nm。在一些实施例中,薄膜的厚度可大于约100nm、大于约1μm或在一些情况下大于约1mm。
在不脱离本发明的范围的情况下,可对上文所述的方法和结构作各种修改、省略和添加。如由所附权利要求书所限定,所有此类修改和变化均意图属于本发明的范围内。
利用PVD的包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合 物材料的膜的沉积
在一些实施例中,可通过物理气相沉积(PVD)型方法沉积如本文所述的包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合物材料的薄膜。在一些实施例中,可通过反应性溅射沉积方法沉积包括介电性过渡金属化合物材料和导电或半导体过渡金属化合物材料的薄膜。在一些实施例中,反应性溅射方法可包括使用包括元素过渡金属的靶材。举例来说,靶材可包括过渡金属靶材,如钛靶材。在一些实施例中,沉积方法可包括在包括氮、氟和/或氧物种的气氛中产生等离子体。
在一些实施例中,介电性过渡金属化合物材料可包括固体过渡金属氟化物、过渡金属氧化物、或过渡金属氟氧化物、或这些中的一种或多种的混合物。在一些实施例中,介电性过渡金属化合物材料可包含TiF3
在一些实施例中,导电或半导体过渡金属化合物材料可包括过渡金属氮化物。在一些实施例中,导电或半导体过渡金属化合物材料可包括TiN。
在一些实施例中,使用溅射方法,例如反应性溅射方法沉积薄膜。在一些实施例中,溅射方法可包括在包括氮和/或氟的气氛中产生等离子体。在一些实施例中,气氛可包括含氮物种和/或含氟物种。在一些实施例中,气氛可包括例如N2、NH3、和/或F2
在一些实施例中,通过溅射方法,例如反应性溅射方法沉积包括处于TiN中的TiF3的薄膜。在一些实施例中,溅射方法可包括在包括N2和/或F2的气氛中产生等离子体。在一些实施例中,溅射方法可包括在包括NH3和/或F2的气氛中产生等离子体。
在一些实施例中,气氛的组成在沉积方法期间可有所变化。举例来说,含氮物种和含氟物种的浓度在整个沉积方法中可有所变化。在一些实施例中,气氛可包括含氮物种并且可不包括含氟物种。在一些实施例中,气氛可包括含氟物种并且可不包括含氮物种。在一些实施例中,在沉积方法期间,对于沉积方法的至少一部分来说,气氛可包括含氮物种并且可不包括含氟物种,并且对于沉积方法的至少不同部分,例如沉积方法的稍前或稍后部分,可包括含氟物种并且可不包括含氮物种。
利用ALD的包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合 物材料的膜的沉积
如上文所提及,在一些实施例中,用于沉积包括处于导电或半导体过渡金属化合物材料中的介电性过渡金属化合物材料的膜的原子层沉积方法可包括多个超循环,其中每一超循环包括至少一个过渡金属化合物子循环和至少一个第二子循环,如还原子循环。在过渡金属化合物子循环中,将衬底暴露于气相过渡金属化合物,以使得直到单层吸附在衬底表面上。在第二子循环,如还原子循环中,将衬底暴露于其它反应物,如还原剂,例如硅烷或硼烷;和/或第三反应物,如氮反应物。过渡金属化合物和第二子循环的比率可有所变化以获得所需组成,并且可选择超循环次数以沉积出具有所需厚度的包括过渡金属化合物的膜。过渡金属化合物子循环可在第二子循环之前并且反之亦然。类似地,在第二子循环,如还原子循环中,还原剂可先于第三反应物,如氮反应物,并且反之亦然。
利用ALD的包括TiF3的膜的沉积
如上文所提及,在一些实施例中,用于沉积包括包埋于导电或半导体过渡金属化合物相(如TiN)中的TiF3的膜,如包括包埋于导电或半导体过渡金属化合物相(如TiN)中的TiFx化合物(如TiF3)的薄膜的原子层沉积方法可包括多个超循环,其中每一超循环包括至少一个TiF4子循环和至少一个还原子循环。在TiF4子循环中,将衬底暴露于气相TiF4,以使得直到单层吸附在衬底表面上。在还原子循环中,将衬底暴露于还原剂,如硅烷或硼烷,和氮反应物。TiF4和还原子循环的比率可有所变化以获得所需组成,并且可选择超循环次数来沉积出具有所需厚度的包括氟化钛的膜。TiF4子循环可在还原子循环之前并且反之亦然。类似地,在还原循环中,还原剂可先于氮反应物并且反之亦然。
在一些实施例中,TiF4子循环可包含还原剂,如硅烷化合物或硼烷化合物。并且在一些实施例中,第二子循环不包含硅烷或硼烷化合物。
超循环可记作:
a[b(氟化钛)+c(还原剂+氮反应物)]其中(氟化钛)表示TiF4子循环,并且b是每一超循环中TiF4子循环的次数;(还原剂+氮反应物)表示还原子循环,并且c是每一超循环中还原子循环的次数;并且a是超循环次数。尽管示出的是超循环中TiF4子循环排在最前,但在一些实施例中,在一个或多个超循环中,还原子循环排在最前。因此在一些实施例中,可将TiF4子循环视为第一子循环并且可将还原子循环视为第二子循环,而在一些实施例中,可将还原子循环视为第一子循环并且可将TiF4子循环视为第二子循环。
但在一些实施例中,超循环可记作:
a[b(TiF4+还原剂)+c(氮反应物)],其中b是每一超循环中TiF4子循环(包括还原剂)的次数;c是每一超循环中氮反应物子循环的次数;并且a是超循环次数。金属氟化物与氮子循环的比率可以b:c给出。
在一些实施例中,还原剂可为硼烷或硅烷,如二硼烷、三硼烷、二硅烷或丙硅烷。在一些实施例中,还原剂是二硅烷。在一些实施例中,还原剂是丙硅烷。在一些实施例中,氮反应物可为氨、N2H4、氮原子、含氮等离子体或氮自由基。
在一些实施例中,超循环可记作a[b(TiF4)+c(Si2H6+NH3)],其中b是每一超循环中TiF4子循环的次数,c是每一超循环中还原子循环的次数,并且a是超循环的次数。
TiF4与还原子循环的比率可因此以b:c(或TiF4:还原)给出。在一些实施例中,ALD方法中每一ALD超循环中的子循环的比率是恒定的。在其它实施例中,可改变一个或多个超循环中子循环的比率。除非另外指明,否则当在本文中提供子循环的比率时,其是指包括多个超循环的整个ALD方法中子循环的比率。
在一些实施例中,在相同反应温度下进行第一和第二沉积子循环。在一些实施例中,TiF4和还原子循环中的一个或两个的沉积温度是约100℃到约700℃、约200℃到约500℃、约250℃到约400℃或约325℃到约375℃。在一些实施例中,在约350℃下进行TiF4和还原子循环两者。
在一些实施例中,在相同反应器中进行第一和第二子循环。
可以所选比率提供第一和第二子循环以沉积出具有所需组成和所需特性的薄膜。举例来说,在一些实施例中,一个或多个ALD超循环中第一TiF4沉积子循环与第二还原子循环的比率可为约0.01到约100、约0.05到约50或约0.1到约1。在一些实施例中,一个或多个超循环中TiF4沉积子循环与还原子循环的比率小于一。在一些实施例中,一个或多个超循环中TiF4沉积子循环与还原子循环的比率在约1和约3之间。在一些实施例中,一个或多个超循环中TiF4沉积子循环与还原子循环的比率在约1与约50之间、约3与约30之间或约5与约20之间。在一些实施例中,一个或多个超循环中TiF4沉积子循环与还原子循环的比率是约0.01、约0.2、约0.3、约0.4、约0.5、约0.6、约0.8或约1。
如上文所提及,可选择子循环的比率以获得所需组成和所需膜特性。在一些实施例中,通过相对于TiF4子循环增加还原子循环次数而将较大百分比的TiF4转化成TiF3。在一些实施例中,增加TiF4与还原子循环的比率以增加沉积膜的薄层电阻和/或电阻率。
在一些实施例中,第一TiF4沉积子循环与第二还原子循环的比率在ALD方法中进行的所有整个ALD超循环中是相同的。在其它实施例中,第一TiF4沉积子循环与第二还原沉积子循环的具体比率在不同的整个ALD超循环中可有所变化。具体比率可由熟练技术人员选择以在膜中提供所需量的钛、氟、氮并由此获得具有所需特性的膜。
在一些实施例中,所沉积的包括TiF3的膜是导电膜。在一些实施例中,包括TiF3的膜经沉积而具有比通过未将氟并入膜中的已知气相沉积方法,如ALD沉积的TiN膜要大的抗氧化性(例如如在300℃下在空气环境中所测量)。
在一些实施例中,包括TiF3的导电膜经形成而包括来自还原化合物的一些硅或硼和来自氮反应物的一些氮。举例来说,在一些实施例中,包括TiF3的导电膜经沉积而含有一些Si和一些N。
在一些实施例中,使用硅烷作为还原剂,并且包括TiF3的膜还包括少量硅。举例来说,在一些实施例中,硅含量可在约15原子%,优选约0.01到约10原子%,更优选约0.1到约5原子%并且最优选约0.1到约2原子%范围内。在一些实施例中,硅含量优选小于约1.5原子%。
在一些实施例中,使用硼烷作为还原剂,并且包括TiF3的膜还包括少量硼。举例来说,在一些实施例中,硼含量可在小于约15原子%、约0.01到约10原子%、约0.1到约5原子%或约0.1到约2原子%范围内。在一些实施例中,硼含量优选小于约1.5原子%。
在一些实施例中,包括TiF3的膜还包括少量氮。举例来说,在一些实施例中,氮含量可在约0.5到约50原子%、约1-20原子%或约2到约15原子%范围内。
在一些实施例中,膜包括呈大于约10原子%、优选约20到约75原子%、约40到约70原子%或约45到约65原子%的量的氟。
在一些实施例中,包括TiF3的膜包括小于约1原子%氧。
在一些实施例中,所沉积的包括TiF3的膜包括包埋于导电或半导体过渡金属化合物相中的TiF3粒子。在一些实施例中,TiF3粒子与导电或半导体过渡金属化合物相具有清晰的晶界。在一些实施例中,TiF3粒子包括由导电或半导体过渡金属化合物相环绕的离散粒子。在一些实施例中,TiF3粒子的直径小于约500nm、优选小于约100nm、更优选小于约20nm。在一些实施例中,TiF3粒子的直径可小于10nm。在一些实施例中,TiF3粒子之间的平均距离小于约50nm,优选小于约20nm。在一些实施例中,TiF3粒子之间的平均距离是约10nm到约20nm。在一些实施例中,TiF3粒子包括柱状晶粒。在一些实施例中,柱状晶粒大体上延伸贯穿沉积薄膜的厚度。
在一些实施例中,包括TiF3的膜沉积在包括硅的衬底上。在一些实施例中,包括TiF3的膜沉积在包括以下中的至少一种的衬底上:Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某种其它半导体材料。
图2展示用于在反应腔室中的衬底上形成包括TiF3的膜的ALD方法,所述方法包括多个ALD超循环101。每一超循环包括第一TiF4沉积子循环201和第二还原子循环301。视需要重复超循环100多次以沉积出具有所需厚度的TiF3膜。可选择超循环101中子循环201和301之间的比率以获得具有所需组成和特性的膜。
第一氟化钛沉积子循环包括:
将汽化的TiFx,如TiF4脉冲到衬底反应腔室211中以在衬底上最多形成氟化钛的分子单层,并且
吹扫反应腔室221以去除过量氟化钛和反应物副产物(如果存在),并且
重复脉冲和吹扫步骤251。
在一些实施例中,连续重复第一沉积子循环1、2、3、4、5、10、20、50、100或更多次。在一些实施例中,连续重复第一沉积子循环不超过约30-60次,连续重复最多约30到50次或连续重复最多约40次。
用于形成TiF3/TiN膜的原子层沉积超循环101还包括一个或多个第二还原子循环301。在一些实施例中,第二还原子循环301包括:
将汽化的还原剂,如二硅烷或丙硅烷脉冲到反应腔室中311以将TiF4中的至少一些还原成TiF3
吹扫反应腔室321以去除过量还原剂和反应物副产物(如果存在),
将氮反应物,如NH3的脉冲提供到反应腔室中331,其中氮反应物为氟化钛膜贡献至少一些氮,
吹扫反应腔室341以去除过量氮反应物和任何气态副产物,并且
重复脉冲和吹扫步骤351。
在一些实施例中,第二还原子循环301连续重复1、2、3、4、5、10、20、50、100或更多次。在一些实施例中,重复第二还原子循环约3到6次或约5次。
在整个ALD超循环101中重复第一和第二子循环201、301多次,并重复整个ALD超循环101以形成具有所需厚度的包括所需浓度的钛、氟和氮的TiF3膜。
在一些实施例中,在每一整个ALD超循环100中,第一沉积子循环201和第二还原子循环301的重复次数是相同的。在其它实施例中,在一个或多个整个ALD超循环101中,第一和第二子循环101、201的次数会有所变化。每一整个ALD超循环101中第一和第二子循环101、201的次数和第一和第二子循环101、201和总ALD超-循环101的总次数可加以调整来实现具有所需厚度和组成的TiF3/TiN膜的沉积。
尽管示出的是从第一沉积子循环201开始,但每一整个ALD循环可以第一子循环101或第二子循环201任一个开始和结束。举例来说,用于形成薄膜的每一ALD超循环可以第一氟化钛沉积子循环或还原子循环开始。在一些实施例中,一个或多个超循环可以还原子循环开始。
在一些实施例中,通过ALD将包括TiF3的膜沉积在衬底表面上方以形成500nm或更低的保形薄膜。在一些实施例中,膜的厚度小于100nm、小于约50或小于约10nm。取决于应用,厚度可小得多,例如约2到约
Figure BDA0001624763990000331
优选约3到约
Figure BDA0001624763990000332
并且在一些情况下,约5到约
Figure BDA0001624763990000333
在一些实施例中,例如当包括TiF3的膜用作光电极时,膜的厚度可为约30nm。在一些实施例中,薄膜的厚度可大于约100nm、大于约1μm或在一些情况下大于约1mm。
在一些实施例中,包括TiF3的膜经形成而仅在含氧或水/湿气的气氛,如环境空气中在大于约300℃的温度下开始氧化。
在不脱离本发明的范围的情况下,可对上文所述的方法和结构作各种修改、省略和添加。如由所附权利要求书所限定,所有此类修改和变化均意图属于本发明的范围内。
光子装置
本文所述的方法和材料可提供供用于光伏或光子装置,例如太阳能电池或波导装置中的具有光敏性或其它合乎需要的特性的膜。根据一些实施例,在光子装置制造期间,通过所公开方法在合适衬底,例如p型硅衬底上沉积复合薄膜。
在一些实施例中,如本文所述的薄膜或光子装置可在至少对应于来自太阳的辐射的光的波长中运作或起作用。在一些实施例中,薄膜或光子装置在至少约100nm到约3000nm的光的波长中运作或起作用。在一些实施例中,薄膜或光子装置在至少可见光的波长中运作或起作用。在一些实施例中,薄膜或光子装置在至少大于约350nm或大于约500nm的波长中运作或起作用。在一些实施例中,薄膜或光子装置在至少对应于可见光谱中的红光的光的波长中运作或起作用。在一些实施例中,薄膜或光子装置在至少典型太阳能电池可运作而所处的那些辐射波长,如将对所属领域的技术人员已知,例如约532nm和/或约630nm到约680nm等的辐射波长中运作或起作用。
在一些实施例中,光子装置可包括第一导电或半导体过渡金属层、定位于第一层上方的第二半导体层和定位于第二层上方的第三复合薄膜层。在一些实施例中,层为固体层。在一些实施例中,层不包括液体。
在一些实施例中,第一导电或半导体过渡金属层可充当光子装置的电接触件。在一些实施例中,第一层可包括导电或半导体过渡金属氧化物或氮化物。在一些实施例中,第一层可包括选自Ti、Ta、Nb、Mo和W的金属。在一些实施例中,第一层可包括TiN。在一些实施例中,第一层是固体的。在一些实施例中,第一层不为液体。在一些实施例中,第一层的厚度小于500nm。在一些实施例中,第一层的厚度小于约100nm,优选小于约50nm。在一些实施例中,第一层的厚度是45nm。
在一些实施例中,第二层可包括以下中的至少一种:Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某种其它半导体材料,如III-V或II-VI材料。在一些实施例中,第二层可包括p+型硅。在一些实施例中,第二层可进一步包含氧化物层。在一些实施例中,第二层在顶表面、底表面或顶表面和底表面两者上可包括氧化物层,例如SiO2。在一些实施例中,氧化物层可包括自然氧化物或热氧化物。在一些实施例中,第二层是固体的。在一些实施例中,第二层不为液体。在一些实施例中,氧化物层的厚度可小于约50nm,优选小于约20nm。在一些实施例中,氧化物层的厚度可小于约10nm、小于约5nm或小于约3nm。在一些实施例中,第二层在顶表面、底表面或顶表面和底表面两者上可不包括氧化物。
在一些实施例中,第三复合薄膜层可包括如本文所公开的薄膜。在一些实施例中,第三复合薄膜层可包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,介电性过渡金属化合物相可包括离散粒子。在一些实施例中,介电性过渡金属化合物相的过渡金属可选自以下中的一种:Ti、Ta、Nb、Mo和W。在一些实施例中,介电性过渡金属化合物相可选自包括以下的清单:TiF3、Cr2O3、NiO、WO3、Ti2O3、TiOF2、NbO2F、NbO3-xFx、NbOx/2F3-x、MoO3-xFx、MoOxF3-x、TaO2F、TaOxF3-x、WO3-xFx。在一些实施例中,介电性过渡金属化合物相具有ReO3样结构。在一些实施例中,导电或半导体过渡金属化合物相可选自包括以下的清单:Cr、TiN、Fe、W、TiC、Ti。在一些实施例中,介电性过渡金属化合物相包括TiF3。在一些实施例中,导电或半导体过渡金属化合物相包括TiN。在一些实施例中,介电性过渡金属化合物相包括TiF3,并且导电或半导体过渡金属化合物相包括TiN。在一些实施例中,第三层包括TiF3和TiN的混合物。
在一些实施例中,介电性过渡金属化合物相可包括为约0.1nm到约500nm的粒子。在一些实施例中,介电性过渡金属化合物相粒子与导电或半导体过渡金属化合物相具有清晰的晶界。在一些实施例中,介电性过渡金属化合物相粒子的直径小于约500nm、优选小于约100nm、更优选小于约20nm。在一些实施例中,介电性过渡金属化合物相粒子的直径可小于10nm。在一些实施例中,介电性过渡金属化合物相粒子之的平均距离小于约50nm,优选小于约20nm。在一些实施例中,介电性过渡金属化合物相粒子之间的平均距离是约10nm到约20nm。在一些实施例中,介电性过渡金属化合物相粒子包括柱状晶粒。在一些实施例中,柱状晶粒大体上延伸贯穿第三层的厚度。
在一些实施例中,第二层可充当光子装置中的光敏组件。在一些实施例中,第三层可充当光子装置中的光敏组件。在一些实施例中,第二层和第三层可充当光子装置中的光敏组件。在一些实施例中,光敏组件吸收光子的辐射能以在电路中产生电能,例如当暴露于入射光时,光敏组件可在装置中产生电位差。在一些实施例中,光敏组件被配置成利用电能来产生光子。
在一些实施例中,光子装置的第三层包括光子透明组件,其被配置成允许光子穿过光子透明组件。在一些实施例中,光子装置的第三层包括被配置成用于收集经光子激发的载荷子的电荷收集组件。在一些实施例中,光子装置的第三层包括被配置成传递入射在光子装置的至少一部分上的光子通量的特征的波导组件。
根据一些实施例,本文公开一种包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的光子装置。在一些实施例中,介电性过渡金属化合物相可包括离散粒子。在一些实施例中,介电性过渡金属化合物相可包括为约0.1nm到约500nm的粒子。在一些实施例中,导电或半导体过渡金属化合物相环绕介电性过渡金属化合物相粒子。
在一些实施例中,光子装置包括光敏组件。在一些实施例中,光敏组件被配置成吸收光子的辐射能以在电路中产生电能。在一些实施例中,光敏组件被配置成利用电能来产生光子。在一些实施例中,光敏组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,光敏组件包括半导体材料。在一些实施例中,光敏组件包括Si、SiGe、Ge、CdTe、GaAs、GaSb和/或InGaAs。在一些实施例中,光敏组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括光子透明组件,其被配置成允许光子穿过光子透明组件。在一些实施例中,光子透明组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,光子透明组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括被配置成用于收集经光子激发的载荷子的电荷收集组件。在一些实施例中,电荷收集组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。在一些实施例中,电荷收集组件包括氧化铟锡、经掺杂的氧化锡、氧化锌、经掺杂的氧化锌、导电聚合物、金属网格、碳纳米管、石墨烯或纳米线薄膜。在一些实施例中,电荷收集组件包括TiF3和TiN的混合物。
在一些实施例中,光子装置包括被配置成传递入射在光子装置的至少一部分上的光子通量的特征的波导组件。在一些实施例中,波导组件包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相。
实例
Figure BDA0001624763990000362
2000R&D反应器中通过ALD沉积多个TiF3膜。用超循环法使用包括TiF4子循环和还原子循环的以下基本超循环沉积膜:z[x(TiF4+y(Si3H8+NH3)]和z[x(TiF4+y(Si2H6+NH3)]。反应器温度为约370℃。
基本方法参数为:TiF4;3秒脉冲/5秒吹扫,NH3;10秒脉冲/5秒吹扫,Si2H6/Si3H8;1秒脉冲/5秒吹扫。
将膜沉积在具有自然氧化物的硅上。通过改变TiF4/还原子循环比率(x/y)改变膜组成,并通过超循环次数(z)控制膜厚度。
膜通过以下来表征:对于薄层电阻,使用CDE Resmap 168的四点探针测量;对于厚度粗糙度和密度,使用Brüker D8 Advance的x射线反射率(XRR)测量;对于厚度,SentechSE800椭圆偏振计;对于组成,使用单色的AlKα的PHI Quantum 2000的x射线光电子光谱(XPS)(由新泽西州东温莎市的EAG实验室(EAG labs,East Windsor,New Jersey)进行分析);使用具有CuKα辐射的PANalytical X'Pert Pro MPDX射线绕射仪的x射线绕射(XRD)。ALD方法产生含有出人意料的量的氟的膜。XPS和XRD分析显示膜为TiF3和TiN的混合物。膜为透明和导电的。表1概述具有不同TiF4/还原子循环比率的方法的组成、电阻率、粗糙度、密度和生长速率。
表1.具有不同脉冲比率的TiF4+Si2H6/Si3H8+NH3的Rs和椭圆偏振测量数据
Figure BDA0001624763990000361
Figure BDA0001624763990000371
通过如本文所公开的ALD方法沉积包括包埋于TiN中的TiF3粒子的多种复合薄膜(TiF3:TiN膜)。膜包含包埋于TiN中的TiF3相粒子。用超循环法使用包括:TiF4子循环和还原子循环的以下基本超循环沉积膜:z[x(TiF4+y(Si2H6+NH3)]和z[x(TiF4+y(Si3H8+NH3)]。反应器温度为370℃。
基本方法参数为:TiF4;3秒脉冲/5秒吹扫,NH3;10秒脉冲/5秒吹扫,Si2H6/Si3H8;1秒脉冲/5秒吹扫。
将膜沉积在具有自然氧化物的硅衬底上。通过改变TiF4/还原子循环比率(x/y)改变每一膜中的膜组成和TiF3相粒子的大小,并通过超循环次数(z)控制膜厚度。沉积出TiF3相粒子在2nm到50nm范围内的薄膜。
使用亮场和暗场电子显微术表征薄膜结构。图6是显示硅衬底上所沉积的TiF3:TiN膜的结构的亮场横截面TEM图像。图7A和7B分别显示包括包埋于其中的TiF3粒子的TiN膜的亮场和暗场TEM图像。用超循环法使用包括:TiF4子循环和还原子循环的以下基本超循环沉积膜:z[x(TiF4+y(Si2H6+NH3)]。在图7A的亮场TEM图像中,包埋于TiN中并由其环绕的TiF3粒子以暗点形式可见。在图7B的暗场TEM图像中,包埋于TiN中并由其环绕的TIF3粒子以白点形式可见。本发明样品中的TiF3粒子大小在4.6nm到14.8nm范围内。通过四点探针测量表征膜的薄层电阻并发现薄层电阻为263Ω/sq。
用使用透射电子显微镜(TEM)进行的能量分散X射线光谱分析(EDS)表征薄膜的组成。图8显示样品TiN膜中元素分布的TEM/EDS横截面图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6作为还原剂,并使用NH3作为氮反应物沉积。这些图像证实存在包埋于TiN基质中的相对离散的TiF3晶体。
XPS分析在样品膜上进行并显示TiF3:TiN薄膜在接近膜表面处具有较高氟含量。图9显示样品TiN膜的XPS深度曲线,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H6作为还原剂,并使用NH3作为氮反应物沉积。
还用超循环法使用包括:TiF4子循环和还原子循环的以下基本超循环沉积薄膜:z[x(TiF4+y(Si2H8+NH3)]。使用亮场和暗场电子显微术表征薄膜结构。图10A是包括包埋于其中的TiF3粒子的TiN膜的暗场TEM图像。包埋于TiN中并由其环绕的TiF3粒子以白点形式可见并且大小在15.1nm到48nm范围内。图10B显示样品膜的横截面亮场图像。所述图像显示样品膜的单独TiF3粒子的尺寸。也通过四点探针测量表征膜的薄层电阻并发现薄层电阻为141Ω/sq。
用使用透射电子显微镜(TEM)进行的能量分散X射线光谱分析(EDS)表征薄膜的组成。图11显示样品TiN膜中元素分布的TEM/EDS横截面图像,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si2H8作为还原剂,并使用NH3作为氮反应物沉积。这些图像证实存在包埋于TiN基质中的相对离散的TiF3晶体。
XRD分析在样品膜上进行并证实膜中存在分开的TiF3和TiN结晶相。图12显示样品TiN膜的XRD图,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
XPS分析在样品膜上进行并显示TiF3:TiN薄膜在接近硅衬底界面处具有较高氮含量。图13显示样品TiN膜的XPS深度曲线,所述TiN膜包括包埋于其中的TiF3粒子,并且使用TiF4、Si3H8作为还原剂,并使用NH3作为氮反应物沉积。
用超循环法使用包括:TiF4子循环和还原子循环的以下基本超循环将30nm厚的样品TiF3:TiN膜沉积在硅晶片上:z[x(TiF4+y(Si2H6+NH3)]和z[x(TiF4+y(Si3H8+NH3)]。反应器温度为370℃。通过使膜表面与间隔数厘米放置的各Fluke 189伏特计电极接触来分析膜的光敏性。随后使红色激光指示器瞄准于膜表面以由此产生照明光点。图14A和14B显示这一光伏分析的示意图。发现更接近激光指示器照明光点的电极会获得负电荷。电极之间的电位差从数毫伏到约100毫伏变化,取决于照明光点的位置。图14A-B显示当膜上的照明光点的位置改变时,伏特计电极的极性发生变化。
使用TiF3:TiN薄膜制备样品光伏电池,所述薄膜用超循环法使用包括:TiF4子循环和还原子循环的以下基本超循环沉积:z[x(TiF4+y(Si2H6+NH3)]and z[x(TiF4+y(Si3H8+NH3)]。图15A显示光伏电池的示意图,所述光伏电池包括具有位于45nm厚TiN底部电极和40nm厚TiF3:TiN顶部电极之间的20nm厚热氧化物上部和下部层的p+型硅。电池的表面积为大约4cm2。将电池暴露于普通办公室照明,其产生50mV-150mV的开路电压。当暴露于普通办公室照明时,电池在120Ω电阻器中产生约2.5μA。
图15B显示光伏电池的示意图,所述光伏电池包括具有位于45nm厚TiN底部电极和60nm厚TiF3:TiN顶部电极之间的自然氧化物上部和下部层的p+型硅。另外,电池的表面积为大约4cm2。通过卤素灯(欧司朗(Osram)50W,240V灯泡,2800K)照射电池,产生约100mV到450mV的开路电压,如用Fluke 189伏特计所测量。
尽管已论述某些实施例和实例,但所属领域的技术人员应理解,权利要求书的范围超出了具体公开的实施例延伸出其它替代实施例和/或其用途以及明显的修改和等效物。

Claims (19)

1.一种用于在光子装置中沉积层的气相沉积方法,其中所述层包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相,其中所述介电性过渡金属化合物相包括TiF3,并且所述导电或半导体过渡金属化合物相包括TiN。
2.根据权利要求1所述的气相沉积方法,其中所述气相沉积方法包括多个超循环,每一超循环包括介电性过渡金属化合物子循环和还原子循环,其中:
所述介电性过渡金属化合物子循环包括使衬底与气相介电性过渡金属化合物接触;并且
所述还原子循环包括使所述衬底与还原剂和氮反应物交替并依次接触。
3.根据权利要求2所述的气相沉积方法,其中所述介电性过渡金属化合物包括TiF4
4.根据权利要求2所述的气相沉积方法,其中所述还原剂包括硅烷或硼烷。
5.根据权利要求2所述的气相沉积方法,其中所述氮反应物包括以下中的至少一种:氨、N2H4、氮原子、含氮等离子体和氮自由基。
6.一种光子装置,包含包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相的层,其中所述介电性过渡金属化合物相包括TiF3,并且所述导电或半导体过渡金属化合物相包括TiN。
7.根据权利要求6所述的光子装置,其中所述介电性过渡金属化合物相由直径为约0.1nm到约500nm的粒子组成。
8.根据权利要求6所述的光子装置,其中所述导电或半导体过渡金属化合物相环绕离散介电性过渡金属化合物相粒子。
9.根据权利要求6所述的光子装置,其中所述层包括光敏材料;并且
其中所述层吸收入射到所述光子装置的表面的光子的辐射能以在电路中产生电能。
10.根据权利要求6所述的光子装置,其中所述层利用电路中的电能来产生光子。
11.根据权利要求6所述的光子装置,其中所述包括包埋于所述导电或半导体过渡金属化合物相中的所述介电性过渡金属化合物相的层充当光子透明层;并且
其中所述光子透明层允许入射到所述光子透明层的表面上的光子穿过所述光子透明层到达光敏层。
12.根据权利要求6所述的光子装置,其中所述包括包埋于所述导电或半导体过渡金属化合物相中的所述介电性过渡金属化合物相的层充当收集经光子激发的载荷子的电荷收集组件。
13.根据权利要求6所述的光子装置,其中所述包括包埋于所述导电或半导体过渡金属化合物相中的所述介电性过渡金属化合物相的层充当波导组件,所述波导组件能够将入射在所述光子装置的第一部分上的光子通量的特征传递到所述光子装置的第二部分。
14.根据权利要求6所述的光子装置,进一步包括收集经光子激发的载荷子的电荷收集组件,其中所述电荷收集组件包括以下中的至少一种:氧化铟锡、经掺杂的氧化锡、氧化锌、经掺杂的氧化锌、导电聚合物、金属网格、碳纳米管、石墨烯或纳米线薄膜。
15.根据权利要求6所述的光子装置,进一步包括光敏组件,所述光敏组件包括以下中的至少一种:Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某种其它半导体材料。
16.一种光敏材料,包括包埋于导电或半导体过渡金属化合物相中的介电性过渡金属化合物相,其中所述介电性过渡金属化合物相包括TiF3,并且所述导电或半导体过渡金属化合物相包括TiN。
17.根据权利要求16所述的光敏材料,其中所述光敏材料吸收光子的辐射能以在电路中产生电能。
18.根据权利要求16所述的光敏材料,其中所述光敏材料是光子透明的导电材料。
19.根据权利要求16所述的光敏材料,其中所述光敏材料是波导材料;并且
其中所述波导材料能够将入射在所述波导材料的第一部分上的光子通量的特征传递到所述波导材料的第二部分。
CN201680059403.0A 2015-10-16 2016-10-05 光敏装置和材料 Active CN108352397B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211663763.5A CN115896750A (zh) 2015-10-16 2016-10-05 光敏装置和材料

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/885,721 US9941425B2 (en) 2015-10-16 2015-10-16 Photoactive devices and materials
US14/885,721 2015-10-16
PCT/US2016/055496 WO2017066059A1 (en) 2015-10-16 2016-10-05 Photoactive devices and materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202211663763.5A Division CN115896750A (zh) 2015-10-16 2016-10-05 光敏装置和材料

Publications (2)

Publication Number Publication Date
CN108352397A CN108352397A (zh) 2018-07-31
CN108352397B true CN108352397B (zh) 2023-01-10

Family

ID=58518487

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202211663763.5A Pending CN115896750A (zh) 2015-10-16 2016-10-05 光敏装置和材料
CN201680059403.0A Active CN108352397B (zh) 2015-10-16 2016-10-05 光敏装置和材料

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202211663763.5A Pending CN115896750A (zh) 2015-10-16 2016-10-05 光敏装置和材料

Country Status (6)

Country Link
US (3) US9941425B2 (zh)
JP (1) JP6616500B2 (zh)
KR (2) KR102481449B1 (zh)
CN (2) CN115896750A (zh)
TW (2) TWI746269B (zh)
WO (1) WO2017066059A1 (zh)

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086049A (zh) * 1992-07-29 1994-04-27 阿苏拉布股份有限公司 光生伏打电池
US20120269962A1 (en) * 2009-10-20 2012-10-25 Asm International N.V. Process for passivating dielectric films
CN103920513A (zh) * 2014-04-29 2014-07-16 山东大学 Ti3+:TiO2/TiF3复合半导体光催化剂及其制备方法
US20150122314A1 (en) * 2012-05-18 2015-05-07 Isis Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites

Family Cites Families (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4892788A (en) * 1988-03-28 1990-01-09 Air Products And Chemicals, Inc. Graphite brazing fixture coated with composite layers of titanium carbide and titanium nitride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
EP0982772B1 (en) 1994-11-30 2003-06-25 Micron Technology, Inc. A semiconductor non-planar storage capacitor
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
JP2846477B2 (ja) 1994-12-27 1999-01-13 シーメンス アクチエンゲゼルシヤフト 炭化シリコン単結晶の製造方法
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
DE69939554D1 (de) 1999-02-11 2008-10-23 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
JP4646346B2 (ja) * 2000-01-28 2011-03-09 パナソニック株式会社 電子デバイスの製造方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
DE10223706A1 (de) 2002-05-28 2003-12-18 Nat Taiwan University Taipeh T Lichtemissionsdiode
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472542B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
WO2009129332A2 (en) 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US8399820B2 (en) * 2009-06-23 2013-03-19 Sensors Unlimited, Inc. Multicolor detectors and applications thereof
CN101964291B (zh) * 2009-07-24 2012-03-28 清华大学 透射电镜微栅及其制备方法
US9843041B2 (en) 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
JP2015525774A (ja) * 2012-07-20 2015-09-07 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
WO2015056384A1 (ja) 2013-10-18 2015-04-23 三菱電機株式会社 文字列表示装置
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1086049A (zh) * 1992-07-29 1994-04-27 阿苏拉布股份有限公司 光生伏打电池
US20120269962A1 (en) * 2009-10-20 2012-10-25 Asm International N.V. Process for passivating dielectric films
US20150122314A1 (en) * 2012-05-18 2015-05-07 Isis Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites
CN103920513A (zh) * 2014-04-29 2014-07-16 山东大学 Ti3+:TiO2/TiF3复合半导体光催化剂及其制备方法

Also Published As

Publication number Publication date
KR102409503B1 (ko) 2022-06-15
KR20180070615A (ko) 2018-06-26
WO2017066059A1 (en) 2017-04-20
US20170110601A1 (en) 2017-04-20
US10861986B2 (en) 2020-12-08
US9941425B2 (en) 2018-04-10
TWI713607B (zh) 2020-12-21
JP2018536279A (ja) 2018-12-06
TW202113123A (zh) 2021-04-01
KR20220084440A (ko) 2022-06-21
US20180212077A1 (en) 2018-07-26
TWI746269B (zh) 2021-11-11
CN115896750A (zh) 2023-04-04
CN108352397A (zh) 2018-07-31
JP6616500B2 (ja) 2019-12-04
US20210074865A1 (en) 2021-03-11
TW201732066A (zh) 2017-09-16
KR102481449B1 (ko) 2022-12-26
US11362222B2 (en) 2022-06-14

Similar Documents

Publication Publication Date Title
CN108352397B (zh) 光敏装置和材料
Hao et al. Atomic layer deposition of stable 2D materials
CN108690968B (zh) 通过循环沉积在基材上形成掺杂金属氧化物膜的方法和相关半导体装置结构
CN109652785B (zh) 通过循环沉积在衬底上沉积金属硫族化物的方法
US11450591B2 (en) Fluorine-containing conductive films
JP2023089105A (ja) モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US8741386B2 (en) Atomic layer deposition of quaternary chalcogenides
Pakkala et al. Atomic layer deposition
KR101596157B1 (ko) 그래핀-실리콘 양자점 하이브리드 구조를 이용한 터널링 다이오드 및 그 제조방법
Roozeboom et al. Atomic Layer Deposition Applications 10
Kartha Growth and characterization of cuprous oxide absorbers for photovoltaics
WO2014083240A1 (en) A method for fabricating a structure comprising a passivation layer on a surface of a substrate
Jernigan Monday Morning, October 18, 2010
Baji Compound semiconductor layers for optoelectronic and photovoltaic purposes
Novikov Molecular beam epitaxy of GaNAs alloys with high As content for potential photoanode

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant