JP6616500B2 - 光活性デバイス及び材料 - Google Patents

光活性デバイス及び材料 Download PDF

Info

Publication number
JP6616500B2
JP6616500B2 JP2018518498A JP2018518498A JP6616500B2 JP 6616500 B2 JP6616500 B2 JP 6616500B2 JP 2018518498 A JP2018518498 A JP 2018518498A JP 2018518498 A JP2018518498 A JP 2018518498A JP 6616500 B2 JP6616500 B2 JP 6616500B2
Authority
JP
Japan
Prior art keywords
transition metal
metal compound
compound phase
tif
subcycle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018518498A
Other languages
English (en)
Other versions
JP2018536279A5 (ja
JP2018536279A (ja
Inventor
トム イー. ブロムベルク
ハンヌ フオタリ
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2018536279A publication Critical patent/JP2018536279A/ja
Publication of JP2018536279A5 publication Critical patent/JP2018536279A5/ja
Application granted granted Critical
Publication of JP6616500B2 publication Critical patent/JP6616500B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02322Optical elements or arrangements associated with the device comprising luminescent members, e.g. fluorescent sheets upon the device
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/062Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the metal-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Photovoltaic Devices (AREA)
  • Light Receiving Elements (AREA)

Description

発明の背景
本出願は、概ね、光活性デバイス及び材料の分野に関し、より具体的には、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を形成する方法に関する。
原子層堆積(ALD)は、連続した自己飽和表面反応に基づいており、被覆される構造の幾何学的形状にかかわらず良好な共形性及びステップカバレッジを提供することができる。しかし、ALDによる金属膜の堆積は、1つにはALDが本質的に熱力学的に有利な半反応に基づくために、困難である。
耐熱金属導電層は、マイクロ及びナノエレクトロニクスにおける基本的構成要素である。耐酸化性金属薄膜はいくつかの状況において望ましい。例えば、窒化チタン層は、半導体製造業界において、例えばゲート電極材料又は銅拡散バリアとして一般的に使用されている。しかし、窒化チタンは空気中に貯蔵された場合に表面から酸化され、おそらく粒界を通って数10ナノメートルの深さまで酸化されることが知られている。
更に、光活性材料及び/又は導電性光透過性材料は、様々な状況において有用である。例えば、光活性材料は、光子からの放射エネルギーを電気エネルギーに変換するために使用されることができ、例えば、太陽電池において重要な要素である。
いくつかの実施形態では、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む薄膜を堆積するための原子層堆積(ALD)プロセスが提供される。いくつかの実施形態では、誘電性遷移金属化合物相は、遷移金属酸化物又は遷移金属フッ化物を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相はTiFを含み得る。いくつかの実施形態では、導電性又は半導電性相は、遷移金属元素、遷移金属合金、遷移金属酸化物、遷移金属窒化物、遷移金属ケイ化物、及び/又は遷移金属炭化物を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相はTiNであることができる。いくつかの実施形態では、誘電性遷移金属化合物相はTiFであってもよく、導電性又は半導電性遷移金属化合物相はTiNであってもよい。
いくつかの実施形態では、誘電性遷移金属化合物相は離散粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相は、直径約0.1nm〜約500nmの範囲の粒子を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、誘電性遷移金属化合物相粒子を取り囲む。
いくつかの態様では、反応空間において基材上に誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を堆積する原子層堆積(ALD)プロセスが提供される。いくつかの実施形態では、ALDプロセスは、少なくとも1つのスーパーサイクルが2つのサブサイクル、即ち金属フッ化物サブサイクル及び第2のサブサイクル、を含む複数のスーパーサイクルを含み得る。いくつかの実施形態では、金属フッ化物サブサイクルは、基材を金属フッ化物と接触させることを含み、第2のサブサイクルは、基材をシラン又はボラン、及び窒素反応物質と交互に逐次接触させることを含む。いくつかの実施形態では、第2のサブサイクルを還元サブサイクルと呼び、基材を還元剤及び窒素反応物質と接触させる。いくつかの実施形態では、基材はケイ素を含み得る。
いくつかの実施形態によれば、誘電性遷移金属化合物の遷移金属は、Ti、Ta、Nb、Mo及びWから選択される金属を含む。いくつかの実施形態では、誘電性遷移金属化合物相は遷移金属フッ化物を含み得る。いくつかの実施形態では、遷移金属フッ化物はTiFを含み得る。いくつかの実施形態では、還元剤はシラン又はボランである。いくつかの実施形態では、還元剤はジシラン又はトリシランを含む。いくつかの実施形態では、還元剤はジボラン又はトリボランを含む。いくつかの実施形態では、窒素反応物質は、アンモニア、N、窒素原子、窒素含有プラズマ及び窒素ラジカルからなる群から選択される。いくつかの実施形態では、遷移金属フッ化物はTiFであり、還元剤はSiであるいくつかの実施形態では、金属フッ化物サブサイクル及び還元サブサイクルは、複数のスーパーサイクルのうちの少なくとも1つで少なくとも約0.1の比で実施される。いくつかの実施形態では、薄膜はTiFを含む。
いくつかの実施形態によれば、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜は、約0.1〜約10原子%、約0.1〜約5原子%、又は約0.4〜約2.3原子%のケイ素を含む。いくつかの実施形態では、薄膜は、約1〜約50原子%の窒素、約5〜約45原子%の窒素、約10〜約50原子%の窒素を含む。いくつかの実施形態では、薄膜は導電性である。いくつかの実施形態では、薄膜は、約10μΩcm未満の層抵抗率を有する。いくつかの実施形態では、薄膜は、約500μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約5×10μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約10μΩcm〜約10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約300℃未満では空気雰囲気による酸化がされない。
いくつかの実施形態では、薄膜は誘電性遷移金属化合物と導電性又は半導電性遷移金属化合物との混合物を含む。いくつかの実施形態では、薄膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、薄膜はTiFとTiNとの混合物を含む。
いくつかの実施形態では、薄膜は、1つ又は複数の光子の放射エネルギーを吸収して電気エネルギーを生成するように構成される光活性材料である。いくつかの実施形態では、薄膜は透明又は部分的に透明である。いくつかの実施形態では、薄膜は導電性である。いくつかの実施形態では、薄膜は、電磁波の伝播を導くように構成される導波路を含む。
いくつかの実施形態では、薄膜は、複合薄膜に入射する光の少なくとも一部を吸収して、複合薄膜内に電位差を生成するように構成される。いくつかの実施形態では、薄膜は導電性であり、光透過性であり、薄膜の表面に入射する光の少なくとも一部は薄膜を通過する。いくつかの実施形態では、薄膜は、薄膜の表面に入射する変調された光ビーム中に含まれる情報を、薄膜内の電磁波として伝達するように構成される。
いくつかの実施形態によれば、本明細書では、TiN相中に埋め込まれたTiF相粒子を含む薄膜が開示される。いくつかの実施形態では、薄膜は約5〜約50原子%の窒素を含む。いくつかの実施形態では、薄膜は約0.4〜約2.3原子%のケイ素を含む。いくつかの実施形態では、薄膜は約100nm未満の厚さを有する。いくつかの実施形態では、薄膜は約10nm未満の厚さを有する。いくつかの実施形態では、薄膜は、最大約100nm、最大約1μm、又は場合によっては最大約1mmの厚さを有し得る。
いくつかの実施形態では、薄膜は誘電性遷移金属化合物と導電性又は半導電性遷移金属化合物との混合物を含む。いくつかの実施形態では、薄膜は導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、薄膜は、TiFとTiNとの混合物を含む。いくつかの実施形態では、薄膜は、1つ又は複数の光子の放射エネルギーを吸収して、電気回路中で電気エネルギーを生成するように構成される光活性材料である。いくつかの実施形態では、薄膜は光透過性又は部分的に光子透過性である。いくつかの実施形態では、複合薄膜は導電性である。いくつかの実施形態では、薄膜は、電磁波の伝播を導くように構成される導波路を含む。
いくつかの実施形態では、薄膜は、薄膜に入射する光の少なくとも一部を吸収して、複合薄膜内に電位差を生成するように構成される。いくつかの実施形態では、薄膜は導電性であり、光透過性であり、薄膜の表面に入射する光の少なくとも一部は薄膜を通過する。いくつかの実施形態では、薄膜は、薄膜の表面に入射する変調された光ビーム中に含まれる情報を、薄膜内の電磁波として伝達するように構成される。
いくつかの実施形態によれば、本明細書では、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む光デバイスが開示される。本明細書で使用されるように、光デバイスという用語は、光子、即ち光を、生成、検出、吸収、操作する、又は光に応答することができる要素又はデバイスを指すことができる。光デバイスという用語は、例えば、レーザダイオード、発光ダイオード、太陽電池、及び/又は光起電力セルを指すことができる。いくつかの実施形態では、誘電性遷移金属化合物相は離散粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相は、約0.1nm〜約500nmの粒子を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、誘電性遷移金属化合物相粒子を取り囲む。
いくつかの実施形態では、光デバイスは、光活性要素、例えば光電極を含む。いくつかの実施形態では、光活性要素は、光子の放射エネルギーを吸収して回路内に電気エネルギーを生成するように構成される。いくつかの実施形態では、光活性要素は、電気エネルギーを有する光子を生成するように構成される。いくつかの実施形態では、光活性要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、光活性要素は半導体材料を含む。いくつかの実施形態では、光活性要素は、Si、SiGe、Ge、CdTe、GaAs、GaSb、及び/又はInGaAsを含む。いくつかの実施形態では、光活性要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光子が光子透過性要素を通過できるように構成される光子透過性要素を含む。いくつかの実施形態では、光子透過性要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、光子透過性要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光子励起電荷キャリアを収集するように構成される電荷収集要素を含む。いくつかの実施形態では、電荷収集要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、電荷収集要素は、インジウム錫酸化物、ドープされた酸化錫、酸化亜鉛、ドープされた酸化亜鉛、導電性ポリマー、金属グリッド、カーボンナノチューブ、グラフェン、又はナノワイヤ薄膜を含む。いくつかの実施形態では、光子透過性要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光デバイスの少なくとも一部に入射する光量子束の特性を伝達するように構成される導波路要素を含む。いくつかの実施形態では、導波路要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。
本発明は、詳細な説明及び添付図面から、よりよく理解されるであろうが、これらは本発明を例示するものであり、本発明を限定するものではない。
図1は、いくつかの実施形態による、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を堆積するALDプロセスを例示するフローチャートである。 図2は、一実施形態によるTiF/TiN膜を堆積するALDプロセスを例示するフローチャートである。 図3は、本開示の一実施形態に従って形成される膜のXRDパターンである。 図4は、本開示の一実施形態に従って形成される膜の酸化挙動の分析である。 図5は、本開示の一実施形態に従って形成される膜の酸化挙動の追加の分析である。 図6は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させたTiN膜の暗視野TEM画像及び断面TEM画像である。 図7Aは、内部にTiF粒子が埋め込まれたTiN膜の明視野暗視野TEM画像である。 図7Bは、内部にTiF粒子が埋め込まれたTiN膜の暗視野TEM画像である。 図8は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜中の元素分布のエネルギー分散型X線分光法(EDS)画像である。 図9は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXPS深さプロファイルである。 図10Aは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させたTiN膜の暗視野TEM画像である。 図10Bは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させたTiN膜の断面TEM画像である。 図11は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜中の元素分布のEDS画像である。 図12は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXRDパターンである。 図13は、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXPS深さプロファイルである。 図14Aは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si/Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜の光起電力分析の概略図である。 図14Bは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si/Si及び窒素反応物質としてNHを用いて堆積させた試料TiN膜の光起電力分析の概略図である。 図15Aは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si/Si及び窒素反応物質としてNHを用いて堆積させたTiN膜を含む上部電極を有する光起電力セルの概略図である。 図15Bは、内部にTiF粒子が埋め込まれ、並びに還元剤としてTiF、Si/Si及び窒素反応物質としてNHを用いて堆積させたTiN膜を含む上部電極を有する光起電力セルの概略図である。
誘電性遷移金属化合物相、例えば、導電性又は半導電性遷移金属化合物相中に埋め込まれた金属フッ化物相又は金属酸化物相を含む薄膜は、光活性特性を有し得る。例えば、このような複合膜の抵抗は、光に曝露されると変化し得る。いくつかの実施形態では、このような複合膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相の離散粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相は、直径約0.1nm〜約500nmの範囲の粒子を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、誘電性遷移金属化合物相粒子を取り囲む。例えば、いくつかの実施形態では、複合膜は、TiN中に埋め込まれた離散TiF粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相は酸化物又はフッ化物を含み得る。いくつかの実施形態では、誘電性遷移金属化合物は、遷移金属酸化物、遷移金属フッ化物、遷移金属オキシフッ化物、又はこれらのうちの1つ若しくは複数の混合物を含む。いくつかの実施形態では、誘電性遷移金属化合物は、遷移金属酸化物、遷移金属フッ化物、遷移金属オキシフッ化物、又はこれらのうちの1つ若しくは複数の混合物からなる。いくつかの実施形態では、誘電性遷移金属化合物相は、TiF、Cr、NiO、WO、Ti、TiOF、NbOF、NbO3−x、NbOx/23−x、MoO3−x、MoO3−x、TaOF、TaO3−x、WO3−xからなる群から選択され得る。いくつかの実施形態では、誘電性遷移金属化合物相は、TiF、Cr、NiO、WO、Ti、TiOF、NbOF、NbO3−x、NbOx/23−x、MoO3−x、MoO3−x、TaOF、TaO3−x、若しくはWO3−x又は1つ若しくは複数のこれらの混合物を含む。いくつかの実施形態では、誘電性遷移金属化合物相は、ReO類似構造を有する。いくつかの実施形態では、誘電性遷移金属化合物相は、ReO結晶構造に類似の結晶構造を有する。ReO類似構造という用語は、誘電性遷移金属化合物相をReOに限定することを意図するものではないが、誘電性遷移金属化合物相がReO(酸化レニウム(IV))の結晶構造と類似の結晶構造を有し得ることを例示するために簡略化して使用される。例示的なReO類似結晶構造はまた、単位格子の中心に大きなAカチオンを欠いているペロブスカイト(ABO)型結晶構造として考えられ得る。ReO類似結晶構造は、単位格子の各隅部に金属原子を有し、金属原子間のほぼ中間の各単位格子端部上に1つの非金属原子、例えば酸素又はフッ素を有する立方体構造である。いくつかの実施形態では、ReO類似構造は、理想的なReO類似構造から歪んだ構造を含む。いくつかの実施形態では、誘電性遷移金属化合物は、ReO類似構造のようなPm3m{221}空間群を含む。
いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、遷移金属などの元素金属、遷移金属を含む金属合金などの金属合金、遷移金属窒化物などの金属窒化物、遷移金属炭化物などの金属炭化物、又はそれらの2つ以上の混合物を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、遷移金属などの元素金属、遷移金属を含む金属合金などの金属合金、遷移金属窒化物などの金属窒化物、遷移金属炭化物などの金属炭化物、又はそれらの2つ以上の混合物からなる群から選択され得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、元素の周期律表の第4周期からの元素を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、Cr、TiN、Fe、W、TiC、Ti、又はこれらのうちの1つ若しくは複数の混合物からなる群から選択されてもよい。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、Cr、TiN、Fe、W、TiC若しくはTi、又はこれらのうちの1つ若しくは複数の混合物を含み得る。
本明細書で開示される誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を、様々な状況で使用することができる。例えば、導電性のフッ化物膜、又は金属フッ化物を含む導電性膜、例えばTiFを含む導電性薄膜をTiN層又は別の金属膜上の酸素バリア膜として用いてもよい。いくつかの実施形態では、本開示に従って形成される導電性フッ素含有膜は、アッシング又は他の酸化条件に対するバリア膜として有用である。いくつかの実施形態では、本開示に従って形成される導電性フッ素含有膜を、酸素を含む周囲環境、例えば周囲空気及び/又は水若しくは湿気に対する保護層として使用してもよい。いくつかの実施形態では、本開示の導電性フッ素含有膜は、パターニング層などの犠牲層として、又は良好な耐酸化性が望まれる他の用途において有用である。いくつかの実施形態では、導電性フッ化物薄膜を垂直及び水平表面上に共形に堆積する。いくつかの実施形態では、金属フッ化物を含む導電性膜を、ゲートスタック上のp型キャッピング層として、高k層、例えばHfOの上に、そして実際のゲート電極層又は導電性ゲート誘電性バリア層の下に、用いることができる。いくつかの実施形態では、金属フッ化物を含む導電性膜をp型キャッピング層として使用する場合、スタック内の電極の実効仕事関数は約4.9eVより高く、好ましくは約5.0と約5.2eVとの間である。
誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜は、例えば様々な状況で光活性材料として用いられることもできる。いくつかの実施形態では、本開示に従って形成される薄膜は、光活性デバイス内の光電極として使用され得る。いくつかの実施形態では、薄膜は、光子の放射エネルギーを吸収して回路内に電気エネルギーを生成することができる。いくつかの実施形態では、薄膜を、ケイ素を含む基材上に堆積する。いくつかの実施形態では、薄膜を、ガラス、石英、及び/又はSiOを含む基材上に堆積する。いくつかの実施形態では、基材は、シリコンウェーハ又はその一部を含むことができる。いくつかの実施形態では、薄膜は、当業者には明らかなように、例えば、薄膜太陽電池製造に使用される典型的な基材上に堆積される。
いくつかの実施形態では、本開示の薄膜は、光活性デバイスの光子透過性要素として使用され得る。いくつかの実施形態では、本開示の薄膜は、光活性デバイスの電荷収集要素として使用され得る。いくつかの実施形態では、本開示の薄膜は、光活性デバイスの導波路要素として使用され得る。いくつかの実施形態では、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む膜は、以下の材料のうちの1つ又は複数を含まない:MgF、CaF、ZnF、SrF、YF、又はLaF。いくつかの実施形態では、膜は、以下の材料のうちの1つ又は複数を含まない:AlF又はLiF。いくつかの実施形態では、膜は、以下の材料のうちの1つ又は複数を含まない:アルカリ金属(元素周期律表の第1族)フッ化物、例えばKF、又はアルカリ土類金属(元素周期律表の第2族)フッ化物、例えばMgF若しくはCaF。いくつかの実施形態では、膜は、以下の材料のうちの1つ又は複数を含まない:第3族金属フッ化物、例えばYF又はLaF。いくつかの実施形態では、膜は、約20原子%を超えて、好ましくは約10原子%を超えて、より好ましくは約5原子%を超えて、及び最も好ましくは約1原子%を超えて、以下の金属のうちの1つ又は複数を含まない:アルカリ金属、アルカリ土類金属、及び第3族金属。いくつかの実施形態では、膜は、約20原子%を超えて、好ましくは約10原子%を超えて、より好ましくは約5原子%を超えて、及び最も好ましくは約1原子%を超えて、以下の金属のうちの1つ又は複数を含まない:Mg、Ca、Zn、Sr、Y、又はLa。いくつかの実施形態では、膜は、約20原子%を超えて、好ましくは約10原子%超えて、より好ましくは約5原子%超えて、及び最も好ましくは約1原子%超えて、以下の金属のうちの1つ又は複数以外の金属を含まない:Ti、Zr、Hf、V、Nb、Ta、Cr、Ni、Fe、Mo、又はW、並びに好ましくは以下の金属のうちの1つ又は複数以外の金属を含まない:Ti、Nb、Ta、Mo、及びW。本明細書で論じるように、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を、蒸着プロセス、例えば原子層堆積(ALD)により堆積することができる。このような膜は、望ましくは耐酸化性、導電性、光活性及び/又は光子透過性であることができる。いくつかの実施形態では、薄膜は、フッ化チタン(TiF)を含み得る。TiFは、様々な状況で、例えば触媒、光活性材料、光電極、導波路、電荷収集要素、及び/又は光子透過性材料を形成する材料で使用され得る安定した固体フッ化物である。
いくつかの金属薄膜中のフッ素の存在は、耐酸化性を高める。窒化チタンのような金属窒化物は、半導体産業において、例えばバリア膜として一般的に使用される。しかし、上述したように、窒化チタン膜は望ましくない酸化を受ける可能性がある。本出願は、部分的に、フッ化チタンを含む導電性薄膜のような金属フッ化物を含む導電性薄膜を堆積することができるという予想外の発見に基づいている。いくつかの実施形態では、フッ化チタン含有膜は、TiN膜、例えばALD及び/又はCVDの公知の蒸着プロセスによって堆積させたTiN膜よりも大きな耐酸化性を有する。
いくつかの実施形態では、基材上に誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を堆積する蒸着プロセス(vapor deposition process)が提供される。いくつかの実施形態では、基材上に誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む薄膜を堆積する堆積プロセス(deposition process)は、原子層堆積(ALD)型プロセス、化学気相堆積(CVD)型プロセス、又はALDタイププロセスとCVDタイププロセスの組合せを含み得る。いくつかの実施形態では、他のプロセス、例えば物理蒸着(PVD)、プラズマ増強原子層堆積(PEALD)等を用いることができる。
いくつかの実施形態では、プロセスは、基材をTiF等の気相誘電性遷移金属化合物に曝し、誘電性遷移金属化合物の単層を基材表面に吸着させる第1のサブサイクルを含むことができる。第2のサブサイクルで、気相シラン若しくはボラン化合物又は別のの「還元剤」と、気相窒素反応物質とが交互に逐次供給される。還元剤及び窒素反応物質は、基材表面上の誘電性遷移金属化合物と反応して、フッ化物誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む膜を形成する。いくつかの実施形態では、第1のサブサイクルは、TiF等の気相誘電性遷移金属化合物と、シラン又はボラン等の還元剤の両方を含むことができる。いくつかの実施形態では、第2のサイクルは、シランもボランも含まない。したがって、いくつかの実施形態では、第1のサイクルは気相誘電性遷移金属化合物及びシラン又はボランを含み、第2のサイクルは気相窒素反応物質を含む。用語「還元剤」が使用されるが、いくつかの実施形態では、化学的還元は必要とされない。したがって、いくつかの実施形態では、用語「還元剤」は、単にシラン化合物又はボラン化合物を表す。しかし、いかなる理論にも束縛されることなく、いくつかの実施形態では、本明細書に記載の還元剤は、表面上の金属種の酸化状態を還元するかもしれないと考えられている。
いくつかの実施形態では、金属は、例えば、Ti、Ta、Nb、Mo及びWから選択されてもよい。還元剤は、例えば、シラン又はボラン化合物であってもよい。窒素反応物質は、例えば、NHであってもよい。窒素反応物質が使用されるいくつかの実施形態では、窒素反応物質は、基材表面上の金属種の酸化状態に少なくともいくらかの還元作用を示すことができる。
第1及び第2のサブサイクルは共にALDスーパーサイクルを形成する。各スーパーサイクル内で、第1のサブサイクル及び第2のサブサイクルは、1つ又は複数、互いに独立して繰り返されてもよい。更に、スーパーサイクルを1つ又は複数繰り返して、誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む導電膜を、所望の厚さに堆積することができる。第1及び第2のサブサイクルは、任意の順序で実行することができる。例えば、いくつかの実施形態では、第2のサブサイクルが最初に実行されてもよい。更に、各サブサイクル内の反応物質の順序を変えてもよい。例えば、いくつかの実施形態では、最初か2番目かに実行され得る還元サブサイクルで、窒素反応物質は、シラン又はボラン化合物の前にパルスされるか、又はその逆である。
1つ又は複数のスーパーサイクル内の、第1のサブサイクルの第2のサブサイクルに対する比を、所望の組成及び/又は所望の特性を有する膜を堆積するために変えることができる。いくつかの実施形態では、第1のサブサイクルの第2のサブサイクルに対する比は、ALDプロセスの各スーパーサイクル内で同じである。いくつかの実施形態では、第1のサブサイクルの第2のサブサイクルに対する比を、堆積プロセス中の1つ又は複数のスーパーサイクル内で変えることができる。
いくつかの実施形態では、フッ化物誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む導電性薄膜であって、還元性化合物由来のいくらかのケイ素若しくはホウ素及び/又は窒素反応物質由来のいくらかの窒素を含む導電性薄膜が形成される。例えば、いくつかの実施形態では、いくらかのSi及びいくらかのNを含む、TiFを含む導電性薄膜を堆積する。
本明細書で提供されるすべての原子百分率(即ち、原子%)値は、水素は定量的に正確に分析することが困難であるので、簡略化のために水素を除外する。しかし、いくつかの実施形態では、適正な精度で水素を分析することが可能である場合、膜の水素含有量は約20原子%未満、約10原子%未満、又は約5原子%未満である。
いくつかの実施形態では、還元剤としてシランが使用され、フッ化物誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む導電性膜も少量のケイ素を含む。例えば、いくつかの実施形態では、ケイ素含有量は約15原子%未満であってもよい。いくつかの実施形態では、ケイ素含有量は、約0.01〜約10原子%、約0.1〜約5原子%、又は約0.1〜約2原子%とすることができる。いくつかの実施形態では、金属フッ化物を含む導電性膜中のケイ素含有量は、好ましくは約1.5原子%未満である。
いくつかの実施形態では、還元剤としてボランが使用され、フッ化物誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む導電性膜も少量のホウ素を含む。例えば、いくつかの実施形態では、ホウ素含有量は約15原子%未満とすることができる。いくつかの実施形態では、ホウ素含有量は、約0.01〜約10原子%、約0.1〜約5原子%、又は約0.1〜約2原子%である。いくつかの実施形態では、ホウ素含有量は約1.5at%未満である。
いくつかの実施形態では、膜は少量の窒素を含む。例えば、いくつかの実施形態では、窒素含有量は、約0.5〜約50原子%、約1〜約20原子%、又は約2〜約15原子%の範囲とすることができる。
いくつかの実施形態では、膜は、約10原子%を超える、約20〜約75原子%、約40〜約70原子%、又は約45〜約65原子%の量のフッ素を含む。
いくつかの実施形態では、膜は、約0.25〜約5、約0.5〜約3、又は約1〜約2.5のチタンに対するフッ素の比(F/Ti(原子%/原子%))を有する。
いくつかの実施形態では、膜は耐酸化性であるのにもかかわらず、少量の酸素を含むことがある。例えば、いくつかの実施形態では、酸素含有量は、約2.5原子%未満、約1.5原子%未満、約1.0原子%未満、又は更に約0.5原子%未満である。
いくつかの実施形態では、フッ化物誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含み、本明細書に記載のALDプロセスにより堆積させた薄膜は、公知の蒸着プロセスにより、例えばALDにより、堆積させた対応する金属窒化物膜よりも高い耐酸化性を有する。いくつかの実施形態では、本明細書に記載のALDプロセスにより堆積させた薄膜は、光活性材料である。
いくつかの実施形態では、薄膜は、膜の表面に入射する光の少なくとも一部を吸収して、膜内に電位差を、又は膜内に電流の流れを生じさせる。いくつかの実施形態では、薄膜は光透過性又は光子透過性であり、すなわち薄膜は、膜の表面に入射する光の少なくとも一部が膜を通過することを可能にする。いくつかの実施形態では、薄膜は、膜内の電磁波を用いて膜の表面に入射する変調された光線に含まれる情報を伝達する。
いくつかの実施形態では、TiFを含む導電性薄膜を、自己制御的に基材表面にTiFを吸着する第1のサブサイクルと、TiFをTiFに還元する第2のサブサイクルとを含むALDプロセスにより堆積する。例えば、基材表面上に最大でTiFの単層が形成されるように第1のサブサイクルでTiFを提供してもよい。第1のサブサイクルは2回以上繰り返してもよい。いくつかの実施形態では、それぞれの第1のサブサイクルの間にパージ工程が含まれる。第2のサブサイクルでは、基材は、還元剤、例えばシラン又はボラン化合物、及び窒素反応物質、例えばアンモニアに交互に逐次曝される。第2のサブサイクルは、基材表面上のTiFの少なくとも一部をTiFに還元する働きをする。いくつかの実施形態では、形成される膜は、比較的少量のケイ素又はホウ素及び窒素を含むTiFを含む。いくつかの実施形態では、形成される膜は、TiFといくらかの窒素との混合物を含む。いくつかの実施形態では、膜はTiFとTiNとの混合物である。いくつかの実施形態では、膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相、例えばTiN相中に埋め込まれたTiF相を含む。いくつかの実施形態では、誘電性遷移金属化合物相は、導電性又は半導電性遷移金属化合物相から、離散粒子のように分離しており、及び導電性又は半導電性遷移金属化合物相により取り囲まれている。
第1及び第2のサブサイクルのそれぞれは、スーパーサイクルで1つ又は複数繰り返されてもよい。スーパーサイクルは、所望の厚さの膜を得るまで繰り返される。1つ又は複数のスーパーサイクル内の2つのサブサイクルの比を調整することにより、望ましくない量のケイ素も窒素も導入することなくTFの量を増加させることができる。特に、いくつかの実施形態では、基材が還元剤及び窒素反応物質と交互に逐次接触する第2のサブサイクルの数を増加させると、第1のサブサイクルと比較して、TiFに変換されるTiFの量が増加する。
いくつかの実施形態では、還元(第2)サブサイクルは、ケイ素化合物を利用してもよい。しかし、他の化合物を用いてもよい。いくつかの実施形態では、ケイ素化合物は、シラン化合物、例えばSiH、Si又はSiである。いくつかの実施形態では、ホウ素化合物は、少なくとも1つの還元サブサイクルで使用され得る。例えば、いくつかの実施形態では、還元剤は、ボラン化合物、例えば、BH、B、若しくはトリボランのうちの1つ又は複数であってもよい。他の還元剤も使用できることが理解されるであろう。いくつかの実施形態では、各サブサイクルで同じ還元剤が使用され、他の実施形態では、1つ又は複数のサブサイクルで異なる還元剤が利用されてもよい。
いくつかの実施形態では、窒素反応物質は、NH、窒素原子、窒素ラジカル、窒素プラズマ、例えばプラズマにより生成され得る窒素を含む他の励起種、又は他の適切な窒素含有化合物のうちの1つ又は複数を含み得る。
いくつかの実施形態では、膜中にフッ素を含まない公知の蒸着プロセスにより堆積されたTiN膜、例えばALDにより堆積させたTiN膜よりもより大きな耐酸化性を有する、TiFを含む薄膜を堆積する。
いくつかの実施形態では、フッ素を含む薄膜、例えば少なくともいくらかの窒素を含む金属フッ化物薄膜を堆積する。薄膜は平滑であり、柱状粒子構造を持たない。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相粒子を含む薄膜を堆積する。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、導電性又は半導電性遷移金属化合物相との明確な粒界を有する。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、導電性又は半導電性遷移金属化合物相により取り囲まれた離散粒子を含む。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が約500nm未満、好ましくは直径が約100nm未満、より好ましくは直径が約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が10nm未満であってもよい。いくつかの実施形態では、誘電性遷移金属化合物粒子間の平均距離は、約50nm未満、好ましくは約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物粒子間の平均距離は、約10nm〜約20nmである。いくつかの実施形態では、誘電性遷移金属化合物粒子は柱状粒子を含む。いくつかの実施形態では、柱状粒子は、堆積させた薄膜の実質的に厚さ全体にわたって延在する。
いくつかの実施形態では、約500nm以下の厚さのTiFを含む薄膜を堆積する。いくつかの実施形態では、薄膜は、約100nm未満、約50nm未満、約30nm未満、又は約10nm未満の厚さを有する。いくつかの実施形態では、厚さは、膜が使用される用途に応じて選択されることができる。例えば、いくつかの実施形態では、膜の厚さは、上記の値より大幅に薄く、例えば、約2〜約50Å、約3〜約30Å、場合によっては約5〜約20Åとすることができるであろう。いくつかの実施形態では、薄膜は、約100nmを超える、約1μmを超える、又は場合によっては約1mmを超える厚さを有し得る。
主にTiFを含む薄膜を形成する状況で例示されているが、少なくともいくらかの誘電性遷移金属化合物を含む他の誘電性遷移金属化合物膜又は膜(複数)を、遷移金属化合物反応物質が利用される少なくとも1つのサブサイクルを含む堆積スーパーサイクル、例えばALD又はCVDスーパーサイクルを利用して堆積することができる。例えば、いくつかの実施形態では、2つの異なる金属及びフッ素を含む金属窒化物膜を、基材を第1の金属反応物質及び第1の窒素反応物質と交互に逐次接触させる第1のサブサイクルと、基材をフッ化金属及び還元剤、例えばシラン又はボランと交互に逐次接触させる第2のサブサイクルと、を含む堆積プロセスにより堆積することができる。例示のプロセスは、例えば、米国特許出願第13/802,157号に記載され、その内容はここに引用することにより組み込まれる。
本明細書に記載の堆積プロセスは、MF膜と呼ぶことができる金属フッ化物を含む膜、例えばフッ化チタンを含む膜を堆積するために用いられることができる。化学量論、したがってMとFの相対量を変えることができる。例えば、フッ化チタンを含む膜中のTiとFの相対量を変えることができる。更に、上述のように、いくつかの実施形態では、膜は2つの異なる金属を含むことができる。膜中の各元素の量を、例えば堆積プロセスにおけるサブサイクルの比を制御することにより制御することができる。
例えば、TiFを含む膜を形成するいくつかの実施形態では、フッ化チタンサブサイクルに対して還元サブサイクルの数を増加させると、膜中のTiFの量を減少させる一方、膜中のTiFの量を増加させることができる。いくつかの実施形態では、フッ化チタンサブサイクルの還元サブサイクルに対する比は約1以下であり、約10原子%未満の窒素含有量を有するTiF膜を製造することができる。フッ化チタンサブサイクルの還元サブサイクルに対する比が増加するにつれて、一般的に膜中のフッ化物の量は増加し、相対的なTiF含有量は増加し、窒素含有量が減少することもある。いかなる理論に拘束されるものではないが、場合によっては固溶体が形成され得ると考えられる。
堆積プロセス
いくつかの実施形態では、本明細書に記載の薄膜を、原子層堆積(ALD)型プロセス、化学気相堆積(CVD)型プロセス、又はALD及びCVD型プロセスの組み合わせにより堆積することができる。いくつかの実施形態では、他のプロセス、例えば物理蒸着(PVD)、プラズマ増強原子層堆積(PEALD)等を用いることができる。
簡単に言えば、ALDタイプのプロセスは、前駆体化学物質の制御された自己制御的表面反応に基づいている。気相反応は、前駆体を交互に逐次反応チャンバーの中へ供給することにより回避される。気相反応物質は、例えば反応物質パルス間の反応チャンバーから過剰の反応物質及び/又は反応副生成物を除去することにより、反応チャンバー内で互いに分離される。
簡潔に述べると、基材を反応チャンバーの中へ装填し、一般的に減圧下で適切な堆積温度に加熱する。いくつかの実施形態では、基材は300mmのシリコンウェーハを含む。いくつかの実施形態では、基材は450mmのシリコンウェーハを含む。堆積温度は、前駆体の熱分解温度以下に維持されるが、反応物質の凝縮を避け、所望の表面反応のための活性化エネルギーを提供するのに十分高いレベルに維持される。もちろん、任意の所定のALD反応の適切な温度ウィンドウは、表面終端及び含まれる反応物質種に依存するであろう。
第1の反応物質を、気相パルスの形態でチャンバー内へ導入し又はパルスし、基材の表面と接触させる。好ましくは、前駆体の約1単層以下が自己制御で基材表面に吸着されるように条件を選択する。過剰な第1の反応物質及び反応副生成物がある場合には、しばしば不活性ガス、例えば窒素又はアルゴンのパルスにより反応チャンバーからパージされる。
反応チャンバーをパージするということは、真空ポンプでチャンバーを排気することにより、及び/又は反応器内のガスを不活性ガス、例えばアルゴン若しくは窒素で置換することにより、反応チャンバーから気相前駆体及び/又は気相副生成物を除去することを意味する。典型的なパージ時間は、約0.05〜20秒、より好ましくは約1〜10、更に好ましくは約1〜2秒である。しかし、例えば、非常に高いアスペクト比の構造上に層を堆積する場合や複雑な表面形態を有する別の構造が必要な場合、必要に応じて別のパージ時間を利用することができる。適切なパルス時間は、特定の状況に基づいて当業者が容易に決定することができる。
第2のガス状反応物質をチャンバーの中へパルスし、そこで第2のガス状反応物質を表面に結合した第1の反応物質と反応させる。過剰な第2の反応物質及び表面反応の気体副生成物を、好ましくは不活性ガスを用いて反応チャンバーからパージする。パルス及びパージの工程は、基材上に所望の厚さの薄膜が形成されるまで繰り返され、各サイクルは単分子層以下を残す。本明細書に開示された薄膜、例えばTiN中に埋め込まれたTiFを含む膜の形成において、2つのサブサイクルが各ALDスーパーサイクル内で1つ又は複数繰り返され、誘電性遷移金属化合物材料を例えば粒子の形態で堆積し、導電性又は半導電性遷移金属化合物材料を堆積する。
堆積プロセスを促進する追加の反応物質を供給することもできる。そのような反応物質は、それ自体のパルスで、又は前駆体パルスと共に提供されることができ、例えば、所望の表面終端を提供するため、又は付着した配位子及び/若しくは遊離副生成物を除去若しくは吸着除去するために使用されることができる。いくつかの実施形態では、追加の反応物質は、成長中の膜に化学種を全く付与しない。
プロセスで使用される前駆体は、前駆体を反応チャンバーの中へ導入して基材の表面と接触させる前に気相であれば、標準条件(室温及び大気圧)下で固体、液体又は気体の材料であってもよい。
上述したように、各サイクル又はサブサイクルの各パルス又は段階は好ましくは自己制御的である。反応を受ける構造表面を飽和させるために、過剰の反応物質前駆体が各段階において供給される。表面飽和により、(例えば、物理的サイズ又は「立体障害」の制限を受け易い)すべての利用可能な反応性部位の反応物質の占有が確実になり、したがって優れたステップカバレッジを提供する。いくつかの構成では、自己制御的挙動の程度は、例えば(いくらかのCVD型反応を可能にすることで)堆積速度と共形性との釣り合いを取るために、反応物質パルスのある程度の重なり合いを可能にすることにより調整されることができる。いくつかの実施形態では、本明細書に記載の堆積プロセスは、部分的にCVD型のプロセス、又は完全なCVD型のプロセスを含むことができる。時間的及び空間的に十分に分離された反応物質を用いた理想的なALD条件は、ほぼ完全な自己制御的挙動、したがって最大の共形性を提供するが、立体障害により1サイクル当たり1単分子層未満となる。自己制御的ALD反応と混合された限られたCVD反応は、堆積速度を上昇させることができる。
気化された反応物質を基材上に「パルスすること」とは、蒸気を限られた時間の間チャンバー内に導入することを意味する。典型的には、パルス時間は約0.05秒〜約10秒である。しかし、パルス時間は、基材の型及びその表面積に応じて、約10秒よりも更に長くなり得る。
例として、枚葉式ALD反応器中の300mmウェーハの場合、前駆体は、典型的には約0.05秒〜約10秒間、より好ましくは約0.1秒〜約5秒間、最も好ましくは約0.3秒間〜約3.0秒間パルスされる。しかし、パルス時間は、場合によっては分のオーダーとすることができる。最適なパルス時間は、特定の状況に基づいて当業者により容易に決定され得る。
金属前駆体の質量流量は、当業者により決定され得る。いくつかの実施形態では、例えば300mmウェーハ上に堆積する場合、反応物質の流量は、好ましくは約1sccm〜約1000sccm、約10sccm〜約800sccm、又は約50sccm〜約500sccmであるが、これらに限定されない。
各反応物質のパルス時間及び質量流量は、互いに独立して選択することができる。いくつかの実施形態では、2つ以上の反応物質のパルス時間(及び/又は質量流量)は同じであるが、いくつかの実施形態では、パルス時間(又は質量流量)は異なる。
反応チャンバー内の圧力は、典型的には約0.01ミリバール〜約20ミリバール、より好ましくは約1ミリバール〜約10ミリバールである。しかし、場合によっては、圧力は複数のパラメータ、例えば、使用される特定の反応器、プロセス及び前駆体等に応じて当業者が容易に決定することができるので、この範囲よりも高いか又は低いであろう。
膜の堆積を開始する前に、上述したように、基材を適切な成長温度に加熱することができる。好ましい堆積温度は、多くの因子、例えば、反応物質前駆体、圧力、流量、反応器の配置、及び堆積される材料の性質を含む基材の組成に応じて変化し得るが、これらに限定されない。特定の成長温度は、特定の状況に基づいて当業者により選択され得る。
いくつかの実施形態では、堆積温度は、約100℃〜約700℃、約200℃〜約500℃、約250℃〜約400℃、又は約325℃〜約375℃である。
処理時間は、部分的には、生成される層の厚さ、膜の組成、個々の堆積サブサイクルの成長速度、及び全体の成長速度に依存する。
使用され得る適切な反応器の例としては、ASM America, Inc, Phoenix, Arizona及びASM Europe B.V., Almere, Netherlandsから入手可能な市販のALD装置、例えば、F−120(登録商標)反応器、Pulsar(登録商標)反応器、及びAdvance(登録商標)400シリーズ反応器が挙げられる。これらのALD反応器に加えて、適切な装置を備えたCVD反応器及び前駆体をパルスするための手段を含む、薄膜のALD成長が可能な多くの他の種類の反応器を使用することができる。いくつかの実施形態では、フロー型ALD反応器が使用される。
いくつかの実施形態では、反応器は、約50枚を超える基材、約100枚を超える基材、又は約125枚を超える基材を保持することができるバッチ式反応器である。いくつかの実施形態では、反応器はミニバッチ反応器であり、2〜20枚の基材、3〜約15枚の基材、又は4〜約10枚の基材を有する。いくつかの実施形態では、基材は、シリコンウェーハ、例えば少なくとも約150mmの直径を有するシリコンウェーハである。いくつかの実施形態では、基材は、少なくとも約200mm、又は少なくとも約300mmの直径を有するシリコンウェーハである。いくつかの実施形態では、基材は、少なくとも約450mmの直径を有するシリコンウェーハとすることができるであろう。
本明細書に記載の金属フッ化物を含む導電性膜を堆積するためのALDプロセスを、クラスタツールに連結された反応器又は反応空間内で場合によっては実施することができる。クラスタツールでは、各反応空間が1つのタイプのプロセス専用であるため、各モジュール内の反応空間の温度を一定に保つことができ、各運転の前に基材をプロセス温度まで加熱する反応器と比較してスループットが向上する。
独立型反応器にはロードロックが装備されている。その場合、各運転と運転との間に反応空間を冷却する必要はない。
いくつかの実施形態では、2つ以上の前駆体材料を反応チャンバー内の基材に同時に接触させるCVDプロセスが用いられる。いくつかの実施形態では、例えば、金属フッ化物前駆体、還元剤、及び窒素反応物質が反応チャンバーへ同時に供給され、それらは加熱された基材表面上で反応して、導電性又は半導電性遷移金属化合物相及び誘電性遷移金属化合物相を含む薄膜を形成する。いくつかの実施形態では、堆積させた薄膜の組成及び構造は、金属フッ化物前駆体、還元剤、及び窒素反応物質の反応空間中への相対流速により制御され得る。
いくつかの実施形態では、異なった濃度を有する2つ以上の前駆体材料を反応チャンバー内の基材に同時に適用するCVDプロセスが使用される。いくつかの実施形態では、異なった濃度を有する2つ以上の前駆体材料を反応チャンバー内の基材に少なくとも部分的に同時に適用するCVDプロセスが使用される。いくつかの実施形態では、金属フッ化物前駆体、還元剤、及び窒素反応物質は、金属フッ化物前駆体パルスが後続する還元剤及び/又は窒素反応物質前駆体パルス若しくはパルス(複数)と部分的に重なり合うように反応チャンバーに別々にパルスされる。反応物質は、加熱された基材表面上で反応して、導電性又は半導電性遷移金属化合物相及び誘電性遷移金属化合物相を含む薄膜を形成する。いくつかの実施形態では、金属フッ化物前駆体、還元剤、及び/又は窒素反応物質は、還元剤及び/又は窒素反応物質パルス若しくはパルス(複数)が後続する金属フッ化物前駆体パルスと部分的に重なり合うように反応チャンバーへパルスされる。反応物質は、反応チャンバー内の加熱された基材表面上で反応して、導電性又は半導電性遷移金属化合物相及び誘電性遷移金属化合物相を含む薄膜を形成する。
いくつかの実施形態では、低い濃度を有する2つ以上の前駆体材料を反応チャンバー内の基材に同時に適用するCVDプロセスが使用される。いくつかの実施形態では、(例えば、気相反応を回避し、表面制御反応を可能にする)非常に低い濃度の、金属フッ化物前駆体、還元剤、及び窒素反応物質が反応チャンバーへ同時に供給され、それらは加熱された基材表面上で反応して、導電性又は半導電性遷移金属化合物相及び誘電性遷移金属化合物相を含む薄膜を形成する。
いくつかの実施形態では、CVDプロセスは、約100℃〜約800℃、好ましくは200℃〜600℃の基材温度で実施される。堆積サイクルを含むCVDプロセスを使用する実施形態において適用される堆積時間、除去時間及び前駆体濃度を、本明細書に記載のALDプロセス堆積サイクルの場合に開示されたものに基づいて選択することができる。例えば、高濃度又は実質的により高濃度の前駆体は、例えばALDプロセスにおけるサイクルで適用される濃度であってもよく、低濃度又は実質的に低濃度は、例えばALDプロセスにおける前駆体の濃度の1/5未満、又は好ましくは1/10未満であってもよい。前駆体が部分的に同時に基材と接触し得るいくつかの実施形態では、第1の前駆体接触工程は、後続する前駆体接触工程と50%以下、好ましくは30%以下が重なり合うかもしれない。
導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む薄膜の堆積
上述し、そして詳細に後述するように、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む膜を、誘電性遷移金属化合物堆積サブサイクル及び還元サブサイクルを使用して堆積することができる。いくつかの実施形態では、遷移金属はTi、Ta、Nb、Mo及びWから選択されることができる。2つのサブサイクルは、スーパーサイクル内で所望の比で繰り返され、平滑な及び/又はナノ結晶質の膜を形成することができる。いくつかの実施形態では、薄膜、例えば誘電性遷移金属化合物相を含む薄膜は柱状粒子構造を持たない。いくつかの実施形態では、薄膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。
いくつかの実施形態では、堆積プロセスはALDプロセスである。いくつかの実施形態では、堆積プロセスは、逐次プロセス又は循環プロセス、例えばALDプロセスと同じ前駆体及び条件の選択肢を利用する逐次CVDプロセス又はパルスCVDプロセスである。いくつかの実施形態では、堆積プロセスはPECVDプロセスである。いくつかの実施形態では、堆積プロセスは、LPCVD/RTCDVプロセスである。いくつかの実施形態では、堆積プロセスは自己制御的ではない工程を有する。いくつかの実施形態では、CVD条件に近いプロセス条件領域で、又は場合によっては完全にCVD条件でプロセスを用いることができる。
いくつかの実施形態では、誘電性遷移金属化合物相を含む薄膜を、複数のスーパーサイクルを含むプロセスであって、各スーパーサイクルが少なくとも1つのDM(誘電性遷移金属化合物)サブサイクルと少なくとも1つの還元サブサイクルとを含むプロセスにより堆積する。各スーパーサイクル内でDMサブサイクルと還元サブサイクルとの比を、所望の組成を得るように変えることができ、スーパーサイクルの数を、所望の厚さの誘電性遷移金属化合物相を含む膜を堆積するように選択することができる。いくつかの実施形態では、スーパーサイクル内で逐次的に行われる各サブサイクルの数は、均一な導電性薄膜、例えば金属フッ化物を含む膜が形成されるように制限され、均一な導電性薄膜ではDMとCMのはっきりと区別できる層(導電性又は半導電性遷移金属化合物)を、例えば断面TEM又は断面SEM画像で見ることができない。いくつかの実施形態では、スーパーサイクル内で逐次的に行われる各サブサイクルの数は、導電性又は半導電性遷移金属化合物相(CM)中に埋め込まれた誘電性遷移金属化合物相(DM)を含む薄膜が形成され、その薄膜ではっきりと区別できるDM粒子を、例えば断面TEM又は断面SEM画像で見ることができる、数である。
スーパーサイクルは、
a[b(DM)+c(還元剤+窒素化合物)]と表されることができ、ここで、DMは誘電性遷移金属化合物のサブサイクルを表し、bは各スーパーサイクル内のDMサブサイクルの数であり、(還元剤+窒素化合物)は還元サブサイクルを表し、cは各スーパーサイクルにおける還元サブサイクルの数であり、aはスーパーサイクルの数である。誘電性遷移金属化合物の還元サブサイクルに対する比は、b:cとして与えられることができる。
第1及び第2の堆積サブサイクル(b及びc)は、所望の組成及び所望の特性を有する薄膜を堆積するように選択された比で提供されてもよい。例えば、いくつかの実施形態では、1つ又は複数のスーパーサイクル内の第1の誘電性遷移金属化合物堆積サブサイクルの第2の還元サブサイクルに対する比(b:c)は、約0.01〜約100、約0.05〜約50、又は約0.1〜約1とすることができる。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の誘電性遷移金属化合物吸着サブサイクルの還元サブサイクルに対する比は、1未満である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の誘電性遷移金属化合物吸着サブサイクルの還元サブサイクルに対する比は、約1〜約3である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の誘電性遷移金属化合物吸着サブサイクルの還元サブサイクルに対する比は、約1〜約50、約3〜約30、又は約5〜約20である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の誘電性遷移金属化合物吸着サブサイクルの還元サブサイクルに対する比は、約0.5、約1、約3、約5、約10、約20、約40又は約50である。
いくつかの実施形態では、第1の誘電性遷移金属化合物吸着サブサイクルの第2の還元サブサイクルに対する比(b:c)は、このプロセスで実行される完全なスーパーサイクルのすべてにおいて同じである。他の実施形態では、第1の誘電性遷移金属化合物吸着サブサイクルの第2の還元サブサイクルに対する特定の比を、異なる完全なスーパーサイクルで変えることができる。その特定の比は、膜中に所望の量の誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を提供し、それによって所望の特性を有する膜を得るように、当業者により選択されることができる。
第1の誘電性遷移金属化合物吸着サブサイクル及び第2の還元サブサイクルと呼ばれるが、いくつかの実施形態では、1つ又は複数のスーパーサイクルは、還元サブサイクルから始まり、その後(所望の回数繰り返した後に)誘電性遷移金属化合物吸着サブサイクルが続く(これは、別のスーパーサイクルを開始する前に所望の回数繰り返されてもよい)。
いくつかの実施形態では、スーパーサイクルは、
a[b(DM+還元剤)+c(窒素反応物質)]と表されることができ、ここで、b は各スーパーサイクル内の還元剤を含むDMサブサイクルの数であり、cは各スーパーサイクル内の窒素反応物サブサイクルの数であり、及びaはスーパーサイクルの数である。誘電性遷移金属化合物の窒素サブサイクルに対する比は、b:cとして与えられることができる。
いくつかの実施形態では、金属又はMは、Ti、Ta、Nb、Mo、又はWを含む。
いくつかの実施形態では、還元剤は、シラン又はボランを含む。いくつかの実施形態では、還元剤は、シラン、ジシラン、又はトリシランである。いくつかの実施形態では、還元剤は、ボラン、ジボラン、又はトリボランである。上述のように、「還元剤」と呼ばれるが、いくつかの実施形態では、実際の化学還元が起こる必要はない。同様に、いくつかの実施形態では、還元が必ずしも「還元サブサイクル」内で起こるとは限らない。
いくつかの実施形態では、窒素前駆体は、アンモニア、N、窒素原子、窒素含有プラズマ若しくは窒素ラジカル、又はプラズマ中で生成される別の化学種からなる群から選択されることができる。
いくつかの実施形態では、フッ化物膜を堆積するために熱ALDプロセスが用いられ、N前駆体はアンモニア又はNである。いくつかの実施形態では、プラズマALDプロセスが用いられ、導電性フッ化物含有膜を堆積するためのN前駆体は、窒素原子、窒素含有プラズマ、又は窒素ラジカルを含む。
TiFを含む例示的な薄膜、TiN中に埋め込まれたTiFを含む薄膜を堆積するために、特定のプロセス条件及びパラメータは以下に提供されるが、これらのプロセスに関して記載されたプロセス条件を、誘電性遷移金属化合物相を含む別の膜の堆積に適用することができる。
いくつかの実施形態では、第1及び第2の堆積サブサイクルは、同じ反応温度で行われる。いくつかの実施形態では、誘電性遷移金属化合物サブサイクル及び還元サブサイクルの一方又は両方の堆積温度は、約100℃〜約700℃、約200℃〜約500℃、約250℃〜約400℃、又は約325℃〜約375℃である。いくつかの実施形態では、TiFサブサイクル及び還元サブサイクルの両方を約350℃で実施する。
いくつかの実施形態では、誘電性遷移金属化合物サブサイクルの還元サブサイクルに対する比は、非常に薄い厚さ、例えば約3nm未満で閉じる膜を堆積するように選択される(ここで、閉じたとは、下層の基材の原子が、例えばLEISにより測定された場合、最表面でもはや検出されないことを意味する)。いくつかの実施形態では、サブサイクルの比は、膜が導通するように、即ち、非常に薄い厚さで、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更には約1.0nm未満で、電流を流すように選択される。いくつかの実施形態では、サブサイクルの比は、膜が層として連続するように、しかし非常に薄い厚さ、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更に約1.0nm未満で連続するマトリックス中にいくつかの非連続形体、例えば孔を含むことができるように、選択される。いくつかの実施形態では、サブサイクルの比は、膜が閉じず連続的ではないように、しかし非常に薄い厚さ、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更に約1.0nm未満でも拡散バリアとして作用するように、選択される。
いくつかの実施形態では、誘電性遷移金属化合物サブサイクルの還元サブサイクルに対する比は、光活性である膜、例えば、1つ又は複数の光子の放射エネルギーを吸収して電気回路内に電気エネルギーを生成する膜を堆積するように選択される。いくつかの実施形態では、誘電性遷移金属化合物サブサイクルの還元サブサイクルに対する比は、薄膜に入射する光の少なくとも一部を吸収して薄膜内に電位差を生成する薄膜を堆積するように選択される。いくつかの実施形態では、誘電性遷移金属化合物サブサイクルの還元サブサイクルに対する比は、導電性であり光透過性である、例えば薄膜の表面に入射する光の少なくとも一部が薄膜を通過する薄膜を堆積するように選択される。いくつかの実施形態では、誘電性遷移金属化合物サブサイクルの還元サブサイクルに対する比は、導波路として作用することができる薄膜、例えば、薄膜内に電磁波として薄膜の表面に入射する変調された光ビーム中に含まれる情報を伝達することができる膜を堆積するように選択される。
いくつかの実施形態では、各スーパーサイクル内の還元サブサイクルの相対数を増加させると、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む膜のシート抵抗及び/又は抵抗率が増加する。
いくつかの実施形態では、本開示に従って形成される誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む膜は、約200,000Ω/sq未満、約140,000Ω/sq未満、約20,000Ω/sq未満、約10,000Ω/sq未満、約1,000Ω/sq未満、又は更に約1,000Ω/sq未満のシート抵抗を有することができる。
いくつかの実施形態では、本開示に従って形成される誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む膜は、約10μΩcm未満の層抵抗率を有することができる。いくつかの実施形態では、薄膜は、約500μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約5×10μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約10μΩcm〜約10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約300℃未満では空気雰囲気による酸化がされない。
いくつかの実施形態では、本開示に従って形成される誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む膜は、少なくとも約500μΩcm、少なくとも約1,000μΩcm、少なくとも約5,000μΩcm、又は更に少なくとも約10,000μΩcmの層抵抗率を有し得る。いくつかの実施形態では、本開示に従って形成される膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、導電性又は半導電性遷移金属化合物相との明確な粒界を有する。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、導電性又は半導電性遷移金属化合物相により取り囲まれた離散粒子を含む。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が約500nm未満、好ましくは直径が約100nm未満、より好ましくは直径が約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が10nm未満であってもよい。いくつかの実施形態では、誘電性遷移金属化合物相粒子間の平均距離は、約50nm未満、好ましくは約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物相粒子間の平均距離は、約10nm〜約20nmである。いくつかの実施形態では、誘電性遷移金属化合物相粒子は柱状粒子を含む。いくつかの実施形態では、柱状粒子は、堆積させた薄膜の実質的に厚さ全体にわたって延在する。
いくつかの実施形態では、誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む膜を、ケイ素を含む基材上に堆積する。いくつかの実施形態では、膜を、Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs、又はいくつかの他の半導体材料のうちの少なくとも1つを含む基材上に堆積する。
いくつかの実施形態では、本開示に従って形成される誘電性遷移金属化合物相と導電性又は半導電性遷移金属化合物相とを含む膜は、酸素を含む雰囲気中において約500℃未満、約400℃未満、約300℃未満、又は約250℃未満で、実質的に酸化を示さない。いくつかの実施形態では、膜は、酸素含有雰囲気、例えば周囲空気中で、室温又は屋外で自然に生じる温度、例えば約−50℃〜約50℃で長期間耐酸化性である。例えば、いくつかの実施形態によれば、本方法に従って形成される膜は、6時間を超えて、好ましくは24時間を超えて耐酸化性であることができ、場合によっては膜組成に応じて、膜は、10日間を超える、好ましくは30日間を超える、及び場合によっては、必要に応じて、1年を超える期間にわたり耐酸化性であることができる。いくつかの実施形態では、本開示に従って形成される膜は、大気中で10年を超えて、又は20年を超えて耐酸化性であることができる。いくつかの特定の用途において、例えば、金属フッ化物を含む膜が、水分/水をも含むことができる周囲空気に対する保護層として用いられる場合に、例えば周囲空気への曝露が起こるかもしれない。酸素を含む他の雰囲気は、酸素原子、プラズマ若しくはラジカル、オゾン、水/水分、又はOH基を含む他の化学種を含むことができるであろう。
金属フッ化物を含む薄膜の堆積
上述したように、また以下に詳細に論じるように、金属フッ化物を含む膜、例えば導電性又は半導電性遷移金属化合物相中に埋め込まれた金属フッ化物相を含む膜を、金属フッ化物堆積サブサイクル及び還元サブサイクルを用いて堆積することができる。いくつかの実施形態では、金属はTi、Ta、Nb、Mo及びWから選択されることができる。2つのサブサイクルは、スーパーサイクル内で所望の比で繰り返され、平滑な及び/又はナノ結晶質の膜を形成することができる。いくつかの実施形態では、薄膜、例えば金属フッ化物を含む薄膜は、柱状粒子構造を持たない。いくつかの実施形態では、薄膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属フッ化物相を含む。
いくつかの実施形態では、堆積プロセスはALDプロセスである。いくつかの実施形態では、堆積プロセスは、逐次プロセス又は循環プロセス、例えばALDプロセスと同じ前駆体及び条件の選択肢を利用する逐次CVDプロセス又はパルスCVDプロセスである。いくつかの実施形態では、堆積プロセスはPECVDプロセスである。いくつかの実施形態では、堆積プロセスはLPCVD/RTCDVプロセスである。いくつかの実施形態では、堆積プロセスは自己制御的ではない工程を有する。いくつかの実施形態では、CVD条件に近いプロセス条件領域で、又は場合によっては完全にCVD条件でプロセスを用いることができる。
いくつかの実施形態では、複数のスーパーサイクルを含むプロセスであって、各スーパーサイクルは少なくとも1つのMF(金属フッ化物)サブサイクル及び少なくとも1つの還元サブサイクルを含むプロセスにより、金属フッ化物を含む薄膜を堆積する。各スーパーサイクル内のMFサブサイクルと還元サブサイクルとの比を、所望の組成を得るように変えることができ、所望の厚さのフッ素含有膜を堆積するように、スーパーサイクルの数を選択することができる。いくつかの実施形態では、スーパーサイクル内で逐次的に行われる各サブサイクルの数は、均一な導電性薄膜、例えば金属フッ化物を含む膜が形成されるように制限され、均一な導電性薄膜でははっきりと区別できるMF層及びMN層を、例えば断面TEM又は断面SEM画像で見ることができない。いくつかの実施形態では、スーパーサイクル内で逐次的に行われる各サブサイクルの数は、導電性又は半導電性遷移金属化合物相(MN)中に埋め込まれた誘電性遷移金属フッ化物相(MF)を含む薄膜が形成され、その薄膜ではっきりと区別できるMF粒子を、例えば断面TEM又は断面SEM画像で見ることができる、数である。
スーパーサイクルは、
a[b(MF)+c(還元剤+窒素化合物)]と表されることができ、ここでMFはMサブサイクルを表し、bは各スーパーサイクル内のMFサブサイクルの数であり、(還元剤+窒素化合物)は還元サブサイクルを表し、cは各スーパーサイクル内の還元サブサイクルの数であり、aはスーパーサイクルの数である。金属フッ化物の還元サブサイクルに対する比は、b:cとして与えられることができる。
第1及び第2の堆積サブサイクル(b及びc)は、所望の組成及び所望の特性を有する薄膜を堆積するのに選択された比で提供されてもよい。例えば、いくつかの実施形態では、1つ又は複数のスーパーサイクル内の第1の金属フッ化物堆積サブサイクルの第2の還元サブサイクルに対する比(b:c)は、約0.01〜約100、約0.05〜約50、又は約0.1〜約1とすることができる。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の金属フッ化物吸着サブサイクルの還元サブサイクルに対する比は、1未満である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の金属フッ化物吸着サブサイクルの還元サブサイクルに対する比は、約1〜約3である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の金属フッ化物吸着サブサイクルの還元サブサイクルに対する比は、約1〜約50、約3〜約30、又は約5〜約20である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内の金属フッ化物吸着サブサイクルの還元サブサイクルに対する比は、約0.5、約1、約3、約5、約10、約20、約40又は約50である。
いくつかの実施形態では、第1の金属フッ化物吸着サブサイクルの第2の還元サブサイクルに対する比(b:c)は、このプロセスで実行される完全なスーパーサイクルのすべてにおいて同じである。他の実施形態では、第1の金属フッ化物吸着サブサイクルの第2の還元サブサイクルに対する特定の比を、異なる完全なスーパーサイクル内で変えることができる。その特定の比は、膜中に所望の量の金属、フッ化物、及び窒素を提供するように、及びそれにより所望の特性を有する膜を得るように、当業者により選択されることができる。
第1の金属フッ化物吸着サブサイクル及び第2の還元サブサイクルと呼ばれるが、いくつかの実施形態では、1つ又は複数のスーパーサイクルは、還元サブサイクルから始まり、その後(所望の回数繰り返した後に)金属フッ化物吸着サブサイクルが続く(これは、別のスーパーサイクルを開始する前に所望の回数繰り返されてもよい)。
いくつかの実施形態では、スーパーサイクルは、
a[b(MF+還元剤)+c(窒素反応物質)]と表されることができ、ここで、bは各スーパーサイクル内の還元剤を含むMFサブサイクルの数であり、cは各スーパーサイクル内の窒素反応物サブサイクルの数であり、及びaはスーパーサイクルの数である。金属フッ化物の窒素サブサイクルに対するの比は、b:cとして与えられることができる。
いくつかの実施形態では、金属又はMは、Ti、Ta、Nb、Mo、又はWを含む。
いくつかの実施形態では、還元剤は、シラン又はボランを含む。いくつかの実施形態では、還元剤は、シラン、ジシラン、又はトリシランである。いくつかの実施形態では、還元剤は、ボラン、ジボラン、又はトリボランである。上述のように、「還元剤」と呼ばれるが、いくつかの実施形態では、実際の化学還元が起こる必要はない。同様に、いくつかの実施形態では、還元が必ずしも「還元サブサイクル」内で起こるとは限らない。
いくつかの実施形態では、窒素前駆体は、アンモニア、N、窒素原子、窒素含有プラズマ若しくは窒素ラジカル、又はプラズマ中で生成される別の化学種からなる群から選択されることができる。
いくつかの実施形態では、フッ化物膜を堆積するために熱ALDプロセスが用いられ、N前駆体はアンモニア又はNである。いくつかの実施形態では、プラズマALDプロセスが用いられ、導電性フッ化物含有膜を堆積するためのN前駆体は、窒素原子、窒素含有プラズマ、又は窒素ラジカルを含む。
TiFを含む例示的な薄膜、TiN中に埋め込まれたTiFを含む薄膜を堆積するために、特定のプロセス条件及びパラメータは以下に提供されるが、これらのプロセスに関して記載されたプロセス条件を、フッ化物を含む別の膜の堆積に適用することができる。
いくつかの実施形態では、第1及び第2の堆積サブサイクルは、同じ反応温度で行われる。いくつかの実施形態では、金属フッ化物サブサイクル及び還元サブサイクルの一方又は両方の堆積温度は、約100℃〜約700℃、約200℃〜約500℃、約250℃〜約400℃、又は約325℃〜約375℃である。いくつかの実施形態では、TiFサブサイクル及び還元サブサイクルの両方を約350℃で実施する。
いくつかの実施形態では、金属フッ化物サブサイクルの還元サブサイクルに対する比は、非常に薄い厚さ、例えば約3nm未満で閉じる膜を堆積するように選択される(ここで、閉じたとは、下層の基材の原子が、例えばLEISにより測定された場合、もはや最表面で検出されないことを意味する)。いくつかの実施形態では、サブサイクルの比は、膜が導通するように、即ち、非常に薄い厚さで、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更には約1.0nm未満で、電流を流すように選択される。いくつかの実施形態では、サブサイクルの比は、膜が層として連続するように、しかし非常に薄い厚さ、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更に約1.0nm未満で連続するマトリックス中にいくつかの非連続形体、例えば孔を含むことができるように、選択される。いくつかの実施形態では、サブサイクルの比は、膜が閉じず連続的ではないように、しかし非常に薄い厚さ、例えば約3nm未満、約2nm未満、約1.5nm未満、又は更に約1.0nm未満でも拡散バリアとして作用するように、選択される。
いくつかの実施形態では、金属フッ化物サブサイクルの還元サブサイクルに対する比は、光活性である膜、例えば、1つ又は複数の光子の放射エネルギーを吸収して電気回路内に電気エネルギーを生成する膜を堆積するように選択される。いくつかの実施形態では、金属フッ化物サブサイクルの還元サブサイクルに対する比は、薄膜に入射する光の少なくとも一部を吸収して薄膜内に電位差を生成する薄膜を堆積するように選択される。いくつかの実施形態では、金属フッ化物サブサイクルの還元サブサイクルに対する比は、導電性であり光透過性である、例えば薄膜を表面に入射する光の少なくとも一部が薄膜を通過する薄膜を堆積するように選択される。いくつかの実施形態では、金属フッ化物サブサイクルの還元サブサイクルに対する比は、導波路として作用することができる薄膜、例えば、薄膜内に電磁波として薄膜の表面に入射する変調された光ビーム中に含まれる情報を伝達することができる膜を堆積するように選択される。
いくつかの実施形態では、各スーパーサイクル内の還元サブサイクルの相対数を増加させると、金属フッ化物膜のシート抵抗及び/又は抵抗率が増加する。
いくつかの実施形態では、本開示に従って形成されるフッ化物含有膜は、約200,000Ω/sq未満、約140,000Ω/sq未満、約20,000Ω/sq未満、約10,000Ω/sq未満、約1,000Ω/sq未満、又は更に約1,000Ω/sq未満のシート抵抗を有することができる。
いくつかの実施形態では、本開示に従って形成されるフッ化物含有膜は、約10μΩcm未満の層抵抗率を有することができる。いくつかの実施形態では、薄膜は、約500μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約5×10μΩcm〜約5×10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約10μΩcm〜約10μΩcmの層抵抗率を有する。いくつかの実施形態では、薄膜は、約300℃未満では空気雰囲気による酸化がされない。
いくつかの実施形態では、本開示に従って形成されるフッ化物含有膜は、少なくとも約500μΩcm、少なくとも約1,000μΩcm、少なくとも約5,000μΩcm、又は更に少なくとも約10,000μΩcmの層抵抗率を有し得る。いくつかの実施形態では、本開示に従って形成されるフッ化物含有膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれた金属フッ化物粒子を含み得る。いくつかの実施形態では、金属フッ化物粒子は、導電性又は半導電性遷移金属化合物相との明確な粒界を有する。いくつかの実施形態では、金属フッ化物粒子は、導電性又は半導電性遷移金属化合物相により取り囲まれた離散粒子を含む。いくつかの実施形態では、金属フッ化物粒子は、直径が約500nm未満、好ましくは直径が約100nm未満、より好ましくは直径が約20nm未満である。いくつかの実施形態では、金属フッ化物粒子は、直径が10nm未満であってもよい。いくつかの実施形態では、金属フッ化物粒子間の平均距離は、約50nm未満、好ましくは約20nm未満である。いくつかの実施形態では、金属フッ化物粒子間の平均距離は、約10nm〜約20nmである。いくつかの実施形態では、金属フッ化物粒子は柱状粒子を含む。いくつかの実施形態では、柱状粒子は、堆積させた薄膜の実質的に厚さ全体にわたって延在する。
いくつかの実施形態では、フッ化物含有膜を、ケイ素を含む基材上に堆積する。いくつかの実施形態では、フッ化物含有膜を、Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs又はいくつかの他の半導体材料のうちの少なくとも1つを含む基材上に堆積する。
いくつかの実施形態では、本開示に従って形成される金属フッ化物を含む膜は、酸素を含む雰囲気中において約500℃未満、約400℃未満、約300℃未満、又は約250℃未満では実質的に酸化を示さないことがある。いくつかの実施形態では、膜は、酸素含有雰囲気、例えば周囲空気中で、室温又は屋外で自然に生じる温度、例えば約−50℃〜約50℃で長期間耐酸化性である。例えば、いくつかの実施形態によれば、本方法に従って形成される膜は、6時間を超えて、好ましくは24時間を超えて耐酸化性であることができ、場合によっては膜組成に応じて、膜は、10日間を超える、好ましくは30日間を超える、及び場合によっては、必要に応じて、1年を超える期間にわたり耐酸化性であることができる。いくつかの実施形態では、本開示に従って形成される膜は、大気中で10年を超えて、又は20年を超えて耐酸化性であることができる。いくつかの特定の用途において、例えば、金属フッ化物を含む膜が、水分/水をも含むことができる周囲空気に対する保護層として用いられる場合に、例えば周囲空気への曝露が起こるかもしれない。酸素を含む他の雰囲気は、酸素原子、プラズマ若しくはラジカル、オゾン、水/水分、又はOH基を含む他の化学種を含むことができるであろう。
導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む膜のALDによる堆積
上述のように、いくつかの実施形態では、誘電性遷移金属化合物相を含む膜、例えば導電性又は半導電性遷移金属化合物相中に埋め込まれたフッ化物化合物を含む薄膜を堆積する原子層堆積プロセスは、複数のスーパーサイクルを含むことができ、各スーパーサイクルは、少なくとも1つの誘電性遷移金属化合物相(DM)サブサイクル及び少なくとも1つの還元サブサイクルを含む。DMサブサイクルでは、最大単分子層が基材表面上に吸着するように、基材を気相誘電性遷移金属化合物、例えば金属フッ化物に曝す。還元サブサイクルでは、基材は、還元剤、例えばシラン又はボラン及び窒素反応物質に曝される。DMサブサイクルと還元サブサイクルとの比を、所望の組成を得るように変えることができ、スーパーサイクルの数を、所望の厚さの誘電性遷移金属化合物相を含む膜を堆積するように選択することができる。DMサブサイクルは還元サブサイクルに先行することができ、その逆であってもよい。同様に、還元サイクルでは、還元剤が窒素反応物質に先行してもよく、その逆であってもよい。
図1は、複数のALDスーパーサイクル100を含む反応チャンバー内の基材上に、誘電性遷移金属化合物相及び導電性又は半導電性遷移金属化合物相を含む膜を形成するALDプロセスを例示する。各スーパーサイクルは、第1のDM堆積サブサイクル200及び第2の還元サブサイクル300を含む。スーパーサイクル100は、所望の厚さの薄膜を堆積するのに望ましい回数だけ繰り返される。スーパーサイクル100内のサブサイクル200と300との間の比は、所望の組成及び特性を有する膜を得るように選択されることができる。
第1の誘電性遷移金属化合物堆積サブサイクルは、
最大で誘電性遷移金属化合物の単分子層を基材上に形成するために、気化された誘電性遷移金属化合物、例えば遷移金属フッ化物を反応チャンバーの中へパルスすること210と、
過剰の誘電性遷移金属化合物及び反応副生成物を除去するために反応チャンバーをパージすること220と、
パルスする工程とパージする工程とを繰り返すこと250と、を含む。
いくつかの実施形態では、第1の堆積サブサイクルは、1、2、3、4、5、10、20、50、100回以上連続して繰り返される。いくつかの実施形態では、第1の堆積サブサイクルは、連続して約30〜60回以下、連続して最大約30〜50回、又は連続して最大約40回繰り返される。
薄膜を形成するための原子層堆積スーパーサイクル100はまた、1つ又は複数の第2還元サブサイクル300を含む。いくつかの実施形態では、第2の還元サブサイクル300は、
吸着された誘電性遷移金属化合物の少なくともいくらかを還元するために、気化された還元剤、例えばジシラン又はトリシランを反応チャンバーの中へパルスすること310と、
過剰の還元剤及び反応副生成物がある場合にはそれらを除去するために、反応チャンバーをパージすること320と、
必要に応じて、窒素反応物質、例えばNHのパルスを反応チャンバーの中へ供給すること330と、
必要に応じて、過剰の窒素反応物質及びあらゆる気体副生成物を除去するために、反応チャンバーをパージすること340と、
少なくともパルスする工程310及びパージする工程320を繰り返すこと350と、を含む。
いくつかの実施形態では、第2の還元サブサイクル300は、1、2、3、4、5、10、20、50、100回以上連続して繰り返される。いくつかの実施形態では、第2の還元サブイサクルは、約3〜6回、又は約5回繰り返される。
第1及び第2のサブサイクル200、300は、完全なALDスーパーサイクル100内で複数回繰り返され、そして完全なALDスーパーサイクル100が繰り返され、所望の濃度の誘電性遷移金属化合物相を含む所望の厚さの薄膜を形成する。
いくつかの実施形態では、第1の堆積サブサイクル200及び第2の還元サブサイクル300が繰り返される回数は、各完全なALDスーパーサイクル100内で同じである。他の実施形態では、第1及び第2のサブサイクル100、200の数は、1つ又は複数の完全なALDスーパーサイクル100内で変化する。各完全なALDスーパーサイクル100内の第1及び第2のサブサイクル100、200の数、並びに第1及び第2のサブサイクル100、200の総数及び総ALDスーパーサイクル100は、所望の厚さ及び組成の薄膜の堆積を実現するように調整されることができる。
第1の堆積サブサイクル200で始まるとして例示されるが、各完全なALDサイクルは、第1のサブサイクル100又は第2のサブサイクル200のいずれかで開始及び終了してもよい。例えば、薄膜を形成する各ALDスーパーサイクルを、第1の誘電性遷移金属化合物堆積サブサイクル又は還元サブサイクルで開始することができる。いくつかの実施形態では、1つ又は複数のスーパーサイクルは、還元サブサイクルで開始することができる。
いくつかの実施形態では、500nm以下の共形な薄膜を形成するために、ALDにより基材表面上に薄膜を堆積する。いくつかの実施形態では、膜の厚さは100nm未満、約50nm未満又は約10nm未満である。用途に応じて、厚さは大幅に薄く、例えば、約2〜約50Å、好ましくは約3〜約30Å、場合によっては約5〜約20Åとすることができるであろう。いくつかの実施形態では、例えばTiFを含む膜が光電極として使用される場合、膜の厚さは約30nmとすることができる。いくつかの実施形態では、薄膜は、約100nmを超える、約1μmを超える、又は場合によっては約1mmを超える厚さを有し得る。
本発明の範囲から逸脱することなく、上述の方法及び構造に対して様々な修正、省略及び追加を行うことができる。このような修正及び変更のすべては、添付の特許請求の範囲により規定されるように、本発明の範囲内に入ることが意図されている。
導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む膜のPVDによる堆積
いくつかの実施形態では、導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む本明細書に記載される薄膜を、物理蒸着(PVD)タイプのプロセスにより堆積してもよい。いくつかの実施形態では、誘電性遷移金属化合物材料及び導電性又は半導電性遷移金属化合物材料を含む薄膜を、反応性スパッタリング堆積プロセスにより堆積することができる。いくつかの実施形態では、反応性スパッタリングプロセスは、遷移金属元素を含むターゲットを使用することを含むことができる。例えば、ターゲットは、遷移金属ターゲット、例えばチタンターゲットを含むことができる。いくつかの実施形態では、堆積プロセスは、窒素、フッ素及び/又は酸素種を含む雰囲気中でプラズマを生成することを含むことができる。
いくつかの実施形態では、誘電性遷移金属化合物材料は、固体遷移金属フッ化物、遷移金属酸化物、又は遷移金属オキシフッ化物、又はこれらのうちの1つ又は複数の混合物を含むことができる。いくつかの実施形態では、誘電性遷移金属化合物材料はTiFを含むことができる。
いくつかの実施形態では、導電性又は半導電性遷移金属化合物材料は、遷移金属窒化物を含むことができる。いくつかの実施形態では、導電性又は半導電性遷移金属化合物材料はTiNを含むことができる。
いくつかの実施形態では、薄膜を、スパッタリングプロセス、例えば反応性スパッタリングプロセスを用いて堆積する。いくつかの実施形態では、スパッタリングプロセスは、窒素及び/又はフッ素を含む雰囲気中でプラズマを生成することを含むことができる。いくつかの実施形態では、雰囲気は、窒素含有種及び/又はフッ素含有種を含むことができる。いくつかの実施形態では、雰囲気は、例えば、N、NH、及び/又はFを含むことができる。
いくつかの実施形態では、TiN中にTiFを含む薄膜を、スパッタリングプロセス、例えば反応性スパッタリングプロセスを用いて堆積する。いくつかの実施形態では、スパッタリングプロセスは、N及び/又はFを含む雰囲気中でプラズマを生成することを含むことができる。いくつかの実施形態では、スパッタリングプロセスは、NH及び/又はFを含む雰囲気中でプラズマを生成することを含むことができる。
いくつかの実施形態では、堆積プロセスの間に雰囲気の組成を変えてもよい。例えば、堆積プロセス全体にわたって窒素含有種及びフッ素含有種の濃度を変えてもよい。いくつかの実施形態では、雰囲気は、窒素含有種を含むことができ、及びフッ素含有種を含むことができない。いくつかの実施形態では、雰囲気は、フッ素含有種を含むことができ、及び窒素含有種を含むことができない。いくつかの実施形態では、堆積プロセスの間、堆積プロセスの少なくとも一部では、雰囲気は窒素含有種を含むことができるが、フッ素含有種を含むことができない、及び、堆積プロセスの少なくとも異なる部分、例えば堆積プロセスの初期又は後期部分に、雰囲気はフッ素含有種を含むことができるが、窒素含有種を含むことができない。
導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む膜のALDによる堆積
上述のように、いくつかの実施形態では、導電性又は半導電性遷移金属化合物材料中に誘電性遷移金属化合物材料を含む膜を堆積する原子層堆積プロセスは、複数のスーパーサイクルを含むことができ、各スーパーサイクルは、少なくとも1つの遷移金属化合物サブサイクル及び少なくとも1つの第2のサブサイクル、例えば還元サブサイクルを含む。遷移金属化合物サブサイクルでは、最大で単分子層が基材表面に吸着するように、基材を気相遷移金属化合物に曝す。第2のサブサイクル、例えば還元サブサイクルでは、基材を他の反応物質、例えば還元剤、例えばシラン若しくはボラン及び/又は第3の反応物質、例えば窒素反応物質に曝す。遷移金属化合物と第2のサブサイクルとの比を、所望の組成を得るために変えることができ、所望の厚さの遷移金属化合物を含む膜を堆積するようにスーパーサイクルの数を選択することができる。遷移金属化合物サブサイクルは第2のサブサイクルに先行することができ、その逆であってもよい。同様に、第2のサブサイクル、例えば還元サブサイクルでは、還元剤が第3の反応物質、例えば窒素反応物質に先行してもよく、その逆であってもよい。
TiFを含む膜のALDによる堆積
上述のように、いくつかの実施形態では、導電性又は半導電性遷移金属化合物相、例えばTiN中に埋め込まれたTiFを含む膜、例えばTiF化合物を含む薄膜、例えばTiFを堆積する原子層堆積プロセスは、複数のスーパーサイクルを含むことができ、各スーパーサイクルは、少なくとも1つのTiFサブサイクル及び少なくとも1つの還元サブサイクルを含む。TiFサブサイクルでは、最大で単分子層が基材表面上に吸着するように、基材を気相TiFに曝す。還元サブサイクルでは、基材は、還元剤、例えばシラン又はボラン及び窒素反応物質に曝される。TiFサブサイクルと還元サブサイクルとの比を、所望の組成を得るように変えることができ、スーパーサイクルの数を、所望の厚さのフッ化チタンを含む膜を堆積するように選択することができる。TiFサブサイクルは還元サブサイクルに先行することができ、その逆であってもよい。同様に、還元サイクルでは、還元剤が窒素反応物質に先行してもよく、その逆であってもよい。
いくつかの実施形態では、TiFサブサイクルは、還元剤、例えばシラン化合物又はボラン化合物を含んでもよい。更にいくつかの実施形態では、第2のサブサイクルは、シラン化合物もボラン化合物も含まない。
スーパーサイクルは、
a[b(フッ化チタン)+c(還元剤+窒素反応物質)]と表すことができ、ここで「フッ化チタン」はTiFサブサイクルを表し、bは各スーパーサイクル内のTiFサブサイクルの数であり、(還元剤+窒素反応物質)は還元サブサイクルを表し、cは各スーパーサイクル内の還元サブサイクルの数であり、aはスーパーサイクルの数である。いくつかの実施形態では、スーパーサイクル内で最初にTiFサブサイクルが来ると例示されているが、1つ又は複数のスーパーサイクルでは、還元サブサイクルが最初に来る。したがって、いくつかの実施形態では、TiFサブサイクルは第1のサブサイクルと見なすことができ、還元サブサイクルは第2のサブサイクルと見なすことができ、一方、いくつかの実施形態では還元サブサイクルは第1のサブサイクルと見なすことができ、TiFサブサイクルは第2のサブサイクルと見なすことができる。
いくつかの実施形態では、スーパーサイクルは、
a[b(TiF+還元剤)+c(窒素反応物質)]と表されることができ、ここで、bは各スーパーサイクル内での還元剤を含むTiFサブサイクルの数であり、cは各スーパーサイクル内での窒素反応物サブサイクルの数であり、及びaはスーパーサイクルの数である。金属フッ化物の窒素サブサイクルに対するの比は、b:cとして与えられることができる。
いくつかの実施形態では、還元剤は、ボラン又はシラン、例えばジボラン、トリボラン、ジシラン、又はトリシランであることができる。いくつかの実施形態では、還元剤はジシランである。いくつかの実施形態では、還元剤はトリシランである。いくつかの実施形態では、窒素反応物質は、アンモニア、N、窒素原子、窒素含有プラズマ、又は窒素ラジカルであることができる。
いくつかの実施形態では、スーパーサイクルは、a[b(TiF)+c(Si+NH)]と表すことができ、bは各スーパーサイクル内でのTiFサブサイクルの数であり、cは各スーパーサイクル内での還元サブサイクルの数であり、aはスーパーサイクルの数である。
したがってTiFサブサイクルの還元サブサイクルに対する比は、b:c(又はTiF:還元)として与えられることができる。いくつかの実施形態では、サブサイクルの比は、ALDプロセス内の各ALDスーパーサイクルにおいて一定である。他の実施形態では、1つ又は複数のスーパーサイクルにおいて、サブサイクルの比を変えることができる。特に指示がない限り、本明細書においてサブサイクルの比が提供される場合、これは、複数のスーパーサイクルを含む完全なALDプロセスにおけるサブサイクルの比を指す。
いくつかの実施形態では、第1及び第2の堆積サブサイクルは、同じ反応温度で行われる。いくつかの実施形態では、TiFサブサイクル及び還元サブサイクルの一方又は両方の堆積温度は、約100℃〜約700℃、約200℃〜約500℃、約250℃〜約400℃、又は約325℃〜約375℃である。いくつかの実施形態では、TiFサブサイクル及び還元サブサイクルの両方を約350℃で実施する。
いくつかの実施形態では、第1及び第2の堆積サブサイクルを、同じ反応器で行う。
第1及び第2のサブサイクルは、所望の組成及び所望の特性を有する薄膜を堆積するのに選択された比で提供されてもよい。例えば、いくつかの実施形態では、1つ又は複数のALDスーパーサイクル内の第1のTiF堆積サブサイクルの第2の還元サブサイクルに対する比は、約0.01〜約100、約0.05〜約50、又は約0.1〜約1とすることができる。いくつかの実施形態では、1つ又は複数のスーパーサイクル内のTiF堆積サブサイクルの還元サブサイクルに対する比は、1未満である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内のTiF堆積サブサイクルの還元サブサイクルに対する比は、約1〜約3である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内のTiF堆積サブサイクルの還元サブサイクルに対する比は、約1〜約50、約3〜約30、又は約5〜約20である。いくつかの実施形態では、1つ又は複数のスーパーサイクル内のTiF堆積サブサイクルの還元サブサイクルに対する比は、約0.01、約0.2、約0.3、約0.4、約0.5、約0.6、約0.8又は約1である。
上述したように、所望の組成及び所望の膜特性を得るために、サブサイクルの比を選択することができる。いくつかの実施形態では、TiFサブサイクルに対して還元サブサイクルの数を増加させることにより、より多くの割合のTiFがTiFに変換される。いくつかの実施形態では、堆積させた膜のシート抵抗及び/又は抵抗率を増加させるために、TiFサブサイクルの還元サブサイクルに対する比を増加する。
いくつかの実施形態では、第1のTiF堆積サブサイクルの第2の還元サブサイクルに対する比は、ALDプロセスで実行される完全なALDスーパーサイクルのすべてにおいて同じである。他の実施形態では、第1のTiF堆積サブサイクルの第2の還元サブサイクルに対する特定の比を、異なる完全なALDスーパーサイクル内で変えることができる。その特定の比は、膜中に所望の量のチタン、フッ素、窒素を提供するように、及びそれにより所望の特性を有する膜を得るように、当業者により選択されることができる。
いくつかの実施形態では、堆積するTiFを含む膜は、導電性膜である。いくつかの実施形態では、(例えば、周囲空気中300℃で測定される場合)膜中にフッ素を導入しない公知の蒸着プロセス、例えばALDにより堆積させたTiN膜よりもより高い耐酸化性を有するTiFを含む膜を堆積する。
いくつかの実施形態では、還元性化合物由来のいくらかのケイ素又はホウ素と、窒素反応物質由来のいくらかの窒素とを含む、TiFを含む導電性膜を形成する。例えば、いくつかの実施形態では、いくらかのSi及びいくらかのNを含む、TiFを含む導電性膜を堆積する。
いくつかの実施形態では、還元剤としてシランが使用され、TiFを含む膜はまた、少量のケイ素を含む。例えば、いくつかの実施形態では、ケイ素含有量は、約15原子%、好ましくは約0.01〜約10原子%、より好ましくは約0.1〜約5原子%、最も好ましくは約0.1〜約2原子%の範囲である。いくつかの実施形態では、ケイ素含有量は好ましくは約1.5原子%未満である。
いくつかの実施形態では、還元剤としてボランが使用され、TiFを含む膜は少量のホウ素も含む。例えば、いくつかの実施形態では、ホウ素含有量は、約15原子%未満、約0.01〜約10原子%、約0.1〜約5原子%、又は約0.1〜約2原子%の範囲とすることができる。いくつかの実施形態では、ホウ素含有量は好ましくは約1.5原子%未満である。
いくつかの実施形態では、TiFを含む膜は少量の窒素も含む。例えば、いくつかの実施形態では、窒素含有量は、約0.5〜約50原子%、約1〜約20原子%、又は約2〜約15原子%の範囲とすることができる。
いくつかの実施形態では、膜は、約10原子%を超える、好ましくは約20〜約75原子%、約40〜約70原子%、又は約45〜約65原子%の量のフッ素を含む。
いくつかの実施形態では、TiFを含む膜は、約1原子%未満の酸素を含む。
いくつかの実施形態では、堆積するTiFを含む膜は、導電性又は半導電性遷移金属化合物相中に埋め込まれたTiF粒子を含む。いくつかの実施形態では、TiF粒子は、導電性又は半導電性遷移金属化合物相と明確な粒界を有する。いくつかの実施形態では、TiF粒子は、導電性又は半導電性遷移金属化合物相により取り囲まれた離散粒子を含む。いくつかの実施形態では、TiF粒子は、直径が約500nm未満、好ましくは直径が約100nm未満、より好ましくは直径が約20nm未満である。いくつかの実施形態では、TiF粒子は、直径が10nm未満であってもよい。いくつかの実施形態では、TiF粒子間の平均距離は、約50nm未満、好ましくは約20nm未満である。いくつかの実施形態では、TiF粒子間の平均距離は、約10nm〜約20nmである。いくつかの実施形態では、TiF粒子は柱状粒子を含む。いくつかの実施形態では、柱状粒子は、堆積させた薄膜の実質的に厚さ全体にわたって延在する。
いくつかの実施形態では、TiFを含む膜を、ケイ素を含む基材上に堆積する。いくつかの実施形態では、TiFを含む膜を、Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs、又はいくつかの他の半導体材料のうちの少なくとも1つを含む基材上に堆積する。
図2は、複数のALDスーパーサイクル101を含む反応チャンバー内の基材上にTiFを含む膜を形成するALDプロセスを例示す。各スーパーサイクルは、第1のTiF堆積サブサイクル201及び第2の還元サブサイクル301を含む。スーパーサイクル100は、所望の厚さのTiF膜を堆積するのに望ましい回数だけ繰り返される。スーパーサイクル101内のサブサイクル201と301との間の比は、所望の組成及び特性を有する膜を得るように選択されることができる。
第1のフッ化チタン堆積サブサイクルは、
最大でフッ化チタンの単分子層を基材上に形成するために、気化されたTiF、例えばTiFを反応チャンバー211の中へパルスすることと、
過剰のフッ化チタン及び反応副生成物がある場合にはそれらを除去するために、反応チャンバー221をパージすることと、
パルスする工程とパージする工程とを繰り返すこと251と、を含む。
いくつかの実施形態では、第1の堆積サブサイクルは、1、2、3、4、5、10、20、50、100回以上連続して繰り返される。いくつかの実施形態では、第1の堆積サブサイクルは、連続して約30〜60回以下、連続して最大約30〜50回、又は連続して最大約40回繰り返される。
TiF/TiN膜を形成する原子層堆積スーパーサイクル101はまた、1つ又は複数の第2還元サブサイクル301を含む。いくつかの実施形態では、第2の還元サブサイクル301は、
TiFの少なくともいくらかをTiFへ還元するために、気化された還元剤、例えばジシラン又はトリシランを反応チャンバー311の中へパルスすることと、
過剰の還元剤及び反応副生成物がある場合にはそれらを除去するために、反応チャンバー321をパージすることと、
窒素反応物質、例えばNHのパルスを反応チャンバー331の中へ供給し、窒素反応物質が少なくともいくらかの窒素をフッ化チタン膜に付与することと、
過剰の窒素反応物質及びあらゆる気体副生成物を除去するために、反応チャンバー341をパージすることと、
パルスする工程とパージする工程とを繰り返すこと351と、を含む。
いくつかの実施形態では、第2の還元サブサイクル301は、1、2、3、4、5、10、20、50、100回以上連続して繰り返される。いくつかの実施形態では、第2の還元サブイサクルは、約3〜6回、又は約5回繰り返される。
第1及び第2のサブサイクル201、301は、完全なALDスーパーサイクル101内で複数回繰り返され、そして完全なALDスーパーサイクル101が繰り返されて、所望の濃度のチタン、フッ素、及び窒素を含む所望の厚さのTiF膜を形成する。
いくつかの実施形態では、第1の堆積サブサイクル201及び第2の還元サブサイクル301が繰り返される回数は、各完全なALDスーパーサイクル100内で同じである。他の実施形態では、第1及び第2のサブサイクル101、201の数は、1つ又は複数の完全なALDスーパーサイクル101内で変化する。各完全なALDスーパーサイクル101内の第1及び第2のサブサイクル101、201の数、並びに第1及び第2のサブサイクル101、201の総数及び総ALDスーパーサイクル101は、所望の厚さ及び組成のTiF/TiN膜の堆積を実現するように調整されることができる。
第1の堆積サブサイクル201で始まるとして例示されるが、各完全なALDサイクルは、第1のサブサイクル101又は第2のサブサイクル201のいずれかで開始及び終了してもよい。例えば、薄膜を形成する各ALDスーパーサイクルを、第1のフッ化チタン堆積サブサイクル又は還元サブサイクルで開始することができる。いくつかの実施形態では、1つ又は複数のスーパーサイクルを、還元サブサイクルで開始することができる。
いくつかの実施形態では、500nm以下の共形な薄膜を形成するために、ALDにより基材表面上にTiFを含む膜を堆積する。いくつかの実施形態では、膜の厚さは100nm未満、約50nm未満又は約10nm未満である。用途に応じて、厚さは大幅に薄く、例えば、約2〜約50Å、好ましくは約3〜約30Å、場合によっては約5〜約20Åとすることができるであろう。いくつかの実施形態では、例えばTiFを含む膜が光電極として使用される場合、膜の厚さは約30nmとすることができる。いくつかの実施形態では、薄膜は、約100nmを超える、約1μmを超える、又は場合によっては約1mmを超える厚さを有し得る。
いくつかの実施形態では、約300℃以上の温度で酸素又は水/水分含有雰囲気、例えば周囲空気中でのみ酸化し始めるTiFを含む膜を形成する。
本発明の範囲から逸脱することなく、上述の方法及び構造に対して様々な修正、省略及び追加を行うことができる。このような修正及び変更のすべては、添付の特許請求の範囲により規定されるように、本発明の範囲内に入ることが意図されている。
光デバイス
本明細書に記載の方法及び材料は、太陽電池又は光デバイス、例えば太陽電池又は導波路デバイスにおける用途のために、光活性又は他の望ましい特性を有する膜を提供することができる。いくつかの実施形態によれば、光デバイス製造中に、適切な基板、例えばp型シリコン基材上に開示された方法により複合薄膜を堆積する。
いくつかの実施形態では、本明細書に記載の薄膜又は光デバイスは、少なくとも太陽からの放射に対応する光の波長で動作するか、又は活性化し得る。いくつかの実施形態では、薄膜又は光デバイスは、少なくとも約100nm〜約3000nmの波長の光で動作するか、又は活性である。いくつかの実施形態では、薄膜又は光デバイスは、少なくとも可視光の波長で動作するか、又は活性である。いくつかの実施形態では、薄膜又は光デバイスは、少なくとも約350nmより長い波長、又は約500nmより長い波長で動作するか、又は活性である。いくつかの実施形態では、薄膜又は光デバイスは、少なくとも可視光のスペクトルの赤に対応する光の波長で動作するか、又は活性である。いくつかの実施形態では、薄膜又は光デバイスは、当業者に公知であるように、少なくとも典型的な太陽電池が動作し得る放射線の波長、例えば約532nm、及び/又は約630nm〜約680nmで動作するか、又は活性である。
いくつかの実施形態では、光デバイスは、第1の導電性又は半導電性遷移金属層、第1の層の上に位置する第2の半導体層、及び第2の層の上を覆って位置する第3の複合膜層を含むことができる。いくつかの実施形態では、層は固体層である。いくつかの実施形態では、液体を含まない。
いくつかの実施形態では、第1の導電性又は半導電性遷移金属層は、光デバイスのための電気接点として作用することができる。いくつかの実施形態では、第1の層は、導電性又は半導電性遷移金属酸化物又は窒化物であることができる。いくつかの実施形態では、第1の層はTi、Ta、Nb、Mo、及びWから選択される金属を含むことができる。いくつかの実施形態では、第1の層はTiNを含むことができる。いくつかの実施形態では、第1の層は固体である。いくつかの実施形態では、第1の層は液体ではない。いくつかの実施形態では、第1の層の厚さは500nm未満である。いくつかの実施形態では、第1の層の厚さは約100nm未満、好ましくは約50nm未満である。いくつかの実施形態では、第1の層の厚さは45nmである。
いくつかの実施形態では、第2の層は、Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs又はいくつかの他の半導体材料、例えばIII−V又はII−VI材料のうちの少なくとも1つを含むことができる。いくつかの実施形態では、第2の層はp型シリコンを含むことができる。いくつかの実施形態では、第2の層は酸化物層を更に含むことができる。いくつかの実施形態では、第2の層は、上面、底面、又は上面と底面の両面上に、酸化物層、例えばSiOを含むことができる。いくつかの実施形態では、酸化物層又は酸化物層(複数)は、自然酸化物又は熱酸化物を含むことができる。いくつかの実施形態では、第2の層は固体である。いくつかの実施形態では、第2の層は液体ではない。いくつかの実施形態では、酸化物層又は酸化物層(複数)は約50nm未満の厚さ、好ましくは約20nm未満の厚さである。いくつかの実施形態では、酸化物層又は酸化物層(複数)は約10nm未満、約5nm未満、約3nm未満の厚さとすることができる。いくつかの実施形態では、第2の層は、上面にも、底面にも、及び上面と底面の両面上にも、酸化物を含むことができない。
いくつかの実施形態では、第3の複合膜層は、本明細書に開示されるような薄膜を含み得る。いくつかの実施形態では、第3の複合膜層は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含むことができる。いくつかの実施形態では、誘電性遷移金属化合物相は離散粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相の遷移金属は、Ti、Ta、Nb、Mo、及びWのうちの1つから選択されることができる。いくつかの実施形態では、誘電性遷移金属化合物相は、TiF、Cr、NiO、WO、Ti、TiOF、NbOF、NbO3−x、NbOx/23−x、MoO3−x、MoO3−x、TaOF、TaO3−x、WO3−xを含むリストから選択され得る。いくつかの実施形態では、誘電性遷移金属化合物相は、ReO構造を有する。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、Cr、TiN、Fe、W、TiC、Tiを含むリストから選択され得る。いくつかの実施形態では、誘電性遷移金属化合物相はTiFを含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相はTiNを含む。いくつかの実施形態では、誘電性遷移金属化合物相はTiFを含み、導電性又は半導電性遷移金属化合物相はTiNを含む。いくつかの実施形態では、第3の層はTiFとTiNとの混合物を含む。
いくつかの実施形態では、誘電性遷移金属化合物相は、約0.1nm〜約500nmの粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、導電性又は半導電性遷移金属化合物相との明確な粒界を有する。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が約500nm未満、好ましくは直径が約100nm未満、より好ましくは直径が約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物相粒子は、直径が10nm未満であってもよい。いくつかの実施形態では、誘電性遷移金属化合物相粒子間の平均距離は、約50nm未満、好ましくは約20nm未満である。いくつかの実施形態では、誘電性遷移金属化合物相粒子間の平均距離は、約10nm〜約20nmである。いくつかの実施形態では、誘電性遷移金属化合物相粒子は柱状粒子を含む。いくつかの実施形態では、柱状粒子は、第3の層の実質的に厚さ全体にわたって延在する。
いくつかの実施形態では、第2の層は、光デバイスにおいて光活性要素として作用することができる。いくつかの実施形態では、第3の層は、光デバイスにおいて光活性要素として作用することができる。いくつかの実施形態では、第2の層及び第3の層は、光デバイスにおいて光活性要素として作用することができる。いくつかの実施形態では、光活性要素は、光子の放射エネルギーを吸収して回路内に電気エネルギーを生成し、例えば、光活性要素が入射光に曝された場合、デバイス内に電位差を生じることができる。いくつかの実施形態では、光活性要素は、電気エネルギーを利用して光子を生成するように構成される。
いくつかの実施形態では、光デバイスの第3の層は、光子が光子透過性要素を通過できるように構成される光子透過性要素を含む。いくつかの実施形態では、光デバイスの第3の層は、光子励起電荷キャリアを収集するように構成される電荷収集要素を含む。いくつかの実施形態では、光デバイスの第3の層は、光デバイスの少なくとも一部に入射する光量子束の特性を伝達するように構成される導波路要素を含む。
いくつかの実施形態によれば、本明細書では、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む光デバイスが開示される。いくつかの実施形態では、誘電性遷移金属化合物相は離散粒子を含み得る。いくつかの実施形態では、誘電性遷移金属化合物相は、約0.1nm〜約500nmの粒子を含み得る。いくつかの実施形態では、導電性又は半導電性遷移金属化合物相は、誘電性遷移金属化合物相粒子を取り囲む。
いくつかの実施形態では、光デバイスは、光活性要素を含む。いくつかの実施形態では、光活性要素は、光子の放射エネルギーを吸収して回路内に電気エネルギーを生成するように構成される。いくつかの実施形態では、光活性要素は、電気エネルギーを利用して光子を生成するように構成される。いくつかの実施形態では、光活性要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、光活性要素は半導体材料を含む。いくつかの実施形態では、光活性要素は、Si、SiGe、Ge、CdTe、GaAs、GaSb、及び/又はInGaAsを含む。いくつかの実施形態では、光活性要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光子が光子透過性要素を通過できるように構成される光子透過性要素を含む。いくつかの実施形態では、光子透過性要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、光子透過性要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光子励起電荷キャリアを収集するように構成される電荷収集要素を含む。いくつかの実施形態では、電荷収集要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。いくつかの実施形態では、電荷収集要素は、インジウム錫酸化物、ドープされた酸化錫、酸化亜鉛、ドープされた酸化亜鉛、導電性ポリマー、金属グリッド、カーボンナノチューブ、グラフェン、又はナノワイヤ薄膜を含む。いくつかの実施形態では、電荷収集要素は、TiFとTiNとの混合物を含む。
いくつかの実施形態では、光デバイスは、光デバイスの少なくとも一部に入射する光量子束の特性を伝達するように構成される導波路要素を含む。いくつかの実施形態では、導波路要素は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む。
多数のTiF膜を、Pulsar(登録商標)2000R&D反応器内でALDにより堆積した。膜をTiFサブサイクルと還元サブサイクルとを含む以下の基本スーパーサイクルを用いるスーパーサイクル法で堆積した:z[x(TiF+y(Si+NH)]及びz[x(TiF+y(Si+NH)]。反応器の温度は約370℃であった。
基本プロセスパラメータは、TiF;3秒パルス/5秒パージ、NH;10秒パルス/5秒パージ、Si/Si;1秒パルス/5秒パージであった。
自然酸化物を有するシリコン上に膜を堆積した。TiFサブサイクル/還元サブサイクル比(x/y)を変えることにより、膜組成を変化させ、スーパーサイクルの数(z)により膜厚を制御した。
膜は、シート抵抗はCDE Resmap 168を用いた4探針測定により、厚さ、粗さ、及び密度は、Bruker D8 Advanceを用いたX線反射率(XRR)により、厚さは、Sentech SE800エリプソメータにより、組成は、単色化したAlKαを用いるPHI Quantum 2000でのX線光電子分光法(XPS)、(EAGラボ、East Windsor, New Jerseyにより行われた分析)により、CuKα放射を用いるPANalytical X’Pert Pro MPD X線回折計でのX線回折法(XRD)により、特徴付けられた。ALDプロセスは、驚くほどの量のフッ素を含む膜をもたらした。XPS及びXRD分析は、膜がTiF及びTiNの混合物であることを明らかにした。膜は透明で導電性であった。表1は、異なるTiFサブサイクル/還元サブサイクル比を有するプロセスの組成、抵抗率、粗さ、密度及び成長速度をまとめるものである。
TiN(TiF:TiN膜)中に埋め込まれたTiF粒子を含む多数の複合膜を、本明細書に開示するALDプロセスにより堆積した。膜は、TiNに埋め込まれたTiF相粒子を含んでいた。膜を、還元サブサイクルを有するTiF4サブサイクルを含む以下の基本スーパーサイクル用いるスーパーサイクル法で堆積した:z[x(TiF+y(Si+NH)]及びz[x(TiF+y(Si+NH)]。反応器の温度は370℃であった。
基本プロセスパラメータは、TiF;3秒パルス/5秒パージ、NH;10秒パルス/5秒パージ、Si/Si;1秒パルス/5秒パージであった。
自然酸化物を有するシリコン基材上に膜を堆積した。TiFサブサイクル/還元サブサイクル比(x/y)を変えることにより、各膜中の膜組成及びTiF相粒子のサイズを変化させ、スーパーサイクルの数(z)により膜厚を制御した。2nm〜50nmの範囲のTiF相粒子を有する薄膜を堆積した。
この薄膜の構造は、明視野及び暗視野電子顕微鏡法の両方を用いて特徴付けられた。図6は、シリコン基材上に堆積させたTiF:TiN膜の構造を示す明視野断面TEM画像である。図7A及び7Bは、それぞれ内部に埋め込まれたTiF粒子を含むTiN膜の明視野及び暗視野TEM画像である。この膜を、還元サブサイクルを有するTiF4サブサイクルを含む以下の基本スーパーサイクルを用いるスーパーサイクル法で堆積した:z[x(TiF+y(Si+NH)]。TiN中に埋め込まれ、TiNで囲まれたTiF粒子は、図7Aの明視野TEM画像において暗点として見える。TiN中に埋め込まれ、TiNで囲まれたTiF粒子は、図7Bの暗視野TEM画像において白い点として見える。本試料中のTiF粒子は、4.6nm〜14.8nmの範囲のサイズであった。膜のシート抵抗は、4探針測定により特徴付けられ、263Ω/sqであることが判明した。
薄膜の組成は、透過型電子顕微鏡(TEM)で行われるエネルギー分散型X線分光法(EDS)を用いて特徴付けられた。図8は、内部に埋め込まれたTiF粒子を含み、並びに還元剤としてTiF、Si、及び窒素反応物質としてNHを用いて堆積させた試料TiN膜中の元素分布のTEM/EDS断面画像である。これらの画像により、TiNマトリックス中に埋め込まれた比較的離散したTiF結晶の存在が確認される。
XPS分析は試料膜上で実施され、TiF:TiN薄膜が膜の表面近傍で、より高いフッ素含有量を有することを示した。図9は、内部に埋め込まれたTiF粒子を含み、並びに還元剤としてTiF、Si、及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXPS深さプロファイルを示す。
また、薄膜を、還元サブサイクルを有するTiF4サブサイクルを含む、以下の基本スーパーサイクルを用いるスーパーサイクル法で堆積した:z[x(TiF+y(Si+NH)]。この薄膜の構造は、明視野及び暗視野電子顕微鏡法の両方を用いて特徴付けられた。図10Aは、内部に埋め込まれたTiF粒子を含むTiN膜の暗視野TEM画像である。TiN中に埋め込まれ、TiNで囲まれたTIF粒子は、白い点として見え、15.1nm〜48nmの範囲のサイズである。図10Bは、試料膜の断面明視野画像を示す。画像は、試料膜の個々のTiF粒子の寸法を示す。また、膜のシート抵抗は、4探針測定により特徴付けられ、141Ω/sqであることが判明した。
薄膜の組成は、透過型電子顕微鏡(TEM)で行われるエネルギー分散型X線分光法(EDS)を用いて特徴付けられた。図11は、内部に埋め込まれたTiF粒子を含み、並びに還元剤としてTiF、Si、及び窒素反応物質としてNHを用いて堆積させた試料TiN膜中の元素分布のTEM/EDS断面画像である。これらの画像により、TiNマトリックス中に埋め込まれた比較的離散したTiF結晶の存在が確認される。
XRD分析を試料膜上で実施され、膜中に別個のTiF及びTiN結晶相の存在が確認された。図12は、内部に埋め込まれたTiF粒子を含み、並びに還元剤としてTiF、Si、及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXRDパターンを示す。
XPS分析は試料膜上で実施され、TiF:TiN薄膜がシリコン基材境界面近傍で、より高い窒素含有量を有することを示した。図13は、内部に埋め込まれたTiF粒子を含み、並びに還元剤としてTiF、Si、及び窒素反応物質としてNHを用いて堆積させた試料TiN膜のXPS深さプロファイルを示す。
厚さ30nmの試料TiF:TiN膜を、還元サブサイクルを有するTiFサブサイクルを含む以下の基本スーパーサイクルを用いるスーパーサイクル法でシリコンウェーハ上に堆積した:z[x(TiF+y(Si+NH)]及びz[x(TiF+y(Si+NH)]。反応器の温度は370℃であった。膜の光活性は、膜表面を数cm離れて置かれたFluke 189電圧計電極と接触させることにより分析された。次に、赤色レーザーポインターを膜の表面に向けて、照射スポットを生成した。図14A及び図14Bは、この光起電力分析の概略図を示す。レーザーポインター照射スポットにより近い電極が負電荷を得たことが分かった。電極間の電位差は、照射スポットの位置に応じて、数ミリボルトから約100ミリボルトまで変化した。図14A及び図14Bは、膜上の照射スポットの位置が変更された場合、電圧計電極の極性の変化を示す。
試料光起電力セルを、還元サブサイクルを有するTiFサブサイクルを含む以下の基本スーパーサイクルを用いるスーパーサイクル法で堆積させたTiF:TiN薄膜を用いて作製した:z[x(TiF+y(Si+NH)]及びz[x(TiF+y(Si+NH)]。図15Aは、45nmの厚さのTiN下部電極と40nmの厚さのTiF:TiN上部電極との間に配置された20nm厚の熱酸化物の上部及び下部層を有するp型シリコンを含む光起電力セルの概略図を示す。セルの表面積は約4cmであった。セルは通常のオフィス室内照明に曝され、50mV〜150mVの開回路電圧が得られた。通常のオフィス室内照明に曝される場合、セルは120Ωの抵抗に約2.5μAを生成した。
図15Bは、45nmの厚さのTiN下部電極と60nmの厚さのTiF:TiN上部電極との間に配置された20nm厚の自然酸化物の上部及び下部層を有するp型シリコンを含む光起電力セルの概略図を示す。再び、セルの表面積は約4cmであった。セルにハロゲンランプ(Osram50W、240V電球、2800K)を照射し、Fluke 189電圧計での測定で約100mV〜450mVの開回路電圧を生じた。
特定の実施形態及び実施例について論じたが、当業者は、特許請求の範囲は、具体的に開示された実施形態を超えて他の代替実施形態及び/又は用途、並びに明らかな変更及びそれらの均等物にまで及ぶことを理解するであろう。

Claims (18)

  1. 導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含む層を含み、
    前記誘電性遷移金属化合物相はTiFを含み、
    前記導電性又は半導電性遷移金属化合物相はTiNを含む、デバイス。
  2. 前記誘電性遷移金属化合物相は、直径約0.1nm〜約500nmの粒子からなる、請求項に記載の光デバイス。
  3. 前記導電性又は半導電性遷移金属化合物相は、離散する誘電性遷移金属化合物相粒子を取り囲む、請求項に記載の光デバイス。
  4. 前記層は、光活性材料を含み、
    前記層は前記光デバイスの表面に入射する光子の放射エネルギーを吸収し、電気回路内に電気エネルギーを生成する、請求項に記載の光デバイス。
  5. 前記層は、電気回路内の電気エネルギーを利用し、光子を生成する、請求項に記載の光デバイス。
  6. 前記導電性又は半導電性遷移金属化合物相中に埋め込まれた前記誘電性遷移金属化合物相を含む前記層は、光子透過層として作用し、及び、
    前記光子透過層により、前記光子透過層の表面上に入射する光子が前記光子透過層を通って光子活性層へ達することを可能にする、請求項に記載の光デバイス。
  7. 前記導電性又は半導電性遷移金属化合物相中に埋め込まれた前記誘電性遷移金属化合物相を含む前記層は、光子励起電荷キャリアを収集する電荷収集要素として作用する、請求項に記載の光デバイス。
  8. 前記導電性又は半導電性遷移金属化合物相中に埋め込まれた前記誘電性遷移金属化合物相を含む前記層は、前記光デバイスの第1の部分上に入射する光量子束を、前記光デバイスの第2の部分へ伝達することを可能にする導波路要素として作用する、請求項に記載の光デバイス。
  9. 光子励起電荷キャリアを収集する電荷収集要素であって、前記電荷収集要素は、酸化錫、ドープされた酸化錫、酸化亜鉛、ドープされた酸化亜鉛、導電ポリマー、金属グリッド、カーボンナノチューブ、グラフェン、又はナノワイヤ薄膜のうちの少なくとも1つを含む電荷収集要素を更に含む、請求項に記載の光デバイス。
  10. Si、SiGe、Ge、CdTe、GaAs、GaSb、又はInGaAsのうちの少なくとも1つを含む光活性要素を更に含む、請求項に記載の光デバイス。
  11. 導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含み、
    前記誘電性遷移金属化合物相はTiFを含み、
    前記導電性又は半導電性遷移金属化合物相はTiNを含む光活性材料。
  12. 前記光活性材料は、光子の放射エネルギーを吸収して電気回路内に電気エネルギーを生成する、請求項11に記載の光活性材料。
  13. 前記光活性材料は光子透過性導電性材料である、請求項11に記載の光活性材料。
  14. 前記光活性材料は導波路材料であり、
    前記導波路材料は、前記導波路材料の第1の部分上に入射する光量子束の特性を前記導波路材料の第2の部分へ伝達することができる、請求項11に記載の光活性材料。
  15. 光デバイスにおいて層を堆積する蒸着プロセスであって、前記層は、導電性又は半導電性遷移金属化合物相中に埋め込まれた誘電性遷移金属化合物相を含み、
    前記誘電性遷移金属化合物相はTiFを含み、
    前記導電性又は半導電性遷移金属化合物相はTiNを含む、蒸着プロセス。
  16. 前記蒸着プロセスは複数のスーパーサイクルを含み、各前記複数のスーパーサイクルは誘電性遷移金属化合物サブサイクル及び還元サブサイクルを含み、
    前記誘電性遷移金属化合物サブサイクルは、基材を気相誘電性遷移金属化合物と接触させることを含み、
    前記還元サブサイクルは、前記基材を還元剤及び窒素反応物質と交互に逐次接触させる
    ことを含む、請求項15に記載の蒸着プロセス。
  17. 前記還元剤は、シラン又はボランを含む、請求項16に記載の蒸着プロセス。
  18. 前記窒素反応物質は、アンモニア、N2H4、窒素原子、窒素含有プラズマ、及び窒素ラジカルのうちの少なくとも1つを含む、請求項16に記載の蒸着プロセス。
JP2018518498A 2015-10-16 2016-10-05 光活性デバイス及び材料 Active JP6616500B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/885,721 2015-10-16
US14/885,721 US9941425B2 (en) 2015-10-16 2015-10-16 Photoactive devices and materials
PCT/US2016/055496 WO2017066059A1 (en) 2015-10-16 2016-10-05 Photoactive devices and materials

Publications (3)

Publication Number Publication Date
JP2018536279A JP2018536279A (ja) 2018-12-06
JP2018536279A5 JP2018536279A5 (ja) 2019-06-06
JP6616500B2 true JP6616500B2 (ja) 2019-12-04

Family

ID=58518487

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018518498A Active JP6616500B2 (ja) 2015-10-16 2016-10-05 光活性デバイス及び材料

Country Status (6)

Country Link
US (3) US9941425B2 (ja)
JP (1) JP6616500B2 (ja)
KR (2) KR102481449B1 (ja)
CN (2) CN108352397B (ja)
TW (2) TWI713607B (ja)
WO (1) WO2017066059A1 (ja)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4892788A (en) * 1988-03-28 1990-01-09 Air Products And Chemicals, Inc. Graphite brazing fixture coated with composite layers of titanium carbide and titanium nitride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) * 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
WO1996017104A1 (en) 1994-11-30 1996-06-06 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
EP0800592B1 (de) 1994-12-27 1998-08-26 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
PL190391B1 (pl) 1999-02-11 2005-12-30 Hardide Ltd Materiał na powłoki odporne na ścieranie, erozję i korozję, materiał obejmujący podłoże z osadzoną powłoką, powłoka zawierająca wolfram i węglik wolframu, sposób wytwarzania węglików wolframu i sposób osadzania powłok
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
JP4646346B2 (ja) 2000-01-28 2011-03-09 パナソニック株式会社 電子デバイスの製造方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
DE10223706A1 (de) 2002-05-28 2003-12-18 Nat Taiwan University Taipeh T Lichtemissionsdiode
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472541B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US8399820B2 (en) * 2009-06-23 2013-03-19 Sensors Unlimited, Inc. Multicolor detectors and applications thereof
CN101964291B (zh) 2009-07-24 2012-03-28 清华大学 透射电镜微栅及其制备方法
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9843041B2 (en) 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
EP2850627B1 (en) * 2012-05-18 2016-04-06 Isis Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
WO2015056384A1 (ja) 2013-10-18 2015-04-23 三菱電機株式会社 文字列表示装置
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN103920513B (zh) * 2014-04-29 2015-10-21 山东大学 Ti3+:TiO2/TiF3复合半导体光催化剂及其制备方法
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials

Also Published As

Publication number Publication date
TW201732066A (zh) 2017-09-16
WO2017066059A1 (en) 2017-04-20
US9941425B2 (en) 2018-04-10
US20170110601A1 (en) 2017-04-20
KR20220084440A (ko) 2022-06-21
CN115896750A (zh) 2023-04-04
CN108352397A (zh) 2018-07-31
KR102409503B1 (ko) 2022-06-15
KR102481449B1 (ko) 2022-12-26
US10861986B2 (en) 2020-12-08
KR20180070615A (ko) 2018-06-26
TW202113123A (zh) 2021-04-01
CN108352397B (zh) 2023-01-10
US20210074865A1 (en) 2021-03-11
TWI746269B (zh) 2021-11-11
US11362222B2 (en) 2022-06-14
US20180212077A1 (en) 2018-07-26
TWI713607B (zh) 2020-12-21
JP2018536279A (ja) 2018-12-06

Similar Documents

Publication Publication Date Title
JP6616500B2 (ja) 光活性デバイス及び材料
Hao et al. Atomic layer deposition of stable 2D materials
TWI716346B (zh) 原子層沈積方法及氟化物薄膜
US8921799B2 (en) Tunable resistance coatings
Bozheyev et al. Preparation of highly (001)‐oriented photoactive tungsten diselenide (WSe2) films by an amorphous solid–liquid‐crystalline solid (aSLcS) rapid‐crystallization process
US10553425B2 (en) Self-limiting and saturating chemical vapor deposition of a silicon bilayer and ALD
US8741386B2 (en) Atomic layer deposition of quaternary chalcogenides
Barnett et al. XPS investigation of titanium contact formation to ZnO nanowires
Palm Ultrathin Coatings for Enhanced Durability in (Photo) electrochemical Hydrogen Production
Kartha Growth and characterization of cuprous oxide absorbers for photovoltaics
Roozeboom et al. Atomic Layer Deposition Applications 10
Batzill Photocatalysis of Modified Transition Metal Oxide Surfaces
Baji Compound semiconductor layers for optoelectronic and photovoltaic purposes
Sentman Pulsed PECVD synthesis of metal dichalcogenide thin films for sustainable energy applications
Novikov Molecular beam epitaxy of GaNAs alloys with high As content for potential photoanode
Jernigan Monday Morning, October 18, 2010
Lim et al. Thin Films Division Room Hall B-Session TF-ThP Thin Film Poster Session

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190424

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190424

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20190424

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20190829

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190829

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191015

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191107

R150 Certificate of patent or registration of utility model

Ref document number: 6616500

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250