TW201732066A - 光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料 - Google Patents

光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料 Download PDF

Info

Publication number
TW201732066A
TW201732066A TW105133132A TW105133132A TW201732066A TW 201732066 A TW201732066 A TW 201732066A TW 105133132 A TW105133132 A TW 105133132A TW 105133132 A TW105133132 A TW 105133132A TW 201732066 A TW201732066 A TW 201732066A
Authority
TW
Taiwan
Prior art keywords
transition metal
metal compound
certain embodiments
film
cycle
Prior art date
Application number
TW105133132A
Other languages
English (en)
Other versions
TWI713607B (zh
Inventor
湯姆 E. 布倫堡
哈努 霍它利
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201732066A publication Critical patent/TW201732066A/zh
Application granted granted Critical
Publication of TWI713607B publication Critical patent/TWI713607B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02322Optical elements or arrangements associated with the device comprising luminescent members, e.g. fluorescent sheets upon the device
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0694Halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/062Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the metal-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by potential barriers the potential barriers being only of the PN heterojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/48Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor body packages
    • H01L33/58Optical field-shaping elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0016Processes relating to electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2933/00Details relating to devices covered by the group H01L33/00 but not provided for in its subgroups
    • H01L2933/0008Processes
    • H01L2933/0025Processes relating to coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Photovoltaic Devices (AREA)
  • Light Receiving Elements (AREA)

Abstract

本文中揭露沈積製程來在反應空間中的基板上沈積薄膜,所述薄膜包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相。沈積製程可包括多個超級循環。每一超級循環可包括介電性過渡金屬化合物子循環及還原子循環。介電性過渡金屬化合物子循環可包括使基板接觸介電性過渡金屬化合物。還原子循環可包括使基板交替地並依序地接觸還原劑及氮反應物。薄膜可包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。

Description

光作用裝置以及材料
本申請案大體而言是有關於光活性裝置及材料的領域,且更具體而言,是有關於用於形成包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜的方法。
原子層沈積(atomic layer deposition,ALD)是基於依序的、自飽和的表面反應,其可提供良好的共形性(conformality)及台階覆蓋(step coverage)而無論欲塗佈的結構的幾何形狀如何。然而,藉由原子層沈積來對金屬膜進行沈積一直具有挑戰性,部分原因在於原子層沈積實質上是基於在熱力學上有益的半反應(half-reaction)。
耐火金屬導電性層是微電子及奈米電子中的基礎構建區塊。抗氧化金屬薄膜在一定數目的場境中為合意的。舉例而言,氮化鈦層往往在半導體製造行業中例如用作閘電極材料或作為銅擴散障壁。然而,已知氮化鈦當在空氣中儲存時會自表面氧化,其可能穿過晶界(grain boundary)而氧化達數十奈米的深度。
此外,光活性材料及/或導電性光透明材料在眾多場境中為有用的。舉例而言,光活性材料可用於將來自光子的輻射能轉換成電能且是例如太陽電池中的重要元素。
在某些實施例中,提供原子層沈積(ALD)製程來沈積薄膜,所述薄膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述介電性過渡金屬化合物相可包括過渡金屬氧化物或過渡金屬氟化物。在某些實施例中,所述介電性過渡金屬化合物相可包括TiF3 。在某些實施例中,所述導電性或半導電性相可包括元素態過渡金屬、過渡金屬合金、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物及/或過渡金屬碳化物。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可為TiN。在某些實施例中,所述介電性過渡金屬化合物相可為TiF3 且所述導電性或半導電性過渡金屬化合物相可為TiN。
在某些實施例中,所述介電性過渡金屬化合物相可包括離散粒子。在某些實施例中,所述介電性過渡金屬化合物相可包括直徑介於約0.1 nm至約500 nm範圍內的粒子。在某些實施例中,所述導電性或半導電性過渡金屬化合物相環繞所述介電性過渡金屬化合物相粒子。
在某些態樣中,提供原子層沈積(ALD)製程來在反應空間中的基板上沈積薄膜,所述薄膜包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相。在某些實施例中,所述原子層沈積製程可包括多個超級循環,所述多個超級循環中的至少一個超級循環包括兩個子循環:金屬氟化物子循環及第二子循環。在某些實施例中,所述金屬氟化物子循環包括使所述基板接觸金屬氟化物,且所述第二子循環包括使所述基板交替地並依序地接觸矽烷或硼烷及氮反應物。在某些實施例中,所述第二子循環被稱為還原子循環且所述基板接觸還原劑及氮反應物。在某些實施例中,所述基板可包含矽。
根據某些實施例,所述介電性過渡金屬化合物的過渡金屬包括選自Ti、Ta、Nb、Mo及W的金屬。在某些實施例中,所述介電性過渡金屬化合物包括過渡金屬氟化物。在某些實施例中,所述過渡金屬氟化物包括TiF4 。在某些實施例中,所述還原劑為矽烷或硼烷。在某些實施例中,所述還原劑包括二矽烷或三矽烷。在某些實施例中,所述還原劑包括二硼烷或三硼烷。在某些實施例中,所述氮反應物選自由氨水、N2 H4 、氮原子、含氮電漿及氮自由基組成的群組。在某些實施例中,所述過渡金屬氟化物為TiF4 且所述還原劑為Si3 H8 。在某些實施例中,所述金屬氟化物子循環與所述還原子循環是以至少約0.1的比率在所述多個超級循環中的至少一者中施行。在某些實施例中,所述薄膜包含TiF3
根據某些實施例,包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜包含約0.1 at%至約10 at%、約0.1 at%至約5 at%或約0.4 at%至約2.3 at%的矽。在某些實施例中,所述薄膜包含約1 at%至約50 at%的氮、約5 at%至約45 at%的氮、約10 at%至約50 at%的氮。在某些實施例中,所述薄膜是導電性的。在某些實施例中,所述薄膜具有小於約107 µΩcm的層電阻率(layer resistivity)。在某些實施例中,所述薄膜具有約500 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜具有約5´103 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜具有約104 µΩcm與約106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜在小於約300℃時不會被空氣氛圍氧化。
在某些實施例中,所述薄膜包含介電性過渡金屬化合物與導電性或半導電性過渡金屬化合物的混合物。在某些實施例中,所述薄膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述薄膜包含TiF3 與TiN的混合物。
在某些實施例中,所述薄膜為用以吸收一或多個光子的輻射能以生成電能的光活性材料。在某些實施例中,所述薄膜為透明的或局部透明的。在某些實施例中,所述薄膜為導電性的。在某些實施例中,所述薄膜包含用以引導電磁波的傳播的波導。
在某些實施例中,所述薄膜用以吸收入射至複合薄膜的光的至少一部分以在所述複合薄膜內生成電位差。在某些實施例中,所述薄膜為導電性的且為透光的,其中入射至所述薄膜的表面的光的至少一部分穿過所述薄膜。在某些實施例中,所述薄膜用以將入射至所述薄膜的表面的經調變光束中所包含的資訊作為電磁波在所述薄膜內進行轉移。
根據某些實施例,本文中揭露一種薄膜,所述薄膜包含嵌於TiN相中的TiF3 相粒子。在某些實施例中,所述薄膜包含約5 at%至約50 at%的氮。在某些實施例中,所述薄膜包含約0.4 at%至約2.3 at%的矽。在某些實施例中,所述薄膜具有小於約100 nm的厚度。在某些實施例中,所述薄膜具有小於約10 nm的厚度。在某些實施例中,所述薄膜可具有達約100 nm、達約1 µm或在某些情形中達約1 mm的厚度。
在某些實施例中,薄膜包含介電性過渡金屬化合物與導電性或半導電性過渡金屬化合物的混合物。在某些實施例中,所述薄膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述薄膜包含TiF3 與TiN的混合物。在某些實施例中,所述薄膜為用以吸收一或多個光子的輻射能以在電路中生成電能的光活性材料。在某些實施例中,所述薄膜為光透明的或局部光子透明的。在某些實施例中複合薄膜為導電性的。在某些實施例中,所述薄膜包含用以引導電磁波的傳播的波導。
在某些實施例中,所述薄膜用以吸收入射至所述薄膜的光的至少一部分以在所述複合薄膜內生成電位差。在某些實施例中,所述薄膜為導電性的且為透光的,其中入射至所述薄膜的表面的光的至少一部分穿過所述薄膜。在某些實施例中,所述薄膜用以將入射至所述薄膜的表面的經調變光束中所包含的資訊作為電磁波在所述薄膜內進行轉移。
根據某些實施例,本文中揭露一種光子裝置,所述光子裝置包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。本文所用用語「光子裝置」可指代能夠產生、偵測、吸收、操控或響應光子(即光)的組件或裝置。用語「光子裝置」可指代例如雷射二極體、發光二極體、太陽電池及/或光伏打電池(photovoltaic cell)。在某些實施例中,所述介電性過渡金屬化合物相可包括離散粒子。在某些實施例中,所述介電性過渡金屬化合物相可包括介於約0.1 nm至約500 nm的粒子。在某些實施例中,所述導電性或半導電性過渡金屬化合物相環繞所述介電性過渡金屬化合物相粒子。
在某些實施例中,所述光子裝置包括例如光電極(photoelectrode)等光活性組件。在某些實施例中,所述光活性組件用以吸收光子的輻射能以在電路中生成電能。在某些實施例中,所述光活性組件用以生成具有電能的光子。在某些實施例中,所述光活性組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述光活性組件包含半導電性材料。在某些實施例中,所述光活性組件包含Si、SiGe、Ge、CdTe、GaAs、GaSb及/或InGaAs。在某些實施例中,所述光活性組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括光子透明組件,所述光子透明組件被配置成使光子能夠穿過所述光子透明組件。在某些實施例中,所述光子透明組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述光子透明組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括用以收集光子激發電荷載子的電荷收集組件。在某些實施例中,所述電荷收集組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述電荷收集組件包含氧化銦錫、經摻雜氧化錫、氧化鋅、經摻雜氧化鋅、導電性聚合物、金屬網格、碳奈米管、石墨烯或奈米導線薄膜。在某些實施例中,所述光子透明組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括用以轉移入射於所述光子裝置的至少一部分上的光子通量的特性的波導組件。在某些實施例中,所述波導組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。
包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相(例如金屬氟化物或金屬氧化物相)的薄膜可擁有光活性特質。舉例而言,此類複合膜的抗性可在暴露至光時發生改變。在某些實施例中,此類複合膜可包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的離散粒子。在某些實施例中,所述介電性過渡金屬化合物相可包括直徑介於約0.1 nm至約500 nm範圍內的粒子。在某些實施例中,所述導電性或半導電性過渡金屬化合物相環繞所述介電性過渡金屬化合物相粒子。舉例而言,在某些實施例中,複合膜可包含嵌於TiN中的離散的TiF3 粒子。在某些實施例中,所述介電性過渡金屬化合物相可包括氧化物或氟化物。在某些實施例中,所述介電性過渡金屬化合物包括過渡金屬氧化物、過渡金屬氟化物、過渡金屬氟氧化物或該些中的一或多者的混合物。在某些實施例中,所述介電性過渡金屬化合物是由過渡金屬氧化物、過渡金屬氟化物、過渡金屬氟氧化物或該些中的一或多者的混合物組成。在某些實施例中,所述介電性過渡金屬化合物相可選自由以下組成的群組:TiF3 、Cr2 O3 、NiO、WO3 、Ti2 O3 、TiOF2 、NbO2 F、NbO3-x Fx 、NbOx/2 F3-x 、MoO3-x Fx 、MoOx F3-x 、TaO2 F、TaOx F3-x 、WO3-x Fx 。在某些實施例中所述介電性過渡金屬化合物相包括:TiF3 、Cr2 O3 、NiO、WO3 、Ti2 O3 、TiOF2 、NbO2 F、NbO3-x Fx 、NbOx/2 F3-x 、MoO3-x Fx 、MoOx F3-x 、TaO2 F、TaOx F3-x 或WO3-x Fx 或者該些中的一或多者的混合物。在某些實施例中,所述介電性過渡金屬化合物相具有ReO3 狀結構。在某些實施例中,所述介電性過渡金屬化合物相包含與晶體結構ReO3 相似的晶體結構。用語「ReO3 狀結構」並非旨在將介電性過渡金屬化合物相限制為ReO3 ,所述用語是為簡潔起見而用來說明介電性過渡金屬化合物相可包含與ReO3 (錸(IV)氧化物)的晶體結構相似的晶體結構。示例性ReO3 狀晶體結構亦可被想像為在單位胞元的中心處丟失大的A陽離子的鈣鈦礦(ABO3 )型晶體結構。ReO3 狀晶體結構為在單位胞元的每一隅角(corner)處具有一金屬原子且在接近所述各金屬原子間的中途在每一單位胞元邊緣上具有一個非金屬原子(例如氧或氟)的立方體結構。在某些實施例中,所述ReO3 狀結構包括自理想的ReO3 狀結構扭曲而來的結構。在某些實施例中,所述介電性過渡金屬化合物包含例如ReO3 狀結構等Pm3m{221}空間群(space group)。
在某些實施例中,所述導電性或半導電性過渡金屬化合物相可包括:元素態金屬,例如過渡金屬;金屬合金,例如包含過渡金屬的金屬合金;金屬氮化物,例如過渡金屬氮化物;金屬碳化物,例如過渡金屬碳化物;或者該些中的兩者或更多者的混合物。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可選自由以下組成的群組:元素態金屬,例如過渡金屬;金屬合金,例如包含過渡金屬的金屬合金;金屬氮化物,例如過渡金屬氮化物;金屬碳化物,例如過渡金屬碳化物;或者該些中的兩者或更多者的混合物。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可包含來自元素週期表中第4週期的元素。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可選自由以下組成的群組:Cr、TiN、Fe、W、TiC、Ti或者該些中的一或多者的混合物。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可包括:Cr、TiN、Fe、W、TiC或Ti或者該些中的一或多者的混合物。
本文中所揭露的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜可用於多種場境中。舉例而言,導電性氟化物膜或例如包含TiF3 的導電性薄膜等包含金屬氟化物的導電性膜可在TiN層或其他金屬膜之上用作氧障壁膜。在某些實施例中,根據本發明而形成的導電性含氟膜將有用地作為障壁膜來抵抗灰化或其他氧化條件。在某些實施例中,根據本發明而形成的導電性含氟膜可用作保護層來抵抗例如氛圍空氣及/或水或者濕氣等包含氧的氛圍環境。在某些實施例中,本發明的導電性含氟膜例如在將層圖案化時或在其中良好抗氧化性為合意的其他應用中有用地作為犧牲層。在某些實施例中,導電性氟化物薄膜共形地沈積於垂直表面及水平表面之上。在某些實施例中,包含金屬氟化物的導電性膜可在閘極堆疊上,例如在高k層(例如HfO2 )的頂部上且在實際的閘電極層或導電性閘極介電障壁層之下用作p型頂蓋層(cap layer)。在某些實施例中,當包含金屬氟化物的導電性膜被用作p型頂蓋層時,所述堆疊中的電極的有效功函數為約4.9eV以上、較佳地為約5.0eV與約5.2eV之間。
所述包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜亦可在多種場境中用作例如光活性材料。在某些實施例中,根據本發明而形成的薄膜可在光活性裝置中用作光電極。在某些實施例中,所述薄膜可吸收光子的輻射能以在電路中生成電能。在某些實施例中,所述薄膜沈積於包含矽的基板上。在某些實施例中,所述薄膜沈積於包含玻璃、石英及/或SiO2 的基板上。在某些實施例中,所述基板可包括矽晶圓或矽晶圓的一部分。如將對熟習此項技術者而言顯而易見,在某些實施例中,所述薄膜沈積於在例如薄膜太陽電池製造中使用的典型基板上。
在某些實施例中,本發明的薄膜可在光活性裝置中用作光子透明組件。在某些實施例中,本發明的薄膜可在光活性裝置中用作電荷收集組件。在某些實施例中,本發明的薄膜可在光活性裝置中用作波導組件。在某些實施例中,所述包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜不包含以下材料中的一或多者:MgF2 、CaF2 、ZnF2 、SrF2 、YF3 或LaF3 。在某些實施例中,所述膜不包含以下材料中的一或多者:AlF3 或LiF。在某些實施例中,所述膜不包含以下材料中的一或多者:例如KF等鹼(元素週期表中的第1族)金屬氟化物或例如MgF2 或CaF2 等鹼土(元素週期表中的第2族)金屬氟化物。在某些實施例中,所述膜不包含以下材料中的一或多者:第3族金屬氟化物,例如YF3 或LaF3 。在某些實施例中,所述膜不包含多於約20 at%、較佳地不多於約10 at%、更佳地不多於約5 at%、且最佳地不多於約1 at%的以下金屬中的一或多者:鹼金屬、鹼土金屬及第3族金屬。在某些實施例中,所述膜不包含多於約20 at%、較佳地不多於約10 at%、更佳地不多於約5 at%、且最佳地不多於約1 at%的以下金屬中的一或多者:Mg、Ca、Zn、Sr、Y或La。在某些實施例中,所述膜不包含多於約20 at%、較佳地不多於約10 at%、更佳地不多於約5 at%、且最佳地不多於約1 at%的除以下金屬中的一或多者以外的金屬:Ti、Zr、Hf、V、Nb、Ta、Cr、Ni、Fe、Mo或W,且較佳地不包含除以下金屬中的一或多者以外的金屬:Ti、Nb、Ta、Mo及W。如本文中所論述,包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜可藉由氣相沈積製程,例如藉由原子層沈積(ALD)來沈積。此類膜可合意地為抗氧化的、導電性的、光活性的及/或光子透明的。在某些實施例中,薄膜可包含氟化鈦(TiF3 )。TiF3 是可在多種場境中使用,例如在用於形成催化劑的材料、光活性材料、光電極、波導、電荷收集組件及/或電子透明材料中使用的穩定的固態氟化物。
氟化物在某些金屬薄膜中的存在會增強抗氧化性。例如氮化鈦等金屬氮化物往往在半導體行業中用作例如障壁膜。然而,如以上所論述,氮化鈦膜可經歷非合意的氧化。本申請案部分地基於以下非預期發現:可沈積例如包含氟化鈦的導電性薄膜等包含金屬氟化物的導電性薄膜。在某些實施例中,所述含氟化鈦膜具有較例如藉由已知的氣相沈積製程而沈積的TiN膜(例如藉由原子層沈積及/或化學氣相沈積(chemical vapor deposition,CVD)而沈積的TiN膜)強的抗氧化性。
在某些實施例中,提供氣相沈積製程來在基板上沈積包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜。在某些實施例中,用於在基板上沈積包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜的沈積製程可包括原子層沈積(ALD)型製程、化學氣相沈積(CVD)型製程或原子層沈積型製程與化學氣相沈積製程的組合。在某些實施例中,可使用例如物理氣相沈積(physical vapor deposition,PVD)、電漿增強型原子層沈積(plasma enhanced atomic layer deposition,PEALD)等其他製程。
在某些實施例中,所述製程可包括第一子循環,在所述第一子循環中使所述基板暴露至例如TiF4 等氣相介電性過渡金屬化合物,且介電性過渡金屬化合物的單層吸附於基板表面上。在第二子循環中,交替地並依序地提供氣相矽烷或硼烷化合物或其他「還原劑」以及氣相氮反應物。所述還原劑及氮反應物在基板表面上與介電性過渡金屬化合物反應以形成包含氟化物介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜。在某些實施例中,第一子循環可包含例如TiF4 等氣相介電性過渡金屬化合物及例如矽烷或硼烷等還原劑二者。在某些實施例中,第二循環不包含矽烷或硼烷。因此,在某些實施例中,第一循環包含氣相介電性過渡金屬化合物及矽烷或硼烷,且第二循環包含氣相氮反應物。儘管使用用語「還原劑」,然而在某些實施例中,不要求進行化學還原。因此,在某些實施例中,用語「還原劑」僅代表矽烷化合物或硼烷化合物。然而,在不受任何理論約束的條件下,據信在某些實施例中,本文所述的還原劑可對所述表面上的金屬物質的氧化態進行還原。
在某些實施例中,所述金屬可選自例如Ti、Ta、Nb、Mo及W。所述還原劑可為例如矽烷或硼烷化合物。所述氮反應物可為例如NH3 。在其中使用氮反應物的某些實施例中,所述氮反應物可對基板表面上的金屬物質的氧化態表現出至少一定程度的還原效應。
第一子循環與第二子循環一起構成原子層沈積超級循環。在每一超級循環中,第一子循環及第二子循環可獨立地重覆進行一或多次。此外,所述超級循環可重覆進行一或多次以將包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的導電性膜沈積至合意的厚度。第一子循環及第二子循環可以任意次序執行。舉例而言,在某些實施例中可首先執行第二子循環。此外,每一子循環中的反應物的次序可有所變化。舉例而言,在某些實施例中,在還原子循環(其可被首先執行或其次執行)中所述氮反應物是在矽烷或硼烷化合物之前進行脈衝或者反之亦然。
可改變一或多個超級循環內第一子循環對第二子循環的比率以沈積具有合意構成及/或合意特質的膜。在某些實施例中,第一子循環對第二子循環的比率在原子層沈積製程中的每一超級循環中為相同的。在某些實施例中,第一子循環對第二子循環的比率可在沈積製程期間在一或多個超級循環中有所變化。
在某些實施例中,包含氟化物介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的導電性薄膜被形成為包含來自所述還原化合物的一定量的矽烷或硼烷及/或來自所述氮反應物的一定量的氮。舉例而言,在某些實施例中,包含TiF3 的導電性薄膜被沈積成含有一定量的Si及一定量的N。
為簡潔起見且由於難以對氫進行準確的量化分析,因此本文中所提供的所有原子百分比(即at%)值排除了氫。然而,在某些實施例中,若可以合理的準確性來分析氫,則所述膜的氫含量小於約20 at%、小於約10 at%或小於約5 at%。
在某些實施例中,矽烷被用作還原劑,且包含氟化物介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的導電性膜亦包含少量的矽。舉例而言,在某些實施例中,所述矽含量可小於約15 at%。在某些實施例中,所述矽的含量可介於約0.01 at%至約10 at%、介於約0.1 at%至約5 at%或介於約0.1 at%至約2 at%。在某些實施例中,包含金屬氟化物的導電性膜中的矽的含量較佳地小於約1.5 at%。
在某些實施例中,硼烷被用作還原劑,且包含氟化物介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的導電性膜亦包含少量的硼。舉例而言,在某些實施例中,所述硼的含量可小於約15 at%。在某些實施例中,所述硼含量介於約0.01 at%至約10 at%、介於約0.1 at%至約5 at%或介於約0.1 at%至約2 at%。在某些實施例中,所述硼的含量小於約1.5 at%。
在某些實施例中,所述膜包含少量的氮。舉例而言,在某些實施例中,所述氮的含量可介於約0.5 at%至約50 at%、介於約1 at%至約20 at%或介於約2 at%至約15 at%。
在某些實施例中,所述膜包含數量大於約10 at%、介於約20 at%至約75 at%、介於約40 at%至約70 at%或介於約45 at%至約65 at%的氟。
在某些實施例中,所述膜可具有介於約0.25至約5、介於約0.5至約3或介於約1至約2.5的氟對鈦比率(F/Ti(at%/at%))。
在某些實施例中,儘管事實上所述膜為抗氧化的,然而所述膜仍可包含少量的氧。舉例而言,在某些實施例中,所述氧的含量小於約2.5 at%、小於約1.5 at%、小於約1.0 at%或甚至小於約0.5 at%。
在某些實施例中,包含氟化物介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相且藉由如本文所述的原子層沈積製程而沈積的薄膜具有較藉由已知的氣相沈積製程(例如藉由原子層沈積製程)而沈積的對應的金屬氮化物膜強的抗氧化性。在某些實施例中,藉由如本文所述的原子層沈積製程而沈積的薄膜為光活性材料。
在某些實施例中,所述薄膜吸收入射至所述膜的表面的光的至少一部分以在所述膜內生成電位差或在所述膜內生成電流流動。在某些實施例中,所述薄膜為光透明的或光子透明的,即所述薄膜使入射至所述膜的表面的光的至少一部分穿過所述膜。在某些實施例中,薄膜將入射於所述膜的表面上的經調變光束中所包含的資訊藉由電磁波的方式在所述膜內進行轉移。
在某些實施例中,包含TiF3 的導電性薄膜是藉由原子層沈積製程來沈積,所述原子層沈積製程包括用於以自限制方式在基板表面上吸附TiF4 的第一子循環及用於將TiF4 還原成TiF3 的第二子循環。舉例而言,可在第一子循環中提供TiF4 ,進而使得在基板表面上形成TiF4 的單層。可將第一子循環重覆進行兩次或更多次。在某些實施例中,在相應的第一子循環之間包括清除(purge)步驟。在第二子循環中,將所述基板交替地並依序地暴露至例如矽烷或硼烷化合物等還原劑及例如氨水等氮反應物。第二子循環用於將位於基板表面上的TiF4 的至少一部分還原成TiF3 。在某些實施例中,所形成的膜包含TiF3 以及相對少量的矽或硼及氮。在某些實施例中,所形成的膜包含TiF3 與一定量的氮的混合物。在某些實施例中,所述膜是TiF3 與TiN的混合物。在某些實施例中,所述膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相,例如嵌於TiN相中的TiF3 相。在某些實施例中,所述介電性過渡金屬化合物相呈離散形式(例如離散粒子)且被導電性或半導電性過渡金屬化合物相環繞。
可在一超級循環中將第一子循環及第二子循環中的每一者重覆進行一或多次。重覆進行所述超級循環直至達成具有合意厚度的膜為止。藉由調整一或多個超級循環中兩個子循環的比率,可增大TF3 的量而無需引入非合意數量的矽或氮。具體而言,在某些實施例中,相對於第一子循環,增大使基板交替地並依序地接觸還原劑及氮反應物的第二子循環的數目會使轉換成TiF3 的TiF4 的數量增大。
在某些實施例中,所述還原(第二)子循環可利用矽化合物;然而,可使用其他化合物。在某些實施例中,所述矽化合物為例如SiH4 、Si2 H6 或Si3 H8 等矽烷化合物。在某些實施例中,可在至少一個還原子循環中使用硼化合物。舉例而言,在某些實施例中,所述還原劑可為硼烷化合物,例如BH3 、B2 H6 或三硼烷中的一或多者。將理解亦可使用其他還原劑。在某些實施例中,在每一子循環中使用同一還原劑,而在其他實施例中可在一或多個子循環中利用不同的還原劑。
在某些實施例中所述氮反應物可包括以下中的一或多者:NH3 、氮原子、氮自由基、氮電漿、可例如藉由電漿而產生的包含氮的其他激發物質或其他適合的含氮化合物。
在某些實施例中,包含TiF3 的薄膜被沈積成具有較藉由不將氟併入膜中的已知的氣相沈積製程而沈積的TiN膜(例如藉由原子層沈積而沈積的TiN膜)強的抗氧化性。
在某些實施例中,包含氟的薄膜(例如包含至少一定量的氮的金屬氟化物薄膜)被沈積成平滑的且不具有柱狀晶粒結構(columnar grain structure)。在某些實施例中,薄膜被沈積成包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相粒子。在某些實施例中,所述介電性過渡金屬化合物相粒子與所述導電性或半導電性過渡金屬化合物相具有清楚的晶界。在某些實施例中,所述介電性過渡金屬化合物相粒子包括被導電性或半導電性過渡金屬化合物相環繞的離散粒子。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑小於約500 nm,其直徑佳地小於約100 nm,其直徑更佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑可小於10 nm。在某些實施例中,所述介電性過渡金屬化合物粒子之間的平均距離小於約50 nm,較佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物粒子之間的平均距離介於約10 nm至約20 nm。在某些實施例中,所述介電性過渡金屬化合物粒子包括柱狀晶粒。在某些實施例中,所述柱狀晶粒實質上延伸貫穿所沈積薄膜的厚度。
在某些實施例中,沈積具有約500 nm或小於500 nm厚度的包含TiF3 的薄膜。在某些實施例中,所述薄膜具有小於約100 nm、小於約50 nm、小於約30 nm或小於約10 nm的厚度。在某些實施例中,所述厚度可視將使用所述膜的應用而定來進行選擇。舉例而言,在某些實施例中,所述膜的厚度可較上述者小得多,例如介於約2Å至約50Å、介於約3Å至約30Å,且在某些情形中介於約5Å至約20Å。在某些實施例中,所述薄膜可具有多於約100 nm、多於約1 µm或在某些情形中多於約1 mm的厚度。
儘管主要在形成包含TiF3 的薄膜的上下文中進行說明,然而可使用例如原子層沈積或化學氣相沈積超級循環等沈積超級循環來沈積其他的介電性過渡金屬化合物膜或含有介電性過渡金屬化合物中的至少某些的膜,所述沈積超級循環包括利用過渡金屬化合物反應物的至少一個子循環。舉例而言,在某些實施例中,可藉由沈積製程來沈積包含兩種不同金屬及氟的金屬氮化物膜,所述沈積製程包括使基板交替地並依序地接觸第一金屬反應物及第一氮反應物的第一子循環以及使基板交替地並依序地接觸金屬氟化物及例如矽烷或硼烷等還原劑的第二子循環。示例性製程例如在美國申請案第13/802,157號中有所闡述,所述美國申請案全文併入本案供參考。
本文所述的沈積製程可用於沈積例如包含氟化鈦的膜等包含金屬氟化物的膜,所述膜可被稱為MF膜。M及F的化學計量(stoichiometry),且因此M及F的相對數量(relative amount)可有所變化。舉例而言,包含氟化鈦的膜中Ti及F的相對數量可有所變化。此外,如以上所論述,在某些實施例中,所述膜可包含兩種不同的金屬。所述膜中每一元素的數量可例如藉由控制沈積製程中的子循環的比率來控制。
舉例而言,在用於形成包含TiF3 的膜的某些實施例中,相對於氟化鈦子循環來增大還原子循環的數目可在使所述膜中TiF3 的數量增大的同時使所述膜中TiF4 的數量減小。在某些實施例中,氟化鈦循環對還原子循環比率小於或等於約1,且可生成氮含量小於約10 at%的TiF3 膜。隨著氟化鈦循環對還原子循環比率增大,一般在所述膜中的氟化物的數量增大且相對的TiF3 含量增大並且氮含量亦可減小。在不受任何理論約束的條件下,據信在某些情況下可形成固溶體(solid solution)。
沈積製程
在某些實施例中,可藉由原子層沈積(ALD)型製程、化學氣相沈積(CVD)型製程或原子層沈積型製程與化學氣相沈積型製程的組合來沈積本文所述的薄膜。在某些實施例中,可使用例如物理氣相沈積(PVD)、電漿增強型原子層沈積(PEALD)等其他製程。
簡言之,原子層沈積型製程是基於前驅物化學品(precursor chemical)的受控的、自限制表面反應。藉由將前驅物交替地並依序地填送至反應室中能避免氣相(gas phase)反應。例如藉由在反應物脈衝之間自反應室移除多餘的反應物及/或反應物副產物能使氣相反應物在反應室中彼此分離。
簡言之,將基板載送至反應室中且一般在降低的壓力下將所述基板加熱至適合的沈積溫度。在某些實施例中,所述基板包括300 mm矽晶圓。在某些實施例中,所述基板包括450 mm矽晶圓。將沈積溫度維持低於前驅物熱分解溫度但處於高到足以避免反應物的縮合(condensation)的水準,並提供用於合意的表面反應的活化能。當然,用於任何給定原子層沈積反應的適合溫度窗口將取決於表面終止(surface termination)及所涉及的反應物物質。
以氣相脈衝的形式將第一反應物導通或以脈衝形式施加至所述室中並使所述第一反應物接觸基板的表面。較佳地選擇條件進而使得以自限制方式吸附於所述基板表面上的前驅物不多於約一個單層。多餘的第一反應物及反應副產物(若存在)常常利用例如氮氣或氬氣等惰性氣體的脈衝自反應室清除。
清除所述反應室意為例如藉由以真空泵排空所述室及/或藉由以例如氬氣或氮氣等惰性氣體置換反應器內部的氣體而自所述反應室移除氣相前驅物及/或氣相副產物。典型的清除時間介於約0.05秒至20秒、更佳地為約1秒與10秒之間,且進一步更佳地為約1秒與2秒之間。然而,視需要,例如當需要在具有極高長寬比的結構或具有複雜表面形態的其他結構之上沈積層時,可利用其他清除時間。適合的清除時間可易於由熟習此項技術者基於具體情況來確定。
將第二氣態反應物以脈衝形式施加至所述室中,所述第二氣態反應物在所述室中與結合至所述表面的第一反應物反應。較佳地藉由惰性氣體的幫助將多餘的第二反應物及表面反應的氣態副產物清除出反應室。重覆進行脈衝及清除步驟直至已在所述基板上形成具有合意厚度的薄膜為止,其中每一循環留下不多於一分子的單層。在形成本文中所揭露的薄膜(例如包含嵌於TiN中的TiF3 的膜)時,在每一原子層沈積超級循環中重覆進行一或多次兩個子循環,以例如以粒子的形式沈積介電性過渡金屬化合物材料以及沈積導電性或半導電性過渡金屬化合物材料。
亦可供應附加的反應物來幫助進行所述沈積製程。可以此類反應物自身的脈衝或伴隨前驅物脈衝來提供此類反應物,且此類反應物可用於例如提供合意的表面終止或用於剝除或吸除(getter)所黏附的配位體及/或自由副產物。在某些實施例中,所述附加的反應物不對正在生長的膜貢獻任何物質。
所述製程中所採用的前驅物在標準條件(室溫及大氣壓(atmospheric pressure))下可為固態的、液態的或氣態的材料,前提是所述前驅物在被導通至反應室中並與基板表面接觸之前呈氣相。
如上所述,每一循環或子循環的每一脈衝或階段較佳地為自限制的。在每一階段中供應多餘的反應物前驅物以使易感結構的表面飽和。表面飽和確保反應物佔據所有可用的反應部位(例如受實體大小或「立體阻礙(steric hindrance)」約束)且因此提供極佳的台階覆蓋。在某些配置中,可藉由例如以下來調整自限制行為的程度:容許反應物脈衝存在某些重疊來使(藉由容許進行某些化學氣相沈積型反應而達成的)沈積速度與共形性(conformality)達成折衷。在某些實施例中,本文所述的沈積製程可局部地包括化學氣相沈積型製程或完全地包括化學氣相沈積型製程。理想的原子層沈積條件加上反應物在時間及空間上很好地分離能提供幾近完美的自限制行為且因此達成最大的共形性,但立體阻礙導致每循環少於一個分子層。受限的化學氣相沈積反應與自限制原子層沈積反應混合可提高沈積速度。
將氣化的反應物「以脈衝形式施加」至所述基板上意為在有限的時間週期內將蒸氣導通至所述室中。通常,脈衝時間介於約0.05秒至約10秒。然而,視基板類型及其表面面積而定,所述脈衝時間可甚至高於約10秒。
作為實例,對於單一晶圓原子層沈積反應器中的300 mm晶圓,通常對前驅物進行脈衝約0.05秒至約10秒,更佳地約0.1秒至約5秒,且最佳地約0.3秒至約3.0秒。然而,在某些情形中,脈衝時間可為大約數分鐘。最優的脈衝時間可易於由熟習此項技術者基於具體情況來確定。
可由熟習此項技術者來確定金屬前驅物的質量流動速率(mass flow rate)。在某些實施例中,例如對於在300 mm晶圓上進行的沈積,所述反應物的流動速率較佳地為約1 sccm與約1000 sccm、約10 sccm至約800 sccm或約50 sccm至約500 sccm之間,而並無限制。
可獨立地選擇所述反應物中的每一者的脈衝時間及質量流動速率。在某些實施例中,所述反應物中的兩者或更多者的脈衝時間(及/或質量流動速率)相同,而在某些實施例中,所述脈衝時間(或質量流動速率)不同。
所述反應室中的壓力通常介於約0.01 mbar至約20 mbar,更佳地介於約1 mbar至約10 mbar。然而,如可易於由熟習此項技術者依據例如所使用的具體反應器、所述製程及所述前驅物等多個參數來確定,在某些情形中所述壓力將高於或低於此範圍。
在開始沈積所述膜之前,可如以上所論述將所述基板加熱至適合的生長溫度。較佳的沈積溫度可視例如(且並無限制)反應物前驅物、壓力、流動速率、反應器的配置及基板的構成(包括欲沈積於基板上的材料的性質)等一定數目的因素而定有所變化。具體的生長溫度可由熟習此項技術者基於具體情況來選擇。
在某些實施例中,所述沈積溫度為約100℃至約700℃、約200℃至約500℃、約250℃至約400℃或約325℃至約375℃。
所述處理時間部分地取決於欲生成的層的厚度、所述膜的構成、各別沈積子循環的生長速率及總生長速率。
可使用的適合的反應器的實例包括可經商業購得的原子層沈積設備,例如可自ASM美國(ASM America)、亞利桑那菲尼克斯公司(Inc of Phoenix, Arizona)及荷蘭阿爾梅勒ASM歐洲私人有限公司(ASM Europe B.V., Almere, Netherlands)購得的F-120Ò 反應器、玻莎Ò (PulsarÒ )反應器及高級Ò (AdvanceÒ )400系列反應器。除該些原子層沈積反應器之外,可採用能夠達成薄膜的原子層沈積生長的諸多其他種類的反應器,其包括配備有用於對前驅物進行脈衝的適合的設備及構件的化學氣相沈積反應器。在某些實施例中,使用流動型原子層沈積反應器。
在某些實施例中,所述反應器是能夠容納多於約50個基板、多於約100個基板或多於約125個基板的批式反應器(batch reactor)。在某些實施例中,所述反應器是迷你批式反應器且具有介於2個至約20個基板、介於3個至約15個基板或介於4個至約10個基板。在某些實施例中,所述基板為例如直徑為至少約150 mm的矽晶圓等矽晶圓。在某些實施例中,所述基板為直徑為至少約200 mm或至少約300 mm的矽晶圓。在某些實施例中,所述基板可為直徑為至少約450 mm的矽晶圓。
本文所述用於沈積包含金屬氟化物的導電性膜的原子層沈積製程可視情況在連接至聚類工具(cluster tool)的反應器或反應空間中施行。在聚類工具中,由於每一反應空間專用於一種類型的製程,因此每一模組中的反應空間的溫度可保持恆定,此相較於在每一輪次(run)之前將基板加熱至達製程溫度的反應器而言會提高產量。
單機式反應器(stand-alone reactor)可配備有裝載鎖(load-lock)。在此種情形中,無需在每一輪次之間對所述反應空間進行冷卻。
在某些實施例中,使用化學氣相沈積製程,在所述化學氣相沈積製程中兩種或更多種前驅物材料同時接觸位於反應室內的基板。在某些實施例中,將例如金屬氟化物前驅物、還原劑及氮反應物同時提供至反應室,所述金屬氟化物前驅物、還原劑及氮反應物在反應室中在受熱基板表面上進行反應以形成包含導電性或半導電性過渡金屬化合物相及介電性過渡金屬化合物相的薄膜。在某些實施例中,可藉由所述金屬氟化物前驅物、還原劑及氮反應物流動至反應空間中的相對流動速率來控制所沈積薄膜的構成及結構。
在某些實施例中,使用化學氣相沈積製程,在所述化學氣相沈積製程中將具有不同濃度的兩種或更多種前驅物材料同時施加至位於反應室內的基板。在某些實施例中,使用化學氣相沈積製程,在所述化學氣相沈積製程中將具有不同濃度的兩種或更多種前驅物材料至少部分地同時施加至位於反應室內的基板。在某些實施例中,以將所述金屬氟化物前驅物、還原劑及氮反應物單獨地以脈衝形式施加至反應室的方式,使得金屬氟化物前驅物脈衝與隨後的一或多個還原劑及/或氮反應物前驅物脈衝部分重疊。所述反應物在受熱基板表面上進行反應以形成包含導電性或半導電性過渡金屬化合物相及介電性過渡金屬化合物相的薄膜。在某些實施例中,以將所述金屬氟化物前驅物、還原劑、及/或氮反應物以脈衝形式施加至反應室的方式,使得一或多個還原劑及/或氮反應物脈衝與隨後的金屬氟化物前驅物脈衝部分重疊。所述反應物在所述反應室中的受熱基板表面上進行反應以形成包含導電性或半導電性過渡金屬化合物相及介電性過渡金屬化合物相的薄膜。
在某些實施例中,使用化學氣相沈積製程,在所述化學氣相沈積製程中將具有低濃度的兩種或更多種前驅物材料同時施加至位於反應室內的基板。在某些實施例中,將具有非常低的濃度(例如用於避免氣相反應及達成表面受控反應(surface controlled reaction))的金屬氟化物前驅物、還原劑及氮反應物同時提供至反應室,所述金屬氟化物前驅物、還原劑及氮反應物在反應室中在受熱基板表面上進行反應以形成包含導電性或半導電性過渡金屬化合物相及介電性過渡金屬化合物相的薄膜。
在某些實施例中,以為約100℃至約800℃之間、較佳地為200℃至600℃之間的基板溫度施行化學氣相沈積製程。可基於在本文所述原子層沈積製程沈積循環的情形中所揭露者來選擇在使用含有沈積循環的化學氣相沈積製程的實施例中應用的接觸時間、移除時間及前驅物濃度。舉例而言,前驅物的高濃度或實質上較高的濃度可為例如在原子層沈積製程中的循環中應用的濃度,且低濃度或實質上較低的濃度可為例如小於原子層沈積製程中前驅物的濃度的1/5,或者其濃度較佳地小於原子層沈積製程中前驅物的濃度的1/10。在前驅物可部分地同時接觸基板的某些實施例中,第一前驅物接觸步驟可與以下前驅物接觸步驟重疊50%或以下,較佳地重疊30%或以下。
沈積包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的薄膜
如上所述且如以下所詳細論述,可使用介電性過渡金屬化合物沈積子循環及還原子循環來沈積包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的膜。在某些實施例中,所述過渡金屬可選自Ti、Ta、Nb、Mo及W。可在一超級循環中以合意比率重覆進行兩個子循環以形成平滑的及/或奈米結晶的膜。在某些實施例中,所述薄膜(例如包含介電性過渡金屬化合物相的薄膜)不具有柱狀晶粒結構。在某些實施例中,所述薄膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。
在某些實施例中,所述沈積製程為原子層沈積製程。在某些實施例中,所述沈積製程為依序的或循環的製程,例如利用同種前驅物及條件選擇作為原子層沈積製程的依序的或經脈衝的化學氣相沈積製程。在某些實施例中,所述沈積製程為電漿增強型化學氣相沈積製程。在某些實施例中,所述沈積製程為低壓化學氣相沈積(low pressure CVD,LPCVD)/快熱化學氣相沈積(rapid thermal CVD,RTCVD)製程。在某些實施例中,所述沈積製程具有不自限制的步驟。在某些實施例中,所述製程可以與化學氣相沈積條件相近的製程條件機制運作或在某些情形中完全以化學氣相沈積條件運作。
在某些實施例中,藉由可包括多個超級循環的製程來沈積包含介電性過渡金屬化合物相的薄膜,所述多個超級循環中的每一超級循環包括至少一個介電性過渡金屬化合物(dielectric transition metal compound,DM)子循環及至少一個還原子循環。可改變每一超級循環中所述介電性過渡金屬化合物子循環與所述還原子循環的比率以達成合意的構成,且可選擇超級循環的數目以沈積包含具有合意厚度的介電性過渡金屬化合物相的膜。在某些實施例中,限制在超級循環中相繼進行的每一子循環的數目,進而使得形成例如包含金屬氟化物的膜等同質導電性薄膜,在所述同質導電性薄膜中介電性過渡金屬化合物及導電性或半導電性過渡金屬化合物(conductive or semiconducting transition metal compound,CM)的不同的層例如在橫截面透射式電子顯微鏡或掃描式電子顯微鏡(scanning electron microscope,SEM)影像中為不可見的。在某些實施例中,在超級循環中相繼進行的每一子循環的數目,進而使得形成包含嵌於導電性或半導電性過渡金屬化合物相(CM)中的介電性過渡金屬化合物相(DM)的薄膜,在所述薄膜中不同的介電性過渡金屬化合物相粒子在例如橫截面透射式電子顯微鏡或掃描式電子顯微鏡影像中為可見的。
所述超級循環可被寫為:
a [b (DM) +c (還原劑+氮化合物)],其中DM代表介電性過渡金屬化合物子循環且b 為每一超級循環中介電性過渡金屬化合物相子循環的數目;(還原劑+氮化合物)代表還原子循環且c 為每一超級循環中還原子循環的數目;並且a 為超級循環的數目。介電性過渡金屬化合物子循環對還原子循環的比例可被給作b:c
可以所選擇比率提供第一沈積子循環及第二沈積子循環(b及c),以沈積具有合意構成及合意特質的薄膜。舉例而言,在某些實施例中,一或多個超級循環中的第一介電性過渡金屬化合物沈積子循環對第二還原子循環的比率(b:c )可介於約0.01至約100、約0.05至約50或約0.1至約1。在某些實施例中,一或多個超級循環中的介電性過渡金屬化合物吸附子循環對還原子循環的比率小於一。在某些實施例中,一或多個超級循環中的介電性過渡金屬化合物吸附子循環對還原子循環的比率為約1與約3之間。在某些實施例中,一或多個超級循環中的介電性過渡金屬化合物吸附子循環對還原子循環的比率為約1與約50之間、約3與約30之間或約5與約20之間。在某些實施例中,一或多個超級循環中的介電性過渡金屬化合物吸附子循環對還原子循環的比率為約0.5、約1、約3、約5、約10、約20、約40或約50。
在某些實施例中,在所述製程中所執行的所有完整的超級循環中,第一介電性過渡金屬化合物吸附子循環對第二還原子循環的比率(b:c )相同。在其他實施例中,在不同的完整的超級循環中,第一介電性過渡金屬化合物吸附子循環對第二還原子循環的具體比率可有所變化。可由熟習此項技術者來選擇具體的比率,以在所述膜中提供合意數量的介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相且因此來達成具有合意特質的膜。
儘管被稱為第一介電性過渡金屬化合物吸附子循環及第二還原子循環,然而在某些實施例中,一或多個超級循環是以還原子循環開始,隨後(在重覆進行合意的次數之後)進行介電性過渡金屬化合物吸附子循環(其亦可在開始另一超級循環之前重覆進行合意的次數)。
在某些實施例中,所述超級循環可被寫為:
a [b (DM+還原劑)+c (氮反應物)],其中b 為每一超級循環中包含還原劑的介電性過渡金屬化合物相子循環的數目;c 為每一超級循環中氮反應物子循環的數目;並且a 為超級循環的數目。介電性過渡金屬化合物子循環對氮子循環的比例可被給作b:c
在某些實施例中,所述金屬(或M)包括Ti、Ta、Nb、Mo或W。
在某些實施例中,所述還原劑包括矽烷或硼烷。在某些實施例中,所述還原劑為矽烷、二矽烷或三矽烷。在某些實施例中,所述還原劑為硼烷、二硼烷或三硼烷。如上所述,儘管被稱為「還原劑」,然而在某些實施例中,未必發生實際的化學還原。相似地,在某些實施例中,在「還原子循環」中未必發生還原。
在某些實施例中,所述氮前驅物可選自由氨水、N2 H4 、氮原子、含氮電漿或氮自由基或者在電漿中產生的其他物質組成的群組。
在某些實施例中,使用熱原子層沈積製程來沈積氟化物膜且N前驅物為氨水或N2 H4 。在某些實施例中,使用電漿原子層沈積製程且用於沈積導電性含氟化物膜的N前驅物包括氮原子、含氮電漿或氮自由基。
以下提供具體製程條件及參數來達成對包含TiF3 的示例性薄膜、包含嵌於TiN中的TiF3 的薄膜的沈積,但針對該些製程而闡述的製程條件可應用於對包含介電性過渡金屬化合物相的其他膜的沈積。
在某些實施例中,以同一反應溫度執行第一沈積子循環及第二沈積子循環。在某些實施例中,用於介電性過渡金屬化合物子循環及還原子循環中的一者或二者的沈積溫度為約100℃至約700℃、約200℃至約500℃、約250℃至約400℃或約325℃至約375℃。在某些實施例中,以約350℃來施行TiF4 子循環與還原子循環二者。
在某些實施例中,選擇介電性過渡金屬化合物子循環對還原子循環的比率,以沈積以例如小於約3 nm等非常薄的厚度結束的膜(其中「結束」意為例如藉由低能離子散射譜(low energy ion scattering spectroscopy,LEIS)而確定在最外表面處不再偵測到下伏基板的原子)。在某些實施例中,將子循環的比率選擇成使得所述膜為電性連續的,即以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度來導通電流。在某些實施例中,將子循環的比率選擇成使得所述膜作為層而為連續的,但其可在連續的矩陣中以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度含有例如孔等某些不連續的特徵。在某些實施例中,將子循環的比率選擇成使得所述膜不結束且可不為連續的,但仍以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度用作擴散障壁。
在某些實施例中,選擇介電性過渡金屬化合物子循環對還原子循環的比率以沈積為光活性的膜,例如可吸收一或多個光子的輻射能以在電路中生成電能的膜。在某些實施例中,選擇介電性過渡金屬化合物子循環對還原子循環的比率以沈積薄膜,所述薄膜將吸收入射至所述薄膜的光的至少一部分以在所述薄膜內生成電位差。在某些實施例中,選擇介電性過渡金屬化合物子循環對還原子循環的比率以沈積薄膜,所述薄膜為導電性的且為透光的,舉例而言,入射至所述薄膜的表面的光的至少一部分穿過所述薄膜。在某些實施例中,選擇介電性過渡金屬化合物子循環對還原子循環的比率以沈積可用作波導的薄膜,所述薄膜例如為可將入射至所述薄膜的表面的經調變光束中所含有的資訊作為電磁波在所述薄膜內進行轉移的膜。
在某些實施例中,增大每一超級循環中還原子循環的相對數目會增大包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜的薄片電阻(sheet resistance)及/或電阻率。
在某些實施例中,根據本發明而形成的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜可具有小於約200,000 Ω/sq、小於約140,000 Ω/sq、小於約20,000 Ω/sq、小於約10,000 Ω/sq、小於約1,000 Ω/sq或甚至小於約1,000 Ω/sq的薄片電阻。
在某些實施例中,根據本發明而形成的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜可具有小於約107 µΩcm的層電阻率。在某些實施例中,所述薄膜具有為約500 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜具有為約5´103 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中所述薄膜具有為約104 µΩcm與約106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜不被小於約300℃的空氣氛圍氧化。
在某些實施例中,根據本發明而形成的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜可具有為至少約500 µΩcm、至少約1,000 µΩcm、至少約5,000 µΩcm或甚至至少約10,000 µΩcm的層電阻率。在某些實施例中,根據本發明而形成的膜可包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相粒子。在某些實施例中,所述介電性過渡金屬化合物相粒子與所述導電性或半導電性過渡金屬化合物相具有清楚的晶界。在某些實施例中,所述介電性過渡金屬化合物相粒子包括被導電性或半導電性過渡金屬化合物相環繞的離散粒子。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑小於約500 nm,較佳地小於約100 nm,更佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑可小於10 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子之間的平均距離小於約50 nm,較佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子之間的平均距離介於約10 nm至約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子包括柱狀晶粒。在某些實施例中,所述柱狀晶粒實質上延伸貫穿所沈積薄膜的厚度。
在某些實施例中,在包含矽的基板上沈積包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜。在某些實施例中,在包含Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某種其他半導電性材料中的至少一者的基板上沈積所述膜。
在某些實施例中,根據本發明而形成的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜可在含氧氣氛中低於約500℃、低於約400℃、低於約300℃或低於約250℃的溫度下實質上不表現出氧化。在某些實施例中,所述膜能在例如氛圍空氣等含氧氣氛中在室溫或室外自然發生的溫度(例如介於約-50℃至約50℃)中達到延長的週期的抗氧化。舉例而言,根據某些實施例,根據本發明的方法而形成的膜可抗氧化達多於6小時,較佳地多於24小時,且在某些情形中,視膜構成而定,所述膜可抗氧化達多於10天,較佳地多於30天的週期,並且在某些情形中,若為合意的,則所述膜可抗氧化達多於1年的週期。在某些實施例中,根據本發明而形成的膜可在氛圍氣氛中抗氧化達多於10年或多於20年。舉例而言,假使使用包含金屬氟化物的膜作為保護層來抵抗亦可包含濕氣/水的氛圍空氣,則在某些特定應用中可發生例如向氛圍空氣的暴露。其他含氧氣氛可包括氧原子、電漿或自由基、臭氧、水/濕氣或含OH基的其他物質。
沈積包含金屬氟化物的薄膜
如上所述且如以下所詳細論述,可使用金屬氟化物沈積子循環及還原子循環來沈積例如包含嵌於導電性或半導電性過渡金屬化合物相中的金屬氟化物相的膜等包含金屬氟化物的膜。在某些實施例中,所述金屬可選自Ti、Ta、Nb、Mo及W。可在一超級循環中以合意比率重覆進行兩個子循環以形成平滑的及/或奈米結晶的膜。在某些實施例中,所述薄膜(例如包含金屬氟化物的薄膜)不具有柱狀晶粒結構。在某些實施例中,所述薄膜包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬氟化物相。
在某些實施例中,所述沈積製程為原子層沈積製程。在某些實施例中,所述沈積製程為依序的或循環的製程,其例如是利用同種前驅物及條件選擇作為原子層沈積製程的依序的或經脈衝的化學氣相沈積製程。在某些實施例中,所述沈積製程為電漿增強型化學氣相沈積製程。在某些實施例中,所述沈積製程為低壓化學氣相沈積/快熱化學氣相沈積製程。在某些實施例中,所述沈積製程具有不自限制的步驟。在某些實施例中,所述製程可以與化學氣相沈積條件相近的製程條件機制運作或在某些情形中完全以化學氣相沈積條件運作。
在某些實施例中,藉由可包括多個超級循環的製程來沈積包含金屬氟化物的薄膜,所述多個超級循環中的每一超級循環包括至少一個金屬氟化物(metal fluoride,MF)子循環及至少一個還原子循環。可改變每一超級循環中所述金屬氟化物子循環與所述還原子循環的比率以達成合意的構成,且可選擇超級循環的數目以沈積具有合意厚度的含氟膜。在某些實施例中,限制在超級循環中相繼進行的每一子循環的數目,進而使得形成例如包含金屬氟化物的膜等同質導電性薄膜,在所述同質導電性薄膜中金屬氟化物及導電性或半導電性過渡金屬化合物相(conductive or semiconducting transition metal compound phase,MN)的不同的層例如在橫截面透射式電子顯微鏡或掃描式電子顯微鏡影像中為不可見的。在某些實施例中,在超級循環中相繼進行的每一子循環的數目,進而使得形成包含嵌於導電性或半導電性過渡金屬化合物相(MN)中的介電性過渡金屬氟化物相(MF)的薄膜,在所述薄膜中不同的金屬氟化物相粒子在例如橫截面透射式電子顯微鏡或掃描式電子顯微鏡影像中為可見的。
所述超級循環可被寫為:
a [b (MF) +c (還原劑+氮化合物)],其中MF代表Mx Fy 子循環且b 為每一超級循環中金屬氟化物相子循環的數目;(還原劑+氮化合物)代表還原子循環且c 為每一超級循環中還原子循環的數目;並且a 為超級循環的數目。金屬氟化物子循環對還原子循環的比例可被給作b:c
可以所選擇比率提供第一沈積子循環及第二沈積子循環(b及c),以沈積具有合意構成及合意特質的薄膜。舉例而言,在某些實施例中,一或多個超級循環中第一金屬氟化物沈積子循環對第二還原子循環的比率(b:c )可介於0.01至約100、約0.05至約50或約0.1至約1。在某些實施例中,一或多個超級循環中金屬氟化物吸附子循環對還原子循環的比率小於一。在某些實施例中,一或多個超級循環中金屬氟化物吸附子循環對還原子循環的比率為約1與約3之間。在某些實施例中,一或多個超級循環中金屬氟化物吸附子循環對還原子循環的比率為約1與約50之間、約3與約30之間或約5與約20之間。在某些實施例中,一或多個超級循環中金屬氟化物吸附子循環對還原子循環的比率為約0.5、約1、約3、約5、約10、約20、約40或約50。
在某些實施例中,在所述製程中所執行的所有完整的超級循環中,第一金屬氟化物吸附子循環對第二還原子循環的比率(b:c )相同。在其他實施例中,在不同的完整的超級循環中,第一金屬氟化物吸附子循環對第二還原子循環的具體比率可有所變化。可由熟習此項技術者來選擇所述具體比率以在所述膜中提供具有合意數量的金屬、氟化物及氮且因此來達成具有合意特質的膜。
儘管被稱為第一金屬氟化物吸附子循環及第二還原子循環,然而在某些實施例中,一或多個超級循環是以還原子循環開始,隨後(在重覆進行合意的次數之後)進行金屬氟化物吸附子循環(其亦可在開始另一超級循環之前重覆進行合意的次數)。
在某些實施例中,所述超級循環可被寫為:
a [b (MF+還原劑)+c (氮反應物)],其中b 為每一超級循環中包含還原劑的金屬氟化物相子循環的數目;c 為每一超級循環中氮反應物子循環的數目;並且a 為超級循環的數目。金屬氟化物子循環對氮子循環的比率可被給作b:c
在某些實施例中,所述金屬(或M)包括Ti、Ta、Nb、Mo或W。
在某些實施例中,所述還原劑包括矽烷或硼烷。在某些實施例中,所述還原劑為矽烷、二矽烷或三矽烷。在某些實施例中,所述還原劑為硼烷、二硼烷或三硼烷。如上所述,儘管被稱為「還原劑」,然而在某些實施例中未必發生實際的化學還原。相似地,在某些實施例中在「還原子循環」中未必發生還原。
在某些實施例中,所述氮前驅物可選自由氨水、N2 H4 、氮原子、含氮電漿或氮自由基或者在電漿中產生的其他物質組成的群組。
在某些實施例中,使用熱原子層沈積製程來沈積氟化物膜且N前驅物為氨水或N2 H4 。在某些實施例中,使用電漿原子層沈積製程且用於沈積導電性含氟化物膜的N前驅物包括氮原子、含氮電漿或氮自由基。
以下提供具體製程條件及參數來達成對包含TiF3 的示例性薄膜、包含嵌於TiN中的TiF3 的薄膜的沈積,但針對該些製程而闡述的製程條件可應用於對包含氟化物的其他膜的沈積。
在某些實施例中,以同一反應溫度執行第一沈積子循環及第二沈積子循環。在某些實施例中,用於金屬氟化物子循環及還原子循環中的一者或二者的沈積溫度為約100℃至約700℃、約200℃至約500℃、約250℃至約400℃或約325℃至約375℃。在某些實施例中,以約350℃來施行TiF4 子循環與還原子循環二者。
在某些實施例中,選擇金屬氟化物子循環對還原子循環的比率,以沈積以例如小於約3 nm等非常薄的厚度結束的膜(其中「結束」意為例如藉由低能離子散射譜而確定在最外表面處不再偵測到下伏基板的原子)。在某些實施例中,將子循環的比率選擇成使得所述膜為電性連續的,即以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度來導通電流。在某些實施例中,將子循環的比率選擇成使得所述膜作為層而為連續的,但其可在連續的矩陣中以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度含有例如孔等某些不連續的特徵。在某些實施例中,將子循環的比率選擇成使得所述膜不結束且可不為連續的,但仍以例如小於約3 nm、小於約2 nm、小於約1.5 nm或甚至小於約1.0 nm等非常薄的厚度用作擴散障壁。
在某些實施例中,選擇金屬氟化物子循環對還原子循環的比率以沈積為光活性的膜,例如可吸收一或多個光子的輻射能以在電路中生成電能的膜。在某些實施例中,選擇金屬氟化物子循環對還原子循環的比率以沈積薄膜,所述薄膜將吸收入射至所述薄膜的光的至少一部分以在所述薄膜內生成電位差。在某些實施例中,選擇金屬氟化物子循環對還原子循環的比率以沈積薄膜,所述薄膜為導電性的且為透光的,舉例而言,入射至所述薄膜的表面的光的至少一部分穿過所述薄膜。在某些實施例中,選擇金屬氟化物子循環對還原子循環的比率以沈積可用作波導的薄膜,所述薄膜例如為可將入射至所述薄膜的表面的經調變光束中所含有的資訊作為電磁波在所述薄膜內進行轉移的膜。
在某些實施例中,增大每一超級循環中的還原子循環的相對數目會增大所述金屬氟化物膜的薄片電阻及/或電阻率。
在某些實施例中,根據本發明而形成的含氟化物膜可具有小於約200,000 Ω/sq、小於約140,000 Ω/sq、小於約20,000 Ω/sq、小於約10,000 Ω/sq、小於約1,000 Ω/sq、或甚至小於約1,000 Ω/sq的薄片電阻。
在某些實施例中,根據本發明而形成的含氟化物膜可具有小於約107 µΩcm的層電阻率。在某些實施例中,所述薄膜具有為約500 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜具有為約5´103 µΩcm與約5´106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜具有為約104 µΩcm與約106 µΩcm之間的層電阻率。在某些實施例中,所述薄膜不被小於約300℃的空氣氛圍氧化。
在某些實施例中,根據本發明而形成的含氟化物膜可具有為至少約500 µΩcm、至少約1,000 µΩcm、至少約5,000 µΩcm或甚至至少約10,000 µΩcm的層電阻率。在某些實施例中,根據本發明而形成的含氟化物膜可包含嵌於導電性或半導電性過渡金屬化合物相中的金屬氟化物粒子。在某些實施例中,所述金屬氟化物粒子與所述導電性或半導電性過渡金屬化合物相具有清楚的晶界。在某些實施例中,所述金屬氟化物粒子包括被導電性或半導電性過渡金屬化合物相環繞的離散粒子。在某些實施例中,所述金屬氟化物粒子的直徑小於約500 nm,較佳地小於約100 nm,更佳地小於約20 nm。在某些實施例中,所述金屬氟化物粒子的直徑可小於10 nm。在某些實施例中,所述金屬氟化物粒子之間的平均距離小於約50 nm,較佳地小於約20 nm。在某些實施例中,所述金屬氟化物粒子之間的平均距離介於約10 nm至約20 nm。在某些實施例中所述金屬氟化物粒子包括柱狀晶粒。在某些實施例中,所述柱狀晶粒實質上延伸貫穿所沈積薄膜的厚度。
在某些實施例中,在包含矽的基板上沈積含氟化物膜。在某些實施例中,在包含Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某種其他半導電性材料中的至少一者的基板上沈積含氟化物膜。
在某些實施例中,根據本發明而形成的包含金屬氟化物的膜可在含氧氣氛中低於約500℃、低於約400℃、低於約300℃或低於約250℃的溫度下實質上不表現出氧化。在某些實施例中,所述膜能在例如氛圍空氣等含氧氣氛中在室溫或室外自然發生的溫度(例如介於約-50℃至約50℃)中抗氧化達延長的週期。舉例而言,根據某些實施例,根據本發明的方法而形成的膜可抗氧化達多於6小時,較佳地多於24小時,且在某些情形中,視膜構成而定,所述膜可抗氧化達多於10天,較佳地多於30天的週期,並且在某些情形中,若為合意的,則所述膜可抗氧化達多於1年的週期。在某些實施例中,根據本發明而形成的膜可在氛圍氣氛中抗氧化達多於10年或多於20年。舉例而言,假使使用包含金屬氟化物的膜作為保護層來抵抗亦可包含濕氣/水的氛圍空氣,則在某些特定應用中可發生例如向氛圍空氣的暴露。其他含氧氣氛可包括氧原子、電漿或自由基、臭氧、水/濕氣或含OH基的其他物質。
藉由原子層沈積來沈積包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的膜
如上所述,在某些實施例中,用於沈積包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的膜(例如包含氟化物化合物的薄膜)的原子層沈積製程可包括多個超級循環,所述多個超級循環中的每一超級循環包括至少一個介電性過渡金屬化合物相(DM)子循環及至少一個還原子循環。在所述介電性過渡金屬化合物相子循環中,使所述基板暴露至例如金屬氟化物等氣相介電性過渡金屬化合物,進而使得在基板表面上吸附達一單層。在所述還原子循環中,使所述基板暴露至例如矽烷或硼烷等還原劑及氮反應物。可改變所述介電性過渡金屬化合物相子循環與所述還原子循環的比率以達成合意的構成,且可選擇超級循環的數目以沈積具有合意厚度的包含介電性過渡金屬化合物相的膜。所述介電性過渡金屬化合物相子循環可在所述還原子循環之前且反之亦然。相似地,在所述還原循環中,所述還原劑可在所述氮反應物之前且反之亦然。
圖1說明用於在反應室中的基板上形成包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的膜的原子層沈積製程,所述原子層沈積製程包括多個原子層沈積超級循環100。每一超級循環包括第一介電性過渡金屬化合物相沈積子循環200及第二還原子循環300。重覆進行超級循環100如合意般多的次數,以沈積具有合意厚度的薄膜。可選擇超級循環100內的子循環200與子循環300之間的比率以達成具有合意構成及特質的膜。
第一介電性過渡金屬化合物沈積子循環包括:將例如過渡金屬氟化物等氣化的介電性過渡金屬化合物以脈衝形式施加至反應室210中,以在基板上形成介電性過渡金屬化合物的至多一分子單層,且清除反應室220以移除多餘的介電性過渡金屬化合物及反應副產物(若存在),並且重覆250—脈衝及清除步驟。
在某些實施例中,接連地將第一沈積子循環重覆進行1、2、3、4、5、10、20、50、100或更多次。在某些實施例中,相繼地重覆進行第一沈積子循環不多於約30至60次、相繼地重覆進行達約30至50次或相繼地重覆進行達約40次。
用於形成所述薄膜的原子層沈積超級循環100亦包括一或多個第二還原子循環300。在某些實施例中,所述第二還原子循環300包括:將例如二矽烷或三矽烷等氣化的還原劑以脈衝形式施加至反應室310中,以還原至少某些所吸附的介電性過渡金屬化合物中,清除反應室320以移除多餘的還原劑及反應副產物(若存在),視情況將例如NH3 等氮反應物以脈衝形式施加至反應室330中,視情況清除反應室340以移除多餘的氮反應物及任何氣態副產物,且重覆350、至少重覆脈衝步驟及清除步驟。
在某些實施例中,接連地將第二還原子循環300重覆進行1、2、3、4、5、10、20、50、100或更多次。在某些實施例中重覆進行第二還原子循環約3至6次或約5次。
在一完整的原子層沈積超級循環100中,將第一子循環200及第二子循環300重覆進行多次,且重覆進行所述完整的原子層沈積超級循環100,以形成具有合意厚度的包含具有合意濃度的介電性過渡金屬化合物相的薄膜。
在某些實施例中,第一沈積子循環200及第二還原子循環300所重覆進行的次數在每一完整的原子層沈積超級循環100中為相同的。在其他實施例中,第一子循環100及第二子循環200的數目在一或多個完整的原子層沈積超級循環100中有所變化。可調整每一完整的原子層沈積超級循環100中的第一子循環100及第二子循環200的數目以及第一子循環100與第二子循環200的總數目以及總的原子層沈積超級循環100,以達成具有合意厚度及構成的薄膜的沈積。
儘管圖中示出以第一沈積子循環200開始,然而每一完整的原子層沈積循環可以第一子循環100或第二子循環200 開始及結束。舉例而言,用於形成所述薄膜的每一原子層沈積超級循環可以第一介電性過渡金屬化合物沈積子循環或還原子循環開始。在某些實施例中,一或多個超級循環可以還原子循環開始。
在某些實施例中,藉由原子層沈積將所述薄膜沈積於基板表面之上以形成500 nm或小於500 nm的共形薄膜。在某些實施例中,所述膜的厚度小於100 nm、小於約50 nm或小於約10 nm。視所述應用而定,所述厚度可小得多,例如介於約2Å至約50Å,較佳地介於約3Å至約30Å,且在某些情形中,介於約5Å至約20Å。在某些實施例中,例如當使用包含TiF3 的膜作為光電極時,所述膜的厚度可為約30 nm。在某些實施例中,所述薄膜可具有為多於約100 nm、多於約1 µm或在某些情形中多於約1 mm的厚度。
在不背離本發明的範圍的條件下,可對上述方法及結構作出各種潤飾、省略及添加。所有此類潤飾及改變皆旨在落於如由隨附申請專利範圍所界定的本發明的範圍內。
藉由物理氣相沈積 (PVD)來沈積包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的膜
在某些實施例中,可藉由物理氣相沈積型製程來沈積如本文所述的包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的薄膜。在某些實施例中,可藉由反應性濺鍍沈積(reactive sputtering deposition)製程來沈積包含介電性過渡金屬化合物材料及導電性或半導電性過渡金屬化合物材料的薄膜。在某些實施例中,反應性濺鍍製程可包括使用包含元素態過渡金屬的靶(target)。舉例而言,靶可包括例如鈦靶等過渡金屬靶。在某些實施例中,所述沈積製程可包括在包含氮、氟、及/或氧物質的氣氛中產生電漿。
在某些實施例中,所述介電性過渡金屬化合物材料可包括固態的過渡金屬氟化物、過渡金屬氧化物或過渡金屬氟氧化物或者該些中的一或多者的混合物。在某些實施例中,所述介電性過渡金屬化合物材料可包括TiF3
在某些實施例中,所述導電性或半導電性過渡金屬化合物材料可包括過渡金屬氮化物。在某些實施例中,所述導電性或半導電性過渡金屬化合物材料可包括TiN。
在某些實施例中,使用例如反應性濺鍍製程等濺鍍製程來沈積所述薄膜。在某些實施例中,所述濺鍍製程可包括在包含氮及/或氟的氣氛中產生電漿。在某些實施例中,所述氣氛可包括含氮物質及/或含氟物質。在某些實施例中,所述氣氛可包括例如N2 、NH3 及/或F2
在某些實施例中,藉由例如反應性濺鍍製程等濺鍍製程來沈積包含位於TiN中的TiF3 的薄膜。在某些實施例中,所述濺鍍製程可包括在包含N2 及/或F2 的氣氛中產生電漿。在某些實施例中,所述濺鍍製程可包括在包含NH3 及/或F2 的氣氛中產生電漿。
在某些實施例中,可在所述沈積製程期間改變所述氣氛的構成。舉例而言,含氮物質及含氟物質的濃度可在整個沈積製程中有所變化。在某些實施例中,所述氣氛可包括含氮物質且可不包括含氟物質。在某些實施例中,所述氣氛可包括含氟物質且可不包括含氮物質。在某些實施例中,在所述沈積製程期間,對於所述沈積製程的至少一部分而言所述氣氛可包括含氮物質且可不包括含氟物質,並且對於所述沈積製程的至少一不同部分,例如所述沈積製程中較早的部分或稍後的部分而言所述氣氛可包括含氟物質且可不包括含氮物質。
藉由原子層沈積來沈積包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的膜
如上所述,在某些實施例中,用於沈積包含位於導電性或半導電性過渡金屬化合物材料中的介電性過渡金屬化合物材料的膜的原子層沈積製程可包括多個超級循環,所述多個超級循環中的每一超級循環包括至少一個過渡金屬化合物子循環及至少一個第二子循環(例如還原子循環)。在所述過渡金屬化合物子循環中,使所述基板暴露至氣相過渡金屬化合物,進而使得在基板表面上吸附達一單層。在第二子循環(例如還原子循環)中,使所述基板暴露至例如還原劑(例如矽烷或硼烷)等其他反應物及/或例如氮反應物等第三反應物。可改變所述過渡金屬化合物子循環與第二子循環的比率以達成合意的構成,且可選擇超級循環的數目以沈積具有合意厚度的包含過渡金屬化合物的膜。所述過渡金屬化合物子循環可在所述第二子循環之前且反之亦然。相似地,在所述第二子循環(例如還原子循環)中,所述還原劑可在例如氮反應物等第三反應物之前且反之亦然。
藉由原子層沈積來沈積包含 TiF3 的膜
如上所述,在某些實施例中,用於沈積例如包含嵌於導電性或半導電性過渡金屬化合物相(例如TiN)中的TiFx 化合物(例如TiF3 )的薄膜等包含TiF3 的膜的原子層沈積製程可包括多個超級循環,所述多個超級循環中的每一超級循環包括至少一個TiF4 子循環及至少一個還原子循環。在所述TiF4 子循環中,使所述基板暴露至氣相TiF4 ,進而使得在基板表面上吸附達一單層。在所述還原子循環中,使所述基板暴露至例如矽烷或硼烷等還原劑及氮反應物。可改變所述TiF4 子循環與還原子循環的比率來達成合意的構成,且可選擇超級循環的數目以沈積具有合意厚度的包含氟化鈦的膜。所述TiF4 子循環可在所述還原子循環之前且反之亦然。相似地,在所述還原子循環中,所述還原劑可在所述氮反應物之前且反之亦然。
在某些實施例中,所述TiF4 子循環可包含還原劑,例如矽烷化合物或硼烷化合物。而且,在某些實施例中,所述第二子循環不包含矽烷或硼烷化合物。
所述超級循環可被寫為:
a [b (氟化鈦)+c (還原劑+氮反應物)],其中(氟化鈦)代表TiF4 子循環且b 為每一超級循環中TiF4 子循環的數目;(還原劑+氮反應物)代表還原子循環,且c 為每一超級循環中還原子循環的數目;並且a 為超級循環的數目。儘管以在超級循環中首先進行TiF4 子循環來進行說明,然而在某些實施例中,在一或多個超級循環中,首先進行還原子循環。因此,在某些實施例中,TiF4 子循環可被視為第一子循環且還原子循環可被視為第二子循環,而在某些實施例中,還原子循環可被視為第一子循環且TiF4 子循環可被視為第二子循環。
但在某些實施例中,所述超級循環可被寫為:
a [b (TiF4 +還原劑)+c (氮反應物)],其中b 為每一超級循環中包含還原劑的TiF4 子循環的數目;c 為每一超級循環中氮反應物子循環的數目;並且a 為超級循環的數目。金屬氟化物對氮子循環的比率可被給作b:c
在某些實施例中,所述還原劑可為硼烷或矽烷,例如二硼烷、三硼烷、二矽烷或三矽烷。在某些實施例中,所述還原劑為二矽烷。在某些實施例中,所述還原劑為三矽烷。在某些實施例中,所述氮反應物可為氨水、N2 H4 、氮原子、含氮電漿或氮自由基。
在某些實施例中,超級循環可被寫為a [b (TiF4 )+c (Si2 H6 +NH3 )],其中b 為每一超級循環中TiF4 子循環的數目,c 為每一超級循環中還原子循環的數目,且a 為超級循環的數目。
TiF4 子循環對還原子循環的比率可因此被給作b:c(或TiF4 :還原)。在某些實施例中,子循環的比率在原子層沈積製程中的每一原子層沈積超級循環中為恆定的。在其他實施例中,子循環的比率可在一或多個超級循環中有所改變。除非另外指明,否則在本文中提供子循環的比率是指代一包含多個超級循環的完整的原子層沈積製程中子循環的比率。
在某些實施例中,以同一反應溫度執行第一沈積子循環及第二沈積子循環。在某些實施例中,用於TiF4 子循環及還原子循環中的一者或二者的沈積溫度為約100℃至約700℃、約200℃至約500℃、約250℃至約400℃或約325℃至約375℃。在某些實施例中,以約350℃來施行TiF4 子循環與還原子循環二者。
在某些實施例中,在同一反應器中執行第一子循環及第二子循環。
可以所選擇比率提供第一子循環及第二子循環,以沈積具有合意構成及合意特質的薄膜。舉例而言,在某些實施例中,於一或多個原子層沈積超級循環中,所述第一TiF4 沈積子循環對第二還原子循環的比率可介於約0.01至約100、約0.05至約50或約0.1至約1。在某些實施例中,於一或多個超級循環中,TiF4 沈積子循環對還原子循環的比率小於一。在某些實施例中,於一或多個超級循環中,TiF4 沈積子循環對還原子循環的比率為約1與約3之間。在某些實施例中,於一或多個超級循環中,TiF4 沈積子循環對還原子循環的比率為約1與約50之間、約3與約30之間或約5與約20之間。在某些實施例中,於一或多個超級循環中,TiF4 沈積子循環對還原子循環的比率為約0.01、約0.2、約0.3、約0.4、約0.5、約0.6、約0.8或約1。
如上所述,可選擇子循環的比率以達成合意構成及合意膜特質。在某些實施例中,藉由相對於TiF4 子循環增大還原子循環的數目,以將更大百分比的TiF4 轉換成TiF3 。在某些實施例中,增大TiF4 子循環對還原子循環的比率,以增大所沈積膜的薄片電阻及/或電阻率。
在某些實施例中,第一TiF4 沈積子循環對第二還原子循環的比率在所述原子層沈積製程中所執行的所有完整的原子層沈積超級循環中為相同的。在其他實施例中,在不同的完整的原子層沈積超級循環中,第一TiF4 沈積子循環對第二還原沈積子循環的具體比率可有所變化。可由熟習此項技術者選擇具體比率以在所述膜中提供合意數量的鈦、氟、氮,且因此來達成具有合意特質的膜。
在某些實施例中,所沈積的包含TiF3 的膜為導電性膜。在某些實施例中,所沈積的包含TiF3 的膜具有較藉由例如原子層沈積等已知的不在所述膜中併入氟的氣相沈積製程而沈積的TiN膜強的抗氧化性(例如在空氣氛圍中以300℃所量測)。
在某些實施例中,將包含TiF3 的導電性膜形成為包含來自還原化合物的一定量的矽或硼以及來自氮反應物的一定量的氮。舉例而言,在某些實施例中,將包含TiF3 的導電性膜沈積成含有一定量的Si及一定量的N。
在某些實施例中,使用矽烷作為還原劑且包含TiF3 的膜亦包含少量的矽。舉例而言,在某些實施例中,所述矽含量可介於約15 at%,較佳地介於約0.01 at%至約10 at%,更佳地介於約0.1 at%至約5 at%,且最佳地介於約0.1 at%至約2 at%範圍內。在某些實施例中,所述矽含量較佳地小於約1.5 at%。
在某些實施例中,使用硼烷作為還原劑且包含TiF3 的膜亦包含少量硼。舉例而言,在某些實施例中,所述硼含量可介於小於約15 at%、介於約0.01 at%至約10 at%、介於約0.1 at%至約5 at%或介於約0.1 at%至約2 at%範圍內。在某些實施例中,所述硼含量較佳地小於約1.5 at%。
在某些實施例中,包含TiF3 的膜亦包含少量氮。舉例而言,在某些實施例中,所述氮含量可介於約0.5 at%至約50 at%、介於約1 at%至約20 at%或介於約2 at%至約15 at%範圍內。
在某些實施例中,所述膜所包含的氟的數量大於約10 at%,較佳地介於約20 at%至約75 at%、介於約40 at%至約70 at%或介於約45 at%至約65 at%。
在某些實施例中,包含TiF3 的膜包含小於約1 at%的氧。
在某些實施例中,所沈積的包含TiF3 的膜包含嵌於導電性或半導電性過渡金屬化合物相中的TiF3 粒子。在某些實施例中,所述TiF3 粒子與所述導電性或半導電性過渡金屬化合物相具有清楚的晶界。在某些實施例中,TiF3 粒子包括被導電性或半導電性過渡金屬化合物相環繞的離散粒子。在某些實施例中,TiF3 粒子的直徑小於約500 nm,較佳地小於約100 nm,更佳地小於約20 nm。在某些實施例中,TiF3 粒子的直徑可小於10 nm。在某些實施例中,TiF3 粒子之間的平均距離小於約50 nm,較佳地小於約20 nm。在某些實施例中,TiF3 粒子之間的平均距離介於約10 nm至約20 nm。在某些實施例中,TiF3 粒子包括柱狀晶粒。在某些實施例中,所述柱狀晶粒實質上延伸貫穿所沈積薄膜的厚度。
在某些實施例中,在包含矽的基板上沈積包含TiF3 的膜。在某些實施例中,在包含Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某種其他半導電性材料中的至少一者的基板上沈積包含TiF3 的膜。
圖2說明用於在反應室中的基板上形成包含TiF3 的膜的原子層沈積製程,所述原子層沈積製程包括多個原子層沈積超級循環101。每一超級循環包括第一TiF4 沈積子循環201及第二還原子循環301。重覆進行超級循環100如合意般的多的次數,以沈積具有合意厚度的TiF3 膜。可選擇超級循環101內的子循環201與子循環301之間的比率以達成具有合意構成及特質的膜。
第一氟化鈦沈積子循環包括:將例如TiF4 等氣化TiFx 以脈衝形式施加至反應室211中,以在基板上形成氟化鈦的至多一分子單層;且清除反應室221,以移除多餘的氟化鈦及反應副產物(若存在),並且重覆251—脈衝及清除步驟。
在某些實施例中,接連地將第一沈積子循環重覆進行1、2、3、4、5、10、20、50、100或更多次。在某些實施例中,相繼地重覆進行第一沈積子循環不多於約30至60次、相繼地重覆進行達約30至50次或相繼地重覆進行達約40次。
用於形成所述TiF3 /TiN膜的原子層沈積超級循環101亦包括一或多個第二還原子循環301。在某些實施例中,所述第二還原子循環301包括:將例如二矽烷或三矽烷等氣化還原劑以脈衝形式施加至反應室311中,以將至少某些TiF4 中還原成TiF3 ;清除反應室321,以移除多餘的還原劑及反應副產物(若存在),將例如NH3 等氮反應物以脈衝形式施加至反應室331中,其中所述氮反應物向所述氟化鈦膜貢獻至少一定量的氮;清除反應室341以移除多餘的氮反應物及任何氣態副產物;且重覆351—脈衝及清除步驟。
在某些實施例中,接連地將第二還原子循環301重覆進行1、2、3、4、5、10、20、50、100或更多次。在某些實施例中重覆進行第二還原子循環約3至6次或約5次。
在一完整的原子層沈積超級循環101中將第一子循環201及第二子循環301重覆進行多次,且重覆進行所述完整的原子層沈積超級循環101以形成具有合意厚度的包含具有合意濃度的鈦、氟及氮的TiF3 膜。
在某些實施例中,第一沈積子循環201及第二還原子循環301所重覆進行的次數在每一完整的原子層沈積超級循環100中是相同的。在其他實施例中,第一子循環101及第二子循環201的數目在一或多個完整的原子層沈積超級循環101中有所變化。可調整每一完整的原子層沈積超級循環101中的第一子循環101及第二子循環201的數目以及第一子循環101與第二子循環201的總數目以及總的原子層沈積超級循環101,以達成具有合意厚度及構成的TiF3 /TiN膜的沈積。
儘管圖中示出以第一沈積子循環201開始,然而每一完整的原子層沈積循環可以第一子循環101或第二子循環201開始及結束。舉例而言,用於形成所述薄膜的每一原子層沈積超級循環可以第一氟化鈦沈積子循環或還原子循環開始。在某些實施例中,一或多個超級循環可以還原子循環開始。
在某些實施例中,藉由原子層沈積將所述包含TiF3 的膜沈積於基板表面之上以形成500 nm或小於500 nm的共形薄膜。在某些實施例中,所述膜的厚度小於100 nm、小於約50 nm或小於約10 nm。視所述應用而定,所述厚度可小得多,例如介於約2Å至約50Å,較佳地介於約3Å至約30Å,且在某些情形中介於約5Å至約20Å。在某些實施例中,例如當使用包含TiF3 的膜作為光電極時,所述膜的厚度可為約30 nm。在某些實施例中,所述薄膜可具有為多於約100 nm、多於約1 µm或在某些情形中多於約1 mm的厚度。
在某些實施例中,將包含TiF3 的膜形成為僅在例如溫度超過約300℃的氛圍空氣等含氧或水/濕氣氣氛中開始氧化。
在不背離本發明的範圍的條件下,可對上述方法及結構作出各種潤飾、省略、及添加。所有此類潤飾及改變皆旨在落於如由隨附申請專利範圍所界定的本發明的範圍內。
光子裝置
本文所述的方法及材料可提供用於在例如太陽電池或波導裝置等光伏打裝置或光子裝置中使用的具有光活性或其他合意特質的膜。根據某些實施例,複合薄膜藉由所揭露的方法而在光子裝置製作期間沈積於例如p型矽基板等適合的基板上。
在某些實施例中,如本文所述的薄膜或光子裝置可至少在與來自太陽的輻射對應的光的波長中運作或起作用。在某些實施例中,薄膜或光子裝置至少在介於約100 nm至約3000 nm的光的波長中運作或起作用。在某些實施例中,薄膜或光子裝置至少在可見光的波長中運作或起作用。在某些實施例中,薄膜或光子裝置至少在大於約350 nm或大於約500 nm的波長中運作或起作用。在某些實施例中,薄膜或光子裝置至少在與可見光譜中的紅色對應的光的波長中運作或起作用。在某些實施例中,薄膜或光子裝置至少在如熟習此項技術者將知的典型太陽電池可在其運作的輻射的各波長中運作或起作用,所述各波長例如為約532 nm及/或介於約630 nm至約680 nm等。
在某些實施例中,光子裝置可包括第一導電性或半導電性過渡金屬層、定位於所述第一層以上的第二半導電性層以及定位於所述第二層之上的第三複合膜層。在某些實施例中,所述各層為固態層。在某些實施例中,所述層不包含液體。
在某些實施例中,所述第一導電性或半導電性過渡金屬層可用作用於所述光子裝置的電性觸點。在某些實施例中,所述第一層可包含導電性或半導電性過渡金屬氧化物或氮化物。在某些實施例中,所述第一層可包含選自Ti、Ta、Nb、Mo及W的金屬。在某些實施例中,所述第一層可包含TiN。在某些實施例中,所述第一層為固態的。在某些實施例中,所述第一層不為液態的。在某些實施例中,所述第一層的厚度小於500 nm。在某些實施例中,所述第一層的厚度小於約100 nm,較佳地小於約50 nm。在某些實施例中,所述第一層的厚度為45 nm。
在某些實施例中,所述第二層可包含Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或例如III-V或II-VI材料等某種其他半導電性材料中的至少一者。在某些實施例中,所述第二層可包含p+ 型矽。在某些實施例中,所述第二層可更包含氧化物層。在某些實施例中,所述第二層可在頂表面、底表面或頂表面與底表面二者上包含氧化物層(例如SiO2 )。在某些實施例中,一或多個所述氧化物層可包含天然氧化物或熱氧化物。在某些實施例中,所述第二層為固態的。在某些實施例中,所述第二層不為液態的。在某些實施例中,一或多個所述氧化物層可為小於約50 nm厚,較佳地小於約20 nm厚。在某些實施例中,一或多個所述氧化物層可小於約10 nm、小於約5 nm或小於約3 nm厚。在某些實施例中,所述第二層可不在頂表面、底表面或頂表面與底表面二者上包含氧化物。
在某些實施例中,所述第三複合膜層可包括如本文中所揭露的薄膜。在某些實施例中,所述第三複合膜層可包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述介電性過渡金屬化合物相可包括離散粒子。在某些實施例中,所述介電性過渡金屬化合物相的過渡金屬可選自Ti、Ta、Nb、Mo及W中的一者。在某些實施例中,所述介電性過渡金屬化合物相可選自包含以下的列表:TiF3 、Cr2 O3 、NiO、WO3 、Ti2 O3 、TiOF2 、NbO2 F、NbO3-x Fx 、NbOx/2 F3-x 、MoO3-x Fx 、MoOx F3-x 、TaO2 F、TaOx F3-x 、WO3-x Fx 。在某些實施例中,所述介電性過渡金屬化合物相具有ReO3 結構。在某些實施例中,所述導電性或半導電性過渡金屬化合物相可選自包含以下的列表:Cr、TiN、Fe、W、TiC、Ti。在某些實施例中,所述介電性過渡金屬化合物相包括TiF3 。在某些實施例中,所述導電性或半導電性過渡金屬化合物相包括TiN。在某些實施例中,所述介電性過渡金屬化合物相包括TiF3 且所述導電性或半導電性過渡金屬化合物相包括TiN。在某些實施例中,所述第三層包含TiF3 與TiN的混合物。
在某些實施例中,所述介電性過渡金屬化合物相可包括介於約0.1 nm至約500 nm的粒子。在某些實施例中,所述介電性過渡金屬化合物相粒子與所述導電性或半導電性過渡金屬化合物相具有清楚的晶界。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑小於約500 nm,較佳地小於約100 nm,更佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子的直徑可小於10 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子之間的平均距離小於約50 nm,較佳地小於約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子之間的平均距離介於約10 nm至約20 nm。在某些實施例中,所述介電性過渡金屬化合物相粒子包括柱狀晶粒。在某些實施例中,所述柱狀晶粒實質上延伸貫穿所述第三層的厚度。
在某些實施例中,所述第二層可在光子裝置中用作光活性組件。在某些實施例中,所述第三層可在光子裝置中用作光活性組件。在某些實施例中,所述第二層及所述第三層可在光子裝置中用作光活性組件。在某些實施例中,所述光活性組件吸收光子的輻射能以在電路中生成電能,舉例而言,所述光活性組件可當暴露至入射光時在所述裝置內生成電位差。在某些實施例中,所述光活性組件用以利用電能來生成光子。
在某些實施例中,所述光子裝置的第三層包括光子透明組件,所述光子透明組件被配置成使光子能夠穿過所述光子透明組件。在某些實施例中,所述光子裝置的第三層包括用以收集光子激發電荷載子的電荷收集組件。在某些實施例中,所述光子裝置的第三層包括用以轉移入射於所述光子裝置的至少一部分上的光子通量的特性的波導組件。
根據某些實施例,本文揭露一種光子裝置,所述光子裝置包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述介電性過渡金屬化合物相可包括離散粒子。在某些實施例中,所述介電性過渡金屬化合物相可包括介於約0.1 nm至約500 nm的粒子。在某些實施例中,所述導電性或半導電性過渡金屬化合物相環繞所述介電性過渡金屬化合物相粒子。
在某些實施例中,所述光子裝置包括光活性組件。在某些實施例中,所述光活性組件用以吸收光子的輻射能以在電路中生成電能。在某些實施例中,所述光活性組件用以利用電能來生成光子。在某些實施例中,所述光活性組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述光活性組件包含半導電性材料。在某些實施例中,所述光活性組件包含Si、SiGe、Ge、CdTe、GaAs、GaSb及/或InGaAs。在某些實施例中,所述光活性組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括光子透明組件,所述光子透明組件被配置成使光子能夠穿過所述光子透明組件。在某些實施例中,所述光子透明組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述光子透明組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括用以收集光子激發電荷載子的電荷收集組件。在某些實施例中,所述電荷收集組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。在某些實施例中,所述電荷收集組件包含氧化銦錫、經摻雜氧化錫、氧化鋅、經摻雜氧化鋅、導電性聚合物、金屬網格、碳奈米管、石墨烯或奈米導線薄膜。在某些實施例中,所述電荷收集組件包含TiF3 與TiN的混合物。
在某些實施例中,所述光子裝置包括用以轉移入射於所述光子裝置的至少一部分上的光子通量的特性的波導組件。在某些實施例中,所述波導組件包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。
實例: 藉由原子層沈積在玻莎Ò 2000 R&D反應器中沈積了一定數目的TiF3 膜。所述膜是藉由超級循環方法使用以下基礎超級循環而沈積,所述基礎超級循環包括TiF4 子循環及還原子循環:z[x(TiF4 )+y(Si3 H8 +NH3 )]及z[x(TiF4 )+y(Si2 H6 +NH3 )]。反應器溫度為約370℃。
基礎製程參數為:TiF4 ;3秒脈衝/5秒清除,NH3 ;10秒脈衝/5秒清除,Si2 H6 /Si3 H8 ;1秒脈衝/5秒清除。
將所述膜沈積於具有天然氧化物的矽上。藉由改變TiF4 /還原子循環比率(x/y)更改了膜構成且藉由超級循環的數目(z)控制了膜厚度。
藉由以下對所述膜進行了表徵:利用創意設計工程(CDE)萊斯邁普(Resmap)168藉由四點探針量測(four point probe measurement)對薄片電阻進行了表徵,利用高階布魯克D8(Brüker D8 Advance)藉由x射線反射率(x-ray reflectivity,XRR)對厚度、粗糙度及密度進行了表徵,藉由森泰科(Sentech)SE800橢圓偏振計對厚度進行了表徵,利用使用經單色化AlKα 的PHI量子(Quantum)2000藉由x射線光電子光譜(XPS)對構成進行了表徵(由新澤西東溫莎EAG實驗室(EGA labs, East Windsor, New Jersey)作出的分析),藉由利用CuKα 輻射的帕納科專家級專業多用途粉末繞射計(PANalytical X’Pert Pro MPD)X射線繞射計進行x射線繞射(XRD)。所述原子層沈積製程導致膜含有驚人數量的氟。x射線光電子光譜及x射線繞射分析顯示所述膜為TiF3 與TiN的混合物。所述膜為透明的且為導電性的。表1總結具有不同TiF4 /還原子循環比率的製程的構成、電阻率、粗糙度、密度及生長速率。表1 . 具有不同脈衝速率的TiF4 +Si2 H6 /Si3 H8 +NH3 的Rs及橢圓偏振資料。
藉由如本文中所揭露的原子層沈積製程沈積了一定數目的包含嵌於TiN中的TiF3 粒子的複合膜(TiF3 :TiN膜)。所述膜包含嵌於TiN中的TiF3 相粒子。所述膜是藉由超級循環方法使用以下基礎超級循環而沈積,所述基礎超級循環包括: TiF4 子循環與還原子循環:z[x(TiF4 )+y(Si2 H6 +NH3 )]與z[x(TiF4 )+y(Si3 H8 +NH3 )]。反應器溫度為370℃。
基礎製程參數為:TiF4 ;3秒脈衝/5秒清除,NH3 ;10秒脈衝/5秒清除,Si2 H6 /Si3 H8 ;1秒脈衝/5秒清除。
將所述膜沈積於具有天然氧化物的矽基板上。藉由改變TiF4 /還原子循環比率(x/y)更改了膜構成及每一膜中的TiF3 相粒子的大小,且藉由超級循環的數目(z)控制了膜厚度。沈積了具有介於約2 nm至50 nm範圍內的TiF3 相粒子的薄膜。
使用明視場電子顯微學及暗視場電子顯微學二者對薄膜的結構進行了表徵。圖6是示出位於矽基板上的所沈積TiF3 :TiN膜的結構的明視場橫截面透射式電子顯微鏡影像。圖7A及圖7B分別示出包含嵌於TiN膜中的TiF3 粒子的TiN膜的明視場透射式電子顯微鏡影像及暗視場透射式電子顯微鏡影像。所述膜是藉由超級循環方法使用以下基礎超級循環而沈積,所述基礎超級循環包括: TiF4 子循環與還原子循環:z[x(TiF4 )+y(Si2 H6 +NH3 )]。嵌於TiN中且被TiN環繞的TiF3 粒子在圖7A所示的明視場透射式電子顯微鏡影像中可見為暗斑。嵌於TiN中且被TiN環繞的TiF3 粒子在圖7B所示的暗視場透射式電子顯微鏡影像中可見為白斑。本樣本中的TiF3 粒子的大小介於4.6 nm至14.8 nm範圍內。藉由四點探針量測對所述膜的薄片電阻進行了表徵且發現所述薄片電阻為263 Ω/sq。
藉由使用透射式電子顯微鏡(TEM)執行的X射線能量散佈光譜(EDS)對所述薄膜的構成進行了表徵。圖8示出樣本TiN膜中元素態分佈的透射式電子顯微鏡/X射線能量散佈光譜橫截面影像,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑且使用NH3 作為氮反應物而沈積的TiF3 粒子。該些影像證實存在嵌於TiN矩陣中的相對離散的TiF3 晶體。
對樣本膜施行了x射線光電子光譜分析且所述分析示出所述TiF3 :TiN薄膜在所述膜的表面附近具有較高的氟含量。圖9示出樣本TiN膜的x射線光電子光譜深度輪廓,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑且使用NH3 作為氮反應物而沈積的TiF3 粒子。
亦藉由超級循環方法使用以下基礎超級循環沈積了薄膜,所述基礎超級循環包括:TiF4 子循環與還原子循環:z[x(TiF4 )+y(Si2 H6 +NH3 )]。使用明視場電子顯微學及暗視場電子顯微學二者對所述薄膜的結構進行了表徵。圖10A是包含嵌於TiN膜中的TiF3 粒子的TiN膜的暗視場透射式電子顯微鏡影像。嵌於TiN中且被TiN環繞的TiF3 粒子可見為白斑且大小介於15.1 nm至48 nm範圍內。圖10B示出所述樣本膜的橫截面明視場影像。所述影像示出所述樣本膜的各別TiF3 粒子的尺寸。亦藉由四點探針量測來表徵所述膜的薄片電阻且發現所述薄片電阻為141 kΩ/sq。
藉由使用透射式電子顯微鏡(TEM)執行的X射線能量散佈光譜(EDS)對所述薄膜的構成進行了表徵。圖11示出樣本TiN膜中元素態分佈的透射式電子顯微鏡/X射線能量散佈光譜橫截面影像,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑且使用NH3 作為氮反應物而沈積的TiF3 粒子。該些影像證實存在嵌於TiN矩陣中的相對離散的TiF3 晶體。
對所述樣本膜施行了x射線繞射分析且所述分析證實在所述膜中存在單獨的TiF3 及TiN結晶學相。圖12示出樣本TiN膜的x射線繞射圖案,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑且使用NH3 作為氮反應物而沈積的TiF3 粒子。
對所述樣本膜施行了x射線光電子光譜分析且所述分析示出TiF3 :TiN薄膜在矽基板介面附近具有較高的氮含量。圖13示出樣本TiN膜的x射線光電子光譜深度輪廓,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑且使用NH3 作為氮反應物而沈積的TiF3 粒子。
藉由超級循環方法使用以下基礎超級循環在矽晶圓上沈積了30 nm厚的樣本TiF3 :TiN膜,所述基礎超級循環包括: TiF4 子循環與還原子循環:z[x(TiF4 )+y(Si2 H6 +NH3 )]與z[x(TiF4 )+y(Si3 H8 +NH3 )]。反應器溫度為370℃。藉由使膜表面接觸被放置成間隔開幾十公分的多個福祿克(Fluke)189伏特計電極分析所述膜的光活性性(photoactivity)。接著將紅色雷射指示器瞄準所述膜的表面以藉此生成照明斑(illumination spot)。圖14A及圖14B示出此光伏打分析的示意圖。據發現靠近所述雷射指示器照明斑的電極獲得了負電荷。視所述照明斑的定位而定,所述電極之間的電位差介於幾百萬伏特至約100百萬伏特範圍內。圖14A至圖14B示出伏特計電極的極性隨所述照明斑在所述膜上的位置的改變而改變。
使用藉由超級循環方法使用以下基礎超級循環而沈積的TiF3 :TiN薄膜製備了樣本光伏打電池,所述基礎超級循環包括: TiF4 子循環與還原子循環:z[x(TiF4 )+y(Si2 H6 +NH3 )]與z[x(TiF4 )+y(Si3 H8 +NH3 )]。圖15A示出包含p+ 型矽的光伏打電池的示意圖,所述光伏打電池具有位於45 nm厚的TiN底部電極與40 nm厚的TiF3 :TiN頂部電極之間的20 nm厚的上熱氧化物層及下熱氧化物層。所述電池具有近似4 cm2 的表面面積。將所述電池暴露至正常辦公室燈光,此導致50 mV至150 mV的開路電壓。當暴露至正常辦公室燈光時,所述電池向120 Ω電阻器中生成了2.5 µA。
圖15B示出包含p+ 型矽的光伏打電池的示意圖,所述光伏打電池具有位於45 nm厚的TiN底部電極與60 nm厚的TiF3 :TiN頂部電極之間的上天然氧化物層及下天然氧化物層。同樣,所述電池具有近似4 cm2 的表面面積。藉由鹵素燈(歐司朗240 V、50 W燈泡(Osram 50 W, 240 V bulb),2800 K)對所述電池進行照明,此導致如藉由福祿克189伏特計所測得的約100 mV至450 mV的開路電壓。
儘管已論述了某些實施例及實例,然而熟習此項技術者將理解申請專利範圍的範圍超出所具體揭露的實施例而延伸至其他替代實施例及/或使用以及明顯潤飾及其等效形式。
100、101‧‧‧超級循環
200、201、300、301‧‧‧子循環
210、211、220、221、310、311、320、321、330、331、340、341‧‧‧反應室
250、251、350、351‧‧‧步驟
藉由閱讀詳細說明及附圖將更佳地理解本發明,所述附圖意欲進行說明而非限制本發明,且在附圖中: 圖1是說明用於沈積根據某些實施例的包含介電性過渡金屬化合物相及導電性或半導電性過渡金屬化合物相的薄膜的原子層沈積製程的流程圖。 圖2是說明用於沈積根據一個實施例的TiF3 /TiN膜的原子層沈積製程的流程圖。 圖3示出根據本發明一個實施例而形成的膜的X射線繞射(X-ray diffraction,XRD)圖案。 圖4是對根據本發明一個實施例而形成的膜的氧化行為的分析。 圖5是對根據本發明一個實施例而形成的膜的氧化行為的附加分析。 圖6示出TiN膜的暗視場透射式電子顯微鏡(transmission electron microscope,TEM)影像及橫截面透射式電子顯微鏡影像,所述TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖7A及圖7B示出TiN膜的明視場透射式電子顯微鏡影像及暗視場透射式電子顯微鏡影像,所述TiN膜包含嵌於其中的TiF3 粒子。 圖8示出樣本TiN膜中元素態分佈的X射線能量散佈光譜(energy-dispersive X-ray spectroscopy,EDS)影像,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖9示出樣本TiN膜的X射線光電子光譜(X-ray photoelectron spectroscopy,XPS)深度輪廓,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖10A及圖10B示出TiN膜的暗視場透射式電子顯微鏡影像及橫截面透射式電子顯微鏡影像,所述TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖11示出樣本TiN膜中元素態分佈的X射線能量散佈光譜影像,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖12示出樣本TiN膜的X射線繞射圖案,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖13示出樣本TiN膜的X射線光電子光譜深度輪廓,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖14A及圖14B示出樣本TiN膜的光伏打分析的示意圖,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 /Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。 圖15A及圖15B示出具有包含樣本TiN膜的頂部電極的光伏打電池的示意圖,所述樣本TiN膜包含嵌於其中並且使用TiF4 、Si2 H6 /Si3 H8 作為還原劑及使用NH3 作為氮反應物而沈積的TiF3 粒子。
100‧‧‧超級循環
200、300‧‧‧子循環
210、220、310、320、330、340‧‧‧反應室
250、350‧‧‧步驟

Claims (31)

  1. 一種用於沈積層於光子裝置中的氣相沈積製程,其中所述層包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。
  2. 如申請專利範圍第1項所述的用於沈積層於光子裝置中的氣相沈積製程,其中所述氣相沈積製程包括多個超級循環,所述多個超級循環中的每一超級循環包括介電性過渡金屬化合物子循環及還原子循環,其中, 所述介電性過渡金屬化合物子循環包括使所述基板接觸氣相介電性過渡金屬化合物;且 所述還原子循環包括使所述基板交替地並依序地接觸還原劑及氮反應物。
  3. 如申請專利範圍第2項所述的用於沈積層於光子裝置中的氣相沈積製程,其中所述介電性過渡金屬化合物包括TiF4
  4. 如申請專利範圍第2項所述的用於沈積層於光子裝置中的氣相沈積製程,其中所述還原劑包括矽烷或硼烷。
  5. 如申請專利範圍第2項所述的用於沈積層於光子裝置中的氣相沈積製程,其中所述氮反應物包括氨水、N2 H4 、氮原子、含氮電漿及氮自由基中的至少一者。
  6. 一種裝置,所述裝置包括包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的層。
  7. 如申請專利範圍第6項所述的裝置,其中所述裝置是光子裝置。
  8. 如申請專利範圍第6項所述的光子裝置,其中所述介電性過渡金屬化合物相由直徑為約0.1 nm至約500 nm的粒子組成。
  9. 如申請專利範圍第6項所述的光子裝置,其中所述導電性或半導電性過渡金屬化合物相環繞離散的介電性過渡金屬化合物相粒子。
  10. 如申請專利範圍第6項所述的光子裝置,其中所述層包含光活性材料;且 其中所述層吸收入射至所述光子裝置的表面的光子的輻射能,以在電路中生成電能。
  11. 如申請專利範圍第10項所述的光子裝置,其中所述層包含TiF3 與TiN的混合物。
  12. 如申請專利範圍第6項所述的光子裝置,其中所述層利用電路中的電能來生成光子。
  13. 如申請專利範圍第12項所述的光子裝置,其中所述層包含TiF3 與TiN的混合物。
  14. 如申請專利範圍第6項所述的光子裝置,其中所述包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的層用作光子透明層,且 其中所述光子透明層使入射於所述光子透明層的表面上的光子能夠穿過所述光子透明層而到達光活性層。
  15. 如申請專利範圍第14項所述的光子裝置,其中所述光子透明層包含TiF3 與TiN的混合物。
  16. 如申請專利範圍第6項所述的光子裝置,其中所述包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的層用作用於收集光子激發電荷載子的電荷收集組件。
  17. 如申請專利範圍第16項所述的光子裝置,其中所述電荷收集組件包含TiF3 在TiN中的混合物。
  18. 如申請專利範圍第6項所述的光子裝置,其中所述包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相的層用作波導組件,所述波導組件能夠將入射於所述光子裝置的第一部分上的光子通量的特性轉移至所述光子裝置的第二部分。
  19. 如申請專利範圍第6項所述的光子裝置,更包括用於收集光子激發電荷載子的電荷收集組件,其中所述電荷收集組件包含氧化銦錫、經摻雜氧化錫、氧化鋅、經摻雜氧化鋅、導電性聚合物、金屬網格、碳奈米管、石墨烯或奈米導線薄膜中的至少一者。
  20. 如申請專利範圍第6項所述的光子裝置,其中所述介電性過渡金屬化合物相是過渡金屬氧化物、過渡金屬氟化物或過渡金屬氟氧化物。
  21. 如申請專利範圍第20項所述的光子裝置,其中所述介電性過渡金屬化合物相包含TiF3
  22. 如申請專利範圍第6項所述的光子裝置,其中所述導電性或半導電性過渡金屬化合物相是元素態過渡金屬、過渡金屬的合金、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物或過渡金屬碳化物。
  23. 如申請專利範圍第22項所述的光子裝置,其中所述導電性或半導電性過渡金屬化合物相包含TiN。
  24. 如申請專利範圍第6項所述的光子裝置,更包括光活性組件,所述光活性組件包含Si、SiGe、Ge、CdTe、GaAs、GaSb、InGaAs或某種其他半導電性材料中的至少一者。
  25. 一種光活性材料,包含嵌於導電性或半導電性過渡金屬化合物相中的介電性過渡金屬化合物相。
  26. 如申請專利範圍第25項所述的光活性材料,其中所述光活性材料吸收光子的輻射能,以在電路中生成電能。
  27. 如申請專利範圍第25項所述的光活性材料,其中所述光活性材料是光子透明導電性材料。
  28. 如申請專利範圍第25項所述的光活性材料,其中所述光活性材料是波導材料;且 其中所述波導材料能夠將入射於所述波導材料的第一部分上的光子通量的特性轉移至所述波導材料的第二部分。
  29. 如申請專利範圍第25項所述的光活性材料,其中所述光活性材料包含TiF3 與TiN的混合物。
  30. 如申請專利範圍第27項所述的光子透明導電性材料,其中所述光子透明導電性材料包含TiF3 與TiN的混合物。
  31. 如申請專利範圍第28項所述的波導材料,其中所述波導材料包含TiF3 與TiN的混合物。
TW105133132A 2015-10-16 2016-10-14 光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料 TWI713607B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/885,721 2015-10-16
US14/885,721 US9941425B2 (en) 2015-10-16 2015-10-16 Photoactive devices and materials

Publications (2)

Publication Number Publication Date
TW201732066A true TW201732066A (zh) 2017-09-16
TWI713607B TWI713607B (zh) 2020-12-21

Family

ID=58518487

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105133132A TWI713607B (zh) 2015-10-16 2016-10-14 光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料
TW109140192A TWI746269B (zh) 2015-10-16 2016-10-14 在基板上形成光子裝置的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109140192A TWI746269B (zh) 2015-10-16 2016-10-14 在基板上形成光子裝置的方法

Country Status (6)

Country Link
US (3) US9941425B2 (zh)
JP (1) JP6616500B2 (zh)
KR (2) KR102481449B1 (zh)
CN (2) CN108352397B (zh)
TW (2) TWI713607B (zh)
WO (1) WO2017066059A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (195)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6037041B2 (ja) 1977-08-08 1985-08-23 エクソン リサ−チ アンド エンヂニアリング コムパニ− 元素の硫黄の製造
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4892788A (en) * 1988-03-28 1990-01-09 Air Products And Chemicals, Inc. Graphite brazing fixture coated with composite layers of titanium carbide and titanium nitride
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
FR2694451B1 (fr) * 1992-07-29 1994-09-30 Asulab Sa Cellule photovoltaïque.
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
WO1996017104A1 (en) 1994-11-30 1996-06-06 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
EP0800592B1 (de) 1994-12-27 1998-08-26 Siemens Aktiengesellschaft Verfahren zum herstellen von mit bor dotiertem, einkristallinem siliciumcarbid
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
DE19733261C2 (de) 1997-08-01 2001-07-19 Dornier Gmbh Lindauer Rotations-Kantendreher mit direkt elektromagnetischem Antrieb für Webmaschinen
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
PL190391B1 (pl) 1999-02-11 2005-12-30 Hardide Ltd Materiał na powłoki odporne na ścieranie, erozję i korozję, materiał obejmujący podłoże z osadzoną powłoką, powłoka zawierająca wolfram i węglik wolframu, sposób wytwarzania węglików wolframu i sposób osadzania powłok
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
JP4646346B2 (ja) 2000-01-28 2011-03-09 パナソニック株式会社 電子デバイスの製造方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
DE10296448T5 (de) 2001-03-20 2004-04-15 Mattson Technology Inc., Fremont Verfahren zum Abscheiden einer Schicht mit einer verhältnismässig hohen Dielektrizitätskonstante auf ein Substrat
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
KR101013231B1 (ko) 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
US20030054628A1 (en) 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
DE10223706A1 (de) 2002-05-28 2003-12-18 Nat Taiwan University Taipeh T Lichtemissionsdiode
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006079159A (ja) 2004-09-07 2006-03-23 Masui Yoshiharu 防犯装置
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
KR100705397B1 (ko) 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
GB2472541B (en) 2005-08-12 2011-03-23 Nanoco Technologies Ltd Nanoparticles
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8054669B2 (en) 2008-08-12 2011-11-08 International Business Machines Corporation Non-volatile programmable optical element employing F-centers
US8399820B2 (en) * 2009-06-23 2013-03-19 Sensors Unlimited, Inc. Multicolor detectors and applications thereof
CN101964291B (zh) 2009-07-24 2012-03-28 清华大学 透射电镜微栅及其制备方法
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US9843041B2 (en) 2009-11-11 2017-12-12 Zenlabs Energy, Inc. Coated positive electrode materials for lithium ion batteries
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
EP2850627B1 (en) * 2012-05-18 2016-04-06 Isis Innovation Limited Optoelectronic device comprising porous scaffold material and perovskites
JP2015528011A (ja) * 2012-07-20 2015-09-24 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Ald/cvdシリコン含有膜用のオルガノシラン前駆体
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
JP6069157B2 (ja) 2013-09-30 2017-02-01 Necパーソナルコンピュータ株式会社 情報処理装置、制御方法、及びプログラム
WO2015056384A1 (ja) 2013-10-18 2015-04-23 三菱電機株式会社 文字列表示装置
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
CN103920513B (zh) * 2014-04-29 2015-10-21 山东大学 Ti3+:TiO2/TiF3复合半导体光催化剂及其制备方法
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI807195B (zh) * 2019-06-28 2023-07-01 美商應用材料股份有限公司 用於改良高k可靠度之摻氟氮化物膜

Also Published As

Publication number Publication date
JP6616500B2 (ja) 2019-12-04
WO2017066059A1 (en) 2017-04-20
US9941425B2 (en) 2018-04-10
US20170110601A1 (en) 2017-04-20
KR20220084440A (ko) 2022-06-21
CN115896750A (zh) 2023-04-04
CN108352397A (zh) 2018-07-31
KR102409503B1 (ko) 2022-06-15
KR102481449B1 (ko) 2022-12-26
US10861986B2 (en) 2020-12-08
KR20180070615A (ko) 2018-06-26
TW202113123A (zh) 2021-04-01
CN108352397B (zh) 2023-01-10
US20210074865A1 (en) 2021-03-11
TWI746269B (zh) 2021-11-11
US11362222B2 (en) 2022-06-14
US20180212077A1 (en) 2018-07-26
TWI713607B (zh) 2020-12-21
JP2018536279A (ja) 2018-12-06

Similar Documents

Publication Publication Date Title
US11362222B2 (en) Photoactive devices and materials
Hao et al. Atomic layer deposition of stable 2D materials
US20230227977A1 (en) Synthesis and use of precursors for ald of molybdenum or tungsten containing thin films
TWI716346B (zh) 原子層沈積方法及氟化物薄膜
JP5065592B2 (ja) ヘテロ接合光電池
Iivonen et al. Atomic layer deposition of photoconductive Cu2O thin films
KR20160093375A (ko) 금속 칼코게나이드 박막의 제조 방법
Bozheyev et al. Preparation of highly (001)‐oriented photoactive tungsten diselenide (WSe2) films by an amorphous solid–liquid‐crystalline solid (aSLcS) rapid‐crystallization process
Pakkala et al. Atomic layer deposition
Wang et al. N doped ZnO (N: ZnO) film prepared by reactive HiPIMS deposition technique
US8741386B2 (en) Atomic layer deposition of quaternary chalcogenides
Gupta et al. Evaluating the role of precursor concentration in facile conformal coating of sub-micrometer thick Cu2ZnSnS4 films using non-toxic ethanol based solutions
Kartha Growth and characterization of cuprous oxide absorbers for photovoltaics
KR102673965B1 (ko) 불소-함유 도전성 막들
Tian et al. Characterization of nitrogen-doped TiO 2 thin films for photovoltaic applications
Roozeboom et al. Atomic Layer Deposition Applications 10
Mascher Nanocrystal Embedded Dielectrics for Electronic and Photonic Devices
Lim et al. Thin Films Division Room Hall B-Session TF-ThP Thin Film Poster Session