JP2006253634A - 基板の処理方法、電子デバイスの製造方法及びプログラム - Google Patents

基板の処理方法、電子デバイスの製造方法及びプログラム Download PDF

Info

Publication number
JP2006253634A
JP2006253634A JP2005278843A JP2005278843A JP2006253634A JP 2006253634 A JP2006253634 A JP 2006253634A JP 2005278843 A JP2005278843 A JP 2005278843A JP 2005278843 A JP2005278843 A JP 2005278843A JP 2006253634 A JP2006253634 A JP 2006253634A
Authority
JP
Japan
Prior art keywords
insulating film
dielectric constant
low dielectric
layer
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005278843A
Other languages
English (en)
Other versions
JP2006253634A5 (ja
JP4860219B2 (ja
Inventor
Eiichi Nishimura
栄一 西村
Kenya Iwasaki
賢也 岩▲崎▼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005278843A priority Critical patent/JP4860219B2/ja
Priority to KR1020060013738A priority patent/KR100830736B1/ko
Priority to TW095104740A priority patent/TWI456691B/zh
Priority to US11/353,132 priority patent/US7682517B2/en
Priority to CNB2006100074778A priority patent/CN100517602C/zh
Priority to EP06002925A priority patent/EP1691408A3/en
Publication of JP2006253634A publication Critical patent/JP2006253634A/ja
Publication of JP2006253634A5 publication Critical patent/JP2006253634A5/ja
Application granted granted Critical
Publication of JP4860219B2 publication Critical patent/JP4860219B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04CSTRUCTURAL ELEMENTS; BUILDING MATERIALS
    • E04C2/00Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels
    • E04C2/30Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure
    • E04C2/32Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material
    • E04C2/322Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material with parallel corrugations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04BGENERAL BUILDING CONSTRUCTIONS; WALLS, e.g. PARTITIONS; ROOFS; FLOORS; CEILINGS; INSULATION OR OTHER PROTECTION OF BUILDINGS
    • E04B1/00Constructions in general; Structures which are not restricted either to walls, e.g. partitions, or floors or ceilings or roofs
    • E04B1/38Connections for building structures in general
    • E04B1/61Connections for building structures in general of slab-shaped building elements with each other
    • E04B1/6108Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together
    • E04B1/612Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces
    • E04B1/6125Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface
    • E04B1/6137Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface the connection made by formlocking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Civil Engineering (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる電子デバイスの製造方法を提供する。
【解決手段】 ウエハWの表面に形成されたキャパシタ105上に、SiOC系の低誘電率層間絶縁膜材料、若しくは、有機ポリマー系の塗布型低誘電率層間絶縁膜材料からなる低誘電率層間絶縁膜106を成膜し(A)、開口部107を有するフォトレジスト層108を形成し(B)、低誘電率層間絶縁膜106をRIE処理によってエッチングしてビアホール109を加工成形し(C)、疑似SiO層110によって覆われたビアホール109の表面を所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し(D)、生成物111が生成されたビアホール109の表面を所定の温度に加熱する(E)。
【選択図】 図6

Description

本発明は、基板の処理方法、電子デバイスの製造方法及びプログラムに関し、特に、表面に低誘電率絶縁膜が成膜された電子デバイスの製造方法に関する。
シリコンウエハ(以下、単に「ウエハ」という。)から電子デバイスを製造する電子デバイスの製造方法では、ウエハの表面に導電膜や絶縁膜を成膜するCVD(Chemical Vapor Deposition)等の成膜工程、成膜された導電膜や絶縁膜上に所望のパターンのフォトレジスト層を形成するリソグラフィ工程、及びフォトレジスト層をマスクとして用いてプラズマによって導電膜をゲート電極に成形し、或いは絶縁膜に配線溝やコンタクトホールを成形するエッチング工程が順次繰り返して実行される。
したがって、上記電子デバイスの製造方法によって製造された電子デバイスは、電極や配線としての導電膜の間に絶縁膜が配された構成を有する。通常、このような絶縁膜を層間絶縁膜と称する。
近年、電子デバイスではより高度な集積度の実現が求められており、これに対応して、配線溝の要求加工寸法はより小さくなり、隣接する配線同士の要求間隔もより狭くなっている。配線溝の加工寸法が小さくなり、配線間隔が狭くなると、配線の寄生抵抗R及び寄生容量Cが増大し、これらに起因する配線遅延(RC遅延)が発生して配線を伝わる信号の伝達速度が低下する。配線遅延は寄生抵抗Rや寄生容量Cの増大に応じて増大するため、配線遅延を解消するためには寄生抵抗Rや寄生容量Cを低下させる必要がある。
ここで、寄生容量Cを低下させる手法としては層間絶縁膜の比誘電率を低下させることが効果的であり、そのため、数々の低比誘電率(Low−κ)の層間絶縁膜が開発されて
いる。
一般に、層間絶縁膜の材料としてはSiOが用いられる。このSiOの比誘電率を低下させる方法としてSiOにフッ素をドープする方法が知られているが、ドープされたフッ素がSiOから遊離する傾向があるため、現在、フッ素の代わりに炭素をドープしたSiOC系の低誘電率層間絶縁膜材料や有機ポリマー系の塗布型低誘電率層間絶縁膜材料、例えば、「SiLK(登録商標、ザ・ダウ・ケミカル・カンパニー)」等が開発されている。ここでは、3.0以下の比誘電率を低誘電率という。また、低誘電率層間絶縁膜に用いられる代表的な材料を以下の表1に示す。
Figure 2006253634
ところが、SiOC系の低誘電率層間絶縁膜や有機ポリマー系の塗布型低誘電率層間絶縁膜では、層間絶縁膜に配線溝等を成形する反応性イオンエッチング(Reactive Ion Etching)工程や層間絶縁膜上に形成されたフォトレジスト層を除去するアッシング工程などのプラズマ処理により、その露出した表面に炭素濃度が低下した表面損傷層(ダメージ層)が形成される(例えば、非特許文献1参照。)。この表面損傷層はSiO(native oxide)に似た特性を有し、後工程として実行される薬液(HFやNHF)を用いたウェットエッチング工程において容易に溶融し、また、熱処理工程において体積収縮を起こすため、表面損傷層を発生させないエッチング工程やアッシング工程を実行するか、発生した表面損傷層が導電膜等で覆われる前に当該表面損傷層を除去する必要がある。
表面損傷層を発生させないアッシング工程としては、O(酸素)プラズマの代わりにHO(水)プラズマを用いる工程が知られており(例えば、非特許文献2参照。)、表面損傷層の除去方法としては、有機系溶媒及びNHFを用いた薬液処理による除去方法(例えば、特許文献1参照。)が知られている。
D. Shamiryan, "Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma", J. Vac. Sci. Technol. B20(5), American Vacuum Society, 2002年9月, p.1928 依田, 「高性能配線技術」, 東芝レビュー Vol. 59 No. 8, 2004年, p.18 特開2002−303993号公報
しかしながら、プラズマを用いる工程では、高度な集積度の電子デバイスにプラズマによって高エネルギーが付与されるため、微細な配線が損傷するおそれがある。
そこで、プラズマを使用しない薬液処理による表面損傷層除去方法を用いるのが好ましいが、薬液処理では、表面損傷層が薬液に接触する間、表面損傷層が除去され続けるため、表面損傷層の除去量の制御が困難であり、さらに薬液中の水成分により、表面損傷層除去後の低誘電率層間絶縁膜の表面にOH基(水酸基)が配されて該表面が親水性となり、その結果、吸湿によって配線信頼性が低下するという問題がある。
本発明の目的は、表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる基板の処理方法、電子デバイスの製造方法及びプログラムを提供することにある。
上記目的を達成するために、請求項1記載の基板の処理方法は、炭素を含む低誘電率絶縁膜を有し、該低誘電率絶縁膜は炭素濃度が低下した表面損傷層を有する基板の処理方法であって、前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露ステップと、前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱ステップとを有することを特徴とする。
請求項2記載の基板の処理方法は、請求項1記載の基板の処理方法において、前記表面損傷層暴露ステップは、前記基板にプラズマレスエッチング処理を施すことを特徴とする。
請求項3記載の基板の処理方法は、請求項1記載の基板の処理方法において、前記表面損傷層暴露ステップは、前記基板に乾燥洗浄処理を施すことを特徴とする。
請求項4記載の基板の処理方法は、請求項1乃至3のいずれか1項に記載の基板の処理方法において、前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比は1〜1/2であり、前記所定の圧力は6.7×10−2〜4.0Paであることを特徴とする。
請求項5記載の基板の処理方法は、請求項1乃至4のいずれか1項に記載の基板の処理方法において、前記所定の温度は80〜200℃であることを特徴とする。
請求項6記載の基板の処理方法は、請求項1乃至5のいずれか1項に記載の基板の処理方法において、前記表面損傷層を有する低誘電率絶縁膜の形状を測定し、該測定された形状に応じて前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比、及び前記所定の圧力の少なくとも1つを決定する生成物生成条件決定ステップを、さらに有することを特徴とする。
上記目的を達成するために、請求項7記載の基板の処理方法は、少なくともフォトレジスト膜又はハードマスク膜からなるマスク膜を有し、該マスク膜は表面損傷層を有する基板の処理方法であって、前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露ステップと、前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱ステップとを有することを特徴とする。
上記目的を達成するために、請求項8記載の電子デバイスの製造方法は、半導体基板上に形成された下部電極、容量絶縁膜及び上部電極からなるキャパシタ上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記上部電極に達する接続孔を加工成形するプラズマ加工成形ステップと、前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露ステップと、前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱ステップとを有することを特徴とする。
上記目的を達成するために、請求項9記載の電子デバイスの製造方法は、半導体基板上に炭素を含む低誘電率絶縁膜を成膜し、該低誘電率絶縁膜上に前記低誘電率絶縁膜より少なくとも炭素濃度が低い他の絶縁膜を成膜して層間絶縁膜を形成する層間絶縁膜形成ステップと、プラズマ処理により前記層間絶縁膜に配線溝を加工成形するプラズマ加工成形ステップと、少なくとも前記低誘電率絶縁膜における配線溝の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する配線溝表面暴露ステップと、前記混合気体の雰囲気に暴露された配線溝の表面を所定の温度に加熱する配線溝表面加熱ステップと、前記他の絶縁膜を除去する他の絶縁膜除去ステップと、前記配線溝に導電材料を導入して配線を形成する配線形成ステップとを有することを特徴とする。
請求項10記載の電子デバイスの製造方法は、請求項9記載の電子デバイスの製造方法において、前記他の絶縁膜上にフォトレジスト層を形成するフォトレジスト層形成ステップと、該形成されたフォトレジスト層を除去するアッシングステップとを有し、該アッシングステップでは、前記フォトレジスト層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露し、前記混合気体の雰囲気に暴露された前記フォトレジスト層を所定の温度に加熱することを特徴とする。
上記目的を達成するために、請求項11記載の電子デバイスの製造方法は、半導体基板上に珪素を含む導電膜を成膜する導電膜成膜ステップと、該成膜された導電膜上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記導電膜に達する接続孔を加工成形するプラズマ加工成形ステップと、前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露ステップと、前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱ステップと、前記フォトレジスト層を除去するアッシングステップと、前記接続孔に導電材料を導入して配線を形成する配線形成ステップとを有することを特徴とする。
上記目的を達成するために、請求項12記載のプログラムは、炭素を含む低誘電率絶縁膜を有し、該低誘電率絶縁膜は炭素濃度が低下した表面損傷層を有する基板の処理方法をコンピュータに実行させるプログラムであって、前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露モジュールと、前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱モジュールとを有することを特徴とする。
上記目的を達成するために、請求項13のプログラムは、少なくともフォトレジスト膜又はハードマスク膜からなるマスク膜を有し、該マスク膜は表面損傷層を有する基板の処理方法をコンピュータに実行させるプログラムであって、前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露モジュールと、前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱モジュールとを有することを特徴とする。
上記目的を達成するために、請求項14記載のプログラムは、電子デバイスの製造方法をコンピュータに実行させるプログラムであって、半導体基板上に形成された下部電極、容量絶縁膜及び上部電極からなるキャパシタ上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜モジュールと、前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成モジュールと、該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記上部電極に達する接続孔を加工成形するプラズマ加工成形モジュールと、前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露モジュールと、前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱モジュールとを有することを特徴とする。
上記目的を達成するために、請求項15記載のプログラムは、電子デバイスの製造方法をコンピュータに実行させるプログラムであって、半導体基板上に炭素を含む低誘電率絶縁膜を成膜し、該低誘電率絶縁膜上に前記低誘電率絶縁膜より少なくとも炭素濃度が低い他の絶縁膜を成膜して層間絶縁膜を形成する層間絶縁膜形成モジュールと、プラズマ処理により前記層間絶縁膜に配線溝を加工成形するプラズマ加工成形モジュールと、少なくとも前記低誘電率絶縁膜における配線溝の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する配線溝表面暴露モジュールと、前記混合気体の雰囲気に暴露された配線溝の表面を所定の温度に加熱する配線溝表面加熱モジュールと、前記他の絶縁膜を除去する他の絶縁膜除去モジュールと、前記配線溝に導電材料を導入して配線を形成する配線形成モジュールとを有することを特徴とする。
上記目的を達成するために、請求項16記載のプログラムは、電子デバイスの製造方法をコンピュータに実行させるプログラムであって、半導体基板上に珪素を含む導電膜を成膜する導電膜成膜モジュールと、該成膜された導電膜上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜モジュールと、前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成モジュールと、該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記導電膜に達する接続孔を加工成形するプラズマ加工成形モジュールと、前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露モジュールと、前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱モジュールと、前記フォトレジスト層を除去するアッシングモジュールと、前記接続孔に導電材料を導入して配線を形成する配線形成モジュールとを有することを特徴とする。
請求項1記載の基板の処理方法及び請求項12記載のプログラムによれば、炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱される。炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露されると、表面損傷層及び混合気体に基づいた生成物が生成され、上記混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱されると、上記生成された生成物が加熱されて気化する。すなわち、薬液を用いずに表面損傷層を除去することができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる。
請求項2記載の基板の処理方法によれば、基板にプラズマレスエッチング処理が施されるので、基板から製造される電子デバイスにおいて、ゲート電極に電荷が蓄積されないため、ゲート酸化膜の劣化や破壊を防止することができ、エネルギー粒子が電子デバイス(素子)に照射されることがないため、半導体において打ち込みダメージ(結晶欠陥)の発生を防止することができ、さらに、プラズマに起因する予期せぬ化学反応が起こらないため、不純物の発生を防止することができ、これにより、基板に処理を施す処理室が汚染されるのを防止することができる。
請求項3記載の基板の処理方法によれば、基板表面の物性の変化を抑制することができ、もって配線信頼性の低下を確実に防止することができる。
請求項4記載の基板の処理方法によれば、混合気体におけるアンモニアに対する弗化水素の体積流量比は1〜1/2であり、上記所定の圧力は6.7×10−2〜4.0Paであるので、生成物の生成を助長することができ、もって表面損傷層を確実に除去することができる。
請求項5記載の基板の処理方法によれば、所定の温度は80〜200℃であるので、生成物の気化を促進することができ、もって表面損傷層を確実に除去することができる。
請求項6記載の基板の処理方法によれば、表面損傷層を有する低誘電率絶縁膜の形状が測定され、該測定された形状に応じて混合気体におけるアンモニアに対する弗化水素の体積流量比、及び上記所定の圧力の少なくとも1つが決定されるので、表面損傷層の除去量の制御を正確に行うことができ、もって基板の表面処理の効率を向上することができる。

請求項7記載の基板の処理方法及び請求項13記載のプログラムによれば、マスク膜の表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱される。炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露されると、表面損傷層及び混合気体に基づいた生成物が生成され、上記混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱されると、上記生成された生成物が加熱されて気化する。すなわち、薬液を用いずに表面損傷層を除去することができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる。
請求項8記載の電子デバイスの製造方法及び請求項14記載のプログラムによれば、低誘電率絶縁膜における接続孔の加工成形においてプラズマ処理に起因して発生する炭素濃度が低下した表面損傷層で覆われた接続孔の表面が、所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露された接続孔の表面が所定の温度に加熱される。炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露されると、表面損傷層及び混合気体に基づいた生成物が生成され、上記混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱されると、上記生成された生成物が加熱されて気化する。すなわち、薬液を用いずに接続孔の表面における表面損傷層を除去することができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、接続孔の表面における表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる。
請求項9記載の電子デバイスの製造方法及び請求項15記載のプログラムによれば、層間絶縁膜における配線溝の加工成形においてプラズマ処理に起因して発生する炭素濃度が低下した表面損傷層で覆われた低誘電率絶縁膜における配線溝の表面が、所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露された配線溝の表面が所定の温度に加熱される。炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露されると、表面損傷層及び混合気体に基づいた生成物が生成され、上記混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱されると、上記生成された生成物が加熱されて気化する。すなわち、薬液を用いずに低誘電率絶縁膜における配線溝の表面における表面損傷層を除去することができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、低誘電率絶縁膜における配線溝の表面における表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる。

請求項10記載の電子デバイスの製造方法によれば、他の絶縁膜上に形成されたフォトレジスト層を除去する際、フォトレジスト層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露されたフォトレジスト層が所定の温度に加熱される。これにより、薬液やプラズマを用いずにフォトレジスト層を除去することができる。また、フォトレジスト層の除去量は混合気体のパラメータによって制御することができるため、フォトレジスト層の残渣の発生やフォトレジスト層の下層の不必要なエッチングを防止することができる。
請求項11記載の電子デバイスの製造方法及び請求項16記載のプログラムによれば、低誘電率絶縁膜における接続孔の加工成形においてプラズマ処理に起因して発生する炭素濃度が低下した表面損傷層で覆われた接続孔の表面が、所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露された接続孔の表面が所定の温度に加熱される。炭素濃度が低下した表面損傷層が所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露されると、表面損傷層及び混合気体に基づいた生成物が生成され、上記混合気体の雰囲気に暴露された表面損傷層が所定の温度に加熱されると、上記生成された生成物が加熱されて気化する。すなわち、薬液を用いずに接続孔の表面における表面損傷層を除去することができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、接続孔の表面における表面損傷層の除去量の制御を容易に行うことができると共に、配線信頼性の低下を防止することができる。
以下、本発明の実施の形態について図面を参照しながら説明する。
まず、本発明の実施の形態に係る基板の処理方法について説明する。
図1は、本実施の形態に係る基板の処理方法が適用される基板処理装置の概略構成を示す平面図である。
図1において、基板処理装置10は、電子デバイス用のウエハ(以下、単に「ウエハ」という。)(基板)Wに反応性イオンエッチング(以下、「RIE」という。)処理を施す第1のプロセスシップ11と、該第1のプロセスシップ11と平行に配置され、第1のプロセスシップ11においてRIE処理が施されたウエハWに後述するCOR(Chemical Oxide Removal)処理及びPHT(Post Heat Treatment)処理を施す第2のプロセスシップ12と、第1のプロセスシップ11及び第2のプロセスシップ12がそれぞれ接続された矩形状の共通搬送室としてのローダーユニット13とを備える。
ローダーユニット13には、上述した第1のプロセスシップ11及び第2のプロセスシップ12の他、25枚のウエハWを収容する容器としてのフープ(Front Opening Unified Pod)14がそれぞれ載置される3つのフープ載置台15と、フープ14から搬出されたウエハWの位置をプリアライメントするオリエンタ16と、ウエハWの表面状態を計測する第1及び第2のIMS(Integrated Metrology System、Therma-Wave, Inc.)17,18とが接続されている。
第1のプロセスシップ11及び第2のプロセスシップ12は、ローダーユニット13の長手方向における側壁に接続されると共にローダーユニット13を挟んで3つのフープ載置台15と対向するように配置され、オリエンタ16はローダーユニット13の長手方向に関する一端に配置され、第1のIMS17はローダーユニット13の長手方向に関する他端に配置され、第2のIMS18は3つのフープ載置台15と並列に配置される。
ローダーユニット13は、内部に配置された、ウエハWを搬送するスカラ型デュアルアームタイプの搬送アーム機構19と、各フープ載置台15に対応するように側壁に配置されたウエハWの投入口としての3つのロードポート20とを有する。搬送アーム機構19は、フープ載置台15に載置されたフープ14からウエハWをロードポート20経由で取り出し、該取り出したウエハWを第1のプロセスシップ11、第2のプロセスシップ12、オリエンタ16、第1のIMS17や第2のIMS18へ搬出入する。
第1のIMS17は光学系のモニタであり、搬入されたウエハWを載置する載置台21と、該載置台21に載置されたウエハWを指向する光学センサ22とを有し、ウエハWの表面形状、例えば、表面層の膜厚、及び配線溝やゲート電極等のCD(Critical Dimension)値を測定する。第2のIMS18も光学系のモニタであり、第1のIMS17と同様に、載置台23と光学センサ24とを有し、ウエハWの表面におけるパーティクル数を計測する。
第1のプロセスシップ11は、ウエハWにRIE処理を施す第1の真空処理室としての第1のプロセスユニット25と、該第1のプロセスユニット25にウエハWを受け渡すリンク型シングルピックタイプの第1の搬送アーム26を内蔵する第1のロード・ロックユニット27とを有する。
第1のプロセスユニット25は、円筒状の処理室容器(チャンバ)と、該チャンバ内に配置された上部電極及び下部電極を有し、該上部電極及び下部電極の間の距離はウエハWにRIE処理を施すための適切な間隔に設定されている。また、下部電極はウエハWをクーロン力等によってチャックするESC28をその頂部に有する。
第1のプロセスユニット25では、チャンバ内部に処理ガスを導入し、上部電極及び下部電極間に電界を発生させることによって導入された処理ガスをプラズマ化してイオン及びラジカルを発生させ、該イオン及びラジカルによってウエハWにRIE処理を施す。
第1のプロセスシップ11では、ローダーユニット13の内部圧力は大気圧に維持される一方、第1のプロセスユニット25の内部圧力は真空に維持される。そのため、第1のロード・ロックユニット27は、第1のプロセスユニット25との連結部に真空ゲートバルブ29を備えると共に、ローダーユニット13との連結部に大気ゲートバルブ30を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。
第1のロード・ロックユニット27の内部には、略中央部に第1の搬送アーム26が設置され、該第1の搬送アーム26より第1のプロセスユニット25側に第1のバッファ31が設置され、第1の搬送アーム26よりローダーユニット13側には第2のバッファ32が設置される。第1のバッファ31及び第2のバッファ32は、第1の搬送アーム26の先端部に配置されたウエハWを支持する支持部(ピック)33が移動する軌道上に配置され、RIE処理が施されたウエハWを一時的に支持部33の軌道の上方に待避させることにより、RIE未処理のウエハWとRIE処理済みのウエハWとの第1のプロセスユニット25における円滑な入れ換えを可能とする。
第2のプロセスシップ12は、ウエハWにCOR処理を施す第2の真空処理室としての第2のプロセスユニット34と、該第2のプロセスユニット34に真空ゲートバルブ35を介して接続された、ウエハWにPHT処理を施す第3の真空処理室としての第3のプロセスユニット36と、第2のプロセスユニット34及び第2のプロセスユニット36にウエハWを受け渡すリンク型シングルピックタイプの第2の搬送アーム37を内蔵する第2のロード・ロックユニット49とを有する。
図2は、図1における第2のプロセスユニットの断面図であり、図2(A)は図1における線II−IIに沿う断面図であり、図2(B)は図2(A)におけるA部の拡大図である。
図2(A)において、第2のプロセスユニット34は、円筒状の処理室容器(チャンバ)38と、該チャンバ38内に配置されたウエハWの載置台としてのESC39と、チャンバ38の上方に配置されたシャワーヘッド40と、チャンバ38内のガス等を排気するTMP(Turbo Molecular Pump)41と、チャンバ38及びTMP41の間に配置され、チャンバ38内の圧力を制御する可変式バタフライバルブとしてのAPC(Automatic Pressure Control)バルブ42とを有する。
ESC39は、内部に直流電圧が印加される電極板(図示しない)を有し、直流電圧により発生するクーロン力又はジョンソン・ラーベック(Johnsen-Rahbek)力によってウエハWを吸着して保持する。また、ESC39は調温機構として冷媒室(図示しない)を有する。この冷媒室には所定温度の冷媒、例えば、冷却水やガルデン液が循環供給され、当該冷媒の温度によってESC39の上面に吸着保持されたウエハWの処理温度が制御される。さらに、ESC39は、ESC39の上面とウエハの裏面との間に伝熱ガス(ヘリウムガス)を満遍なく供給する伝熱ガス供給系統(図示しない)を有する。伝熱ガスは、COR処理の間、冷媒によって所望の指定温度に維持されたESC39とウエハとの熱交換を行い、ウエハを効率よく且つ均一に冷却する。
また、ESC39は、その上面から突出自在なリフトピンとしての複数のプッシャーピン56を有し、これらのプッシャーピン56は、ウエハWがESC39に吸着保持されるときにはESC39に収容され、COR処理が施されたウエハWをチャンバ38から搬出するときには、ESC39の上面から突出してウエハWを上方へ持ち上げる。
シャワーヘッド40は2層構造を有し、下層部43及び上層部44のそれぞれに第1のバッファ室45及び第2のバッファ室46を有する。第1のバッファ室45及び第2のバッファ室46はそれぞれガス通気孔47,48を介してチャンバ38内に連通する。すなわち、シャワーヘッド40は、第1のバッファ室45及び第2のバッファ室46にそれぞれ供給されるガスのチャンバ38内への内部通路を有する、階層状に積み重ねられた2つの板状体(下層部43、上層部44)からなる。
ウエハWにCOR処理を施す際、第1のバッファ室45にはNH(アンモニア)ガスが後述するアンモニアガス供給管57から供給され、該供給されたアンモニアガスはガス通気孔47を介してチャンバ38内へ供給されると共に、第2のバッファ室46にはHF(弗化水素)ガスが後述する弗化水素ガス供給管58から供給され、該供給された弗化水素ガスはガス通気孔48を介してチャンバ38内へ供給される。
また、シャワーヘッド40はヒータ(図示しない)、例えば、加熱素子を内蔵する。この加熱素子は、好ましくは、上層部44上に配置されて第2のバッファ室46内の弗化水素ガスの温度を制御する。
また、図2(B)に示すように、ガス通気孔47,48におけるチャンバ38内への開口部は末広がり状に形成される。これにより、アンモニアガスや弗化水素ガスをチャンバ38内へ効率よく拡散することができる。さらに、ガス通気孔47,48は断面がくびれ形状を呈するので、チャンバ38で発生した堆積物がガス通気孔47,48、引いては、第1のバッファ室45や第2のバッファ室46へ逆流するのを防止することができる。なお、ガス通気孔47,48は螺旋状の通気孔であってもよい。
この第2のプロセスユニット34は、チャンバ38内の圧力と、アンモニアガス及び弗化水素ガスの体積流量比を調整することによってウエハWにCOR処理を施す。また、この第2のプロセスユニット34は、チャンバ38内において初めてアンモニアガス及び弗化水素ガスが混合するように設計されている(ポストミックス設計)ため、チャンバ38内に上記2種類のガスが導入されるまで、該2種類の混合ガスが混合するのを防止して、弗化水素ガスとアンモニアガスとがチャンバ38内への導入前に反応するのを防止する。
また、第2のプロセスユニット34では、チャンバ38の側壁がヒータ(図示しない)、例えば、加熱素子を内蔵し、チャンバ38内の雰囲気温度が低下するのを防止する。これにより、COR処理の再現性を向上することができる。また、側壁内の加熱素子は、側壁の温度を制御することによってチャンバ38内に発生した副生成物が側壁の内側に付着するのを防止する。
図1に戻り、第3のプロセスユニット36は、筐体状の処理室容器(チャンバ)50と、該チャンバ50内に配置されたウエハWの載置台としてのステージヒータ51と、該ステージヒータ51の周りに配置され、ステージヒータ51に載置されたウエハWを上方に持ち上げるバッファアーム52と、チャンバ内及び外部雰囲気を遮断する開閉自在な蓋としてのPHTチャンバリッド(図示しない)とを有する。
ステージヒータ51は、表面に酸化皮膜が形成されたアルミからなり、内蔵された電熱線等によって載置されたウエハWを所定の温度まで加熱する。具体的には、ステージヒータ51は載置したウエハWを少なくとも1分間に亘って100〜200℃、好ましくは約135℃まで直接加熱する。
PHTチャンバリッドにはシリコンゴム製のシートヒータが配される。また、チャンバ50の側壁にはカートリッジヒータ(図示しない)が内蔵され、該カートリッジヒータはチャンバ50の側壁の壁面温度を25〜80℃に制御する。これにより、チャンバ50の側壁に副生成物が付着するのを防止し、付着した副生成物に起因するパーティクルの発生を防止してチャンバ50のクリーニング周期を延伸する。なお、チャンバ50の外周は熱シールドによって覆われている。
ウエハWを上方から加熱するヒータとして、上述したシートヒータの代わりに、紫外線放射(UV radiation)ヒータを配してもよい。紫外線放射ヒータとしては、波長190〜400nmの紫外線を放射する紫外線ランプ等が該当する。
バッファアーム52は、COR処理が施されたウエハWを一時的に第2の搬送アーム37における支持部53の軌道の上方に待避させることにより、第2のプロセスユニット34や第3のプロセスユニット36におけるウエハWの円滑な入れ換えを可能とする。
この第3のプロセスユニット36は、ウエハWの温度を調整することによってウエハWにPHT処理を施す。
第2のロード・ロックユニット49は、第2の搬送アーム37を内蔵する筐体状の搬送室(チャンバ)70を有する。また、ローダーユニット13の内部圧力は大気圧に維持される一方、第2のプロセスユニット34及び第3のプロセスユニット36の内部圧力は真空に維持される。そのため、第2のロード・ロックユニット49は、第3のプロセスユニット36との連結部に真空ゲートバルブ54を備えると共に、ローダーユニット13との連結部に大気ドアバルブ55を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。
図3は、図1における第2のプロセスシップの概略構成を示す斜視図である。
図3において、第2のプロセスユニット34は、第1のバッファ室45へアンモニアガスを供給するアンモニアガス供給管57と、第2のバッファ室46へ弗化水素ガスを供給する弗化水素ガス供給管58と、チャンバ38内の圧力を測定する圧力ゲージ59と、ESC39内に配設された冷却系統に冷媒を供給するチラーユニット60とを備える。
アンモニアガス供給管57にはMFC(Mass Flow Controller)(図示しない)が設けられ、該MFCは第1のバッファ室45へ供給するアンモニアガスの流量を調整すると共に、弗化水素ガス供給管58にもMFC(図示しない)が設けられ、該MFCは第2のバッファ室46へ供給する弗化水素ガスの流量を調整する。アンモニアガス供給管57のMFCと弗化水素ガス供給管58のMFCは協働して、チャンバ38へ供給されるアンモニアガスと弗化水素ガスの体積流量比を調整する。
また、第2のプロセスユニット34の下方には、DP(Dry Pump)(図示しない)に接続された第2のプロセスユニット排気系61が配置される。第2のプロセスユニット排気系61は、チャンバ38とAPCバルブ42の間に配設された排気ダクト62と連通する排気管63と、TMP41の下方(排気側)に接続された排気管64とを有し、チャンバ38内のガス等を排気する。なお、排気管64はDPの手前において排気管63に接続される。
第3のプロセスユニット36は、チャンバ50へ窒素(N)ガスを供給する窒素ガス供給管65と、チャンバ50内の圧力を測定する圧力ゲージ66と、チャンバ50内の窒素ガス等を排気する第3のプロセスユニット排気系67とを備える。
窒素ガス供給管65にはMFC(図示しない)が設けられ、該MFCはチャンバ50へ供給される窒素ガスの流量を調整する。第3のプロセスユニット排気系67は、チャンバ50に連通すると共にDPに接続された本排気管68と、該本排気管68の途中に配されたAPCバルブ69と、本排気管68からAPCバルブ69を回避するように分岐し、且つDPの手前において本排気管68に接続される副排気管68aとを有する。APCバルブ69は、チャンバ50内の圧力を制御する。
第2のロード・ロックユニット49は、チャンバ70へ窒素ガスを供給する窒素ガス供給管71と、チャンバ70内の圧力を測定する圧力ゲージ72と、チャンバ70内の窒素ガス等を排気する第2のロード・ロックユニット排気系73と、チャンバ70内を大気開放する大気連通管74とを備える。
窒素ガス供給管71にはMFC(図示しない)が設けられ、該MFCはチャンバ70へ供給される窒素ガスの流量を調整する。第2のロード・ロックユニット排気系73は1本の排気管からなり、該排気管はチャンバ70に連通すると共に、DPの手前において第3のプロセスユニット排気系67における本排気管68に接続される。また、第2のロード・ロックユニット排気系73及び大気連通管74はそれぞれ開閉自在な排気バルブ75及びリリーフバルブ76を有し、該排気バルブ75及びリリーフバルブ76は協働してチャンバ70内の圧力を大気圧から所望の真空度までのいずれかに調整する。
図4は、図3における第2のロード・ロックユニットのユニット駆動用ドライエア供給系の概略構成を示す図である。
図4において、第2のロード・ロックユニット49のユニット駆動用ドライエア供給系77のドライエア供給先としては、大気ドアバルブ55が有するスライドドア駆動用のドアバルブシリンダ、N2パージユニットとしての窒素ガス供給管71が有するMFC、大気開放用のリリーフユニットとしての大気連通管74が有するリリーフバルブ76、真空引きユニットとしての第2のロード・ロックユニット排気系73が有する排気バルブ75、及び真空ゲートバルブ54が有するスライドゲート駆動用のゲートバルブシリンダが該当する。
ユニット駆動用ドライエア供給系77は、第2のプロセスシップ12が備える本ドライエア供給管78から分岐された副ドライエア供給管79と、該副ドライエア供給管79に接続された第1のソレノイドバルブ80及び第2のソレノイドバルブ81とを備える。
第1のソレノイドバルブ80は、ドライエア供給管82,83,84,85の各々を介してドアバルブシリンダ、MFC、リリーフバルブ76及びゲートバルブシリンダに接続され、これらへのドライエアの供給量を制御することによって各部の動作を制御する。また、第2のソレノイドバルブ81は、ドライエア供給管86を介して排気バルブ75に接続され、排気バルブ75へのドライエアの供給量を制御することによって排気バルブ75の動作を制御する。
なお、窒素ガス供給管71におけるMFCは窒素(N)ガス供給系87にも接続されている。
また、第2のプロセスユニット34や第3のプロセスユニット36も、上述した第2のロード・ロックユニット49のユニット駆動用ドライエア供給系77と同様の構成を有するユニット駆動用ドライエア供給系を備える。
図1に戻り、基板処理装置10は、第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御するシステムコントローラと、ローダーユニット13の長手方向に関する一端に配置されたオペレーションコントローラ88を備える。
オペレーションコントローラ88は、例えばLCD(Liquid Crystal Display)からなる表示部を有し、該表示部は基板処理装置10の各構成要素の動作状況を表示する。
また、図5に示すように、システムコントローラは、EC(Equipment Controller)89と、3つのMC(Module Controller)90,91,92と、EC89及び各MCを接続するスイッチングハブ93とを備える。該システムコントローラはEC89からLAN(Local Area Network)170を介して、基板処理装置10が設置されている工場全体の製造工程を管理するMES(Manufacturing Execution System)としてのPC171に接続されている。MESは、システムコントローラと連携して工場における工程に関するリアルタイム情報を基幹業務システム(図示しない)にフィードバックすると共に、工場全体の負荷等を考慮して工程に関する判断を行う。
EC89は、各MCを統括して基板処理装置10全体の動作を制御する主制御部(マスタ制御部)である。また、EC89は、CPU、RAM、HDD等を有し、オペレーションコントローラ88においてユーザ等によって指定されたウエハWの処理方法、すなわち、レシピに対応するプログラムに応じてCPUが、各MCに制御信号を送信することにより、第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御する。
スイッチングハブ93は、EC89からの制御信号に応じてEC89の接続先としてのMCを切り替える。
MC90,91,92は、それぞれ第1のプロセスシップ11、第2のプロセスシップ12及びローダーユニット13の動作を制御する副制御部(スレーブ制御部)である。各MCは、DIST(Distribution)ボード96によってGHOSTネットワーク95を介して各I/O(入出力)モジュール97,98,99にそれぞれ接続される。GHOSTネットワーク95は、MCが有するMCボードに搭載されたGHOST(General High-Speed Optimum Scalable Transceiver)と称されるLSIによって実現されるネットワークである。GHOSTネットワーク95には、最大で31個のI/Oモジュールを接続可能であり、GHOSTネットワーク95では、MCがマスタに該当し、I/Oモジュールがスレーブに該当する。
I/Oモジュール98は、第2のプロセスシップ12における各構成要素(以下、「エンドデバイス」という。)に接続された複数のI/O部100からなり、各エンドデバイスへの制御信号及び各エンドデバイスからの出力信号の伝達を行う。I/Oモジュール98においてI/O部100に接続されるエンドデバイスには、例えば、第2のプロセスユニット34におけるアンモニアガス供給管57のMFC、弗化水素ガス供給管58のMFC、圧力ゲージ59及びAPCバルブ42、第3のプロセスユニット36における窒素ガス供給管65のMFC、圧力ゲージ66、APCバルブ69、バッファアーム52及びステージヒータ51、第2のロード・ロックユニット49における窒素ガス供給管71のMFC、圧力ゲージ72及び第2の搬送アーム37、並びにユニット駆動用ドライエア供給系77における第1のソレノイドバルブ80及び第2のソレノイドバルブ81等が該当する。
なお、I/Oモジュール97,99は、I/Oモジュール98と同様の構成を有し、第1のプロセスシップ11に対応するMC90及びI/Oモジュール97の接続関係、並びにローダーユニット13に対応するMC92及びI/Oモジュール99の接続関係も、上述したMC91及びI/Oモジュール98の接続関係と同様の構成であるため、これらの説明を省略する。
また、各GHOSTネットワーク95には、I/O部100におけるデジタル信号、アナログ信号及びシリアル信号の入出力を制御するI/Oボード(図示しない)も接続される。
基板処理装置10において、ウエハWにCOR処理を施す際には、COR処理のレシピに対応するプログラムに応じてEC89のCPUが、スイッチングハブ93、MC91、GHOSTネットワーク95及びI/Oモジュール98におけるI/O部100を介して、所望のエンドデバイスに制御信号を送信することによって第2のプロセスユニット34においてCOR処理を実行する。
具体的には、CPUが、アンモニアガス供給管57のMFC及び弗化水素ガス供給管58のMFCに制御信号を送信することによってチャンバ38におけるアンモニアガス及び弗化水素ガスの体積流量比を所望の値に調整し、TMP41及びAPCバルブ42に制御信号を送信することによってチャンバ38内の圧力を所望の値に調整する。また、このとき、圧力ゲージ59がチャンバ38内の圧力値を出力信号としてEC89のCPUに送信し、該CPUは送信されたチャンバ38内の圧力値に基づいて、アンモニアガス供給管57のMFC、弗化水素ガス供給管58のMFC、APCバルブ42やTMP41の制御パラメータを決定する。
また、ウエハWにPHT処理を施す際には、PHT処理のレシピに対応するプログラムに応じてEC89のCPUが、所望のエンドデバイスに制御信号を送信することによって第3のプロセスユニット36においてPHT処理を実行する。
具体的には、CPUが、窒素ガス供給管65のMFC及びAPCバルブ69に制御信号を送信することによってチャンバ50内の圧力を所望の値に調整し、ステージヒータ51に制御信号を送信することによってウエハWの温度を所望の温度に調整する。また、このとき、圧力ゲージ66がチャンバ50内の圧力値を出力信号としてEC89のCPUに送信し、該CPUは送信されたチャンバ50内の圧力値に基づいて、APCバルブ69や窒素ガス供給管65のMFCの制御パラメータを決定する。
図5のシステムコントローラでは、複数のエンドデバイスがEC89に直接接続されることなく、該複数のエンドデバイスに接続されたI/O部100がモジュール化されてI/Oモジュールを構成し、該I/OモジュールがMC及びスイッチングハブ93を介してEC89に接続されるため、通信系統を簡素化することができる。
また、EC89のCPUが送信する制御信号には、所望のエンドデバイスに接続されたI/O部100のアドレス、及び当該I/O部100を含むI/Oモジュールのアドレスが含まれているため、スイッチングハブ93は制御信号におけるI/Oモジュールのアドレスを参照し、MCのGHOSTが制御信号におけるI/O部100のアドレスを参照することによって、スイッチングハブ93やMCがCPUに制御信号の送信先の問い合わせを行う必要を無くすことができ、これにより、制御信号の円滑な伝達を実現することができる。
ところで、先に述べたように、ウエハW上に成膜された炭素をドープしたSiOC系の低誘電率層間絶縁膜や有機ポリマー系の塗布型低誘電率層間絶縁膜の表面には、RIEやアッシングによって、SiOに似た特性を有する表面損傷層(以下、「疑似SiO層」という)が形成される。この疑似SiO層は、ウエハWから製造される電子デバイスにおいて種々の不具合を引き起こす要因となるため、除去する必要がある。なお、この疑似SiO層は「変質層」や「犠牲層」とも称される。
本実施の形態に係る基板の処理方法は、これに対応して、疑似SiO層が表面に形成された低誘電率層間絶縁膜を有するウエハWにCOR処理とPHT処理を施す。
COR処理は、被処理体の酸化膜とガス分子を化学反応させて生成物を生成する処理であり、PHT処理は、COR処理が施された被処理体を加熱して、COR処理の化学反応によって被処理体に生成した生成物を気化・熱酸化(Thermal Oxidation)させて被処理体から除去する処理である。以上のように、COR処理及びPHT処理、特に、COR処理は、プラズマを用いず且つ水成分を用いずに被処理体の酸化膜を除去する処理であるため、プラズマレスエッチング処理及びドライクリーニング処理(乾燥洗浄処理)に該当する。
本実施の形態に係る基板の処理方法では、ガスとしてアンモニアガス及び弗化水素ガスを用いる。ここで、弗化水素ガスは疑似SiO層の腐食を促進し、アンモニアガスは、酸化膜と弗化水素ガスとの反応を必要に応じて制限し、最終的には停止させるための反応副生成物(By-product)を合成する。具体的には、COR処理及びPHT処理において以下の化学反応を利用する。
(COR処理)
SiO+4HF → SiF+2HO↑
SiF+2NH+2HF → (NHSiF
(PHT処理)
(NHSiF → SiF↑+2NH↑+2HF↑
上述した化学反応を利用したCOR処理及びPHT処理は、以下の特性を有することが本発明者によって確認されている。尚、PHT処理においては、N及びHも若干量発生する。
1)熱酸化膜の選択比(除去速度)が高い。
具体的には、COR処理及びPHT処理は、熱酸化膜の選択比が高い一方、シリコンの選択比が低い。したがって、熱酸化膜であるSiO膜と同様の性質を有する疑似SiO層を効率よく除去することができる。
2)酸化膜が除去された低誘電率層間絶縁膜の表面における自然酸化膜の成長速度が遅い。
具体的には、ウェットエッチングによって酸化膜が除去された低誘電率層間絶縁膜の表面においては、厚さ3Åの自然酸化膜の成長時間が10分であるのに対し、COR処理及びPHT処理によって酸化膜が除去された低誘電率層間絶縁膜の表面においては、厚さ3Åの自然酸化膜の成長時間は2時間以上である。したがって、電子デバイスの製造工程において不要な酸化膜が発生することがなく、電子デバイスの信頼性を向上することができる。
3)ドライ環境において反応が進行する。
具体的には、COR処理において水を反応に用いることはなく、また、COR処理によって発生した水もPHT処理によって気化されるため、酸化膜が除去された低誘電率層間絶縁膜の表面にOH基が配されることがない。したがって、低誘電率層間絶縁膜の表面が親水性になることがなく、もって該表面は吸湿することがないため、電子デバイスの配線信頼性の低下を防止することができる。
4)生成物の生成量は所定時間が経過すると飽和する。
具体的には、所定時間が経過すると、それ以後、疑似SiO層をアンモニアガス及び弗化水素ガスの混合気体に暴露し続けても、生成物の生成量は増加しない。また、生成物の生成量は、混合気体の圧力、体積流量比等の混合気体のパラメータによって決定される。したがって、疑似SiO層の除去量の制御を容易に行うことができる。
5)パーティクルの発生が非常に少ない。
具体的には、第2のプロセスユニット34及び第3のプロセスユニット36において、2000枚のウエハWにおける疑似SiO層の除去を実行しても、チャンバ38やチャンバ50の内壁等にパーティクルの付着がほとんど観測されない。したがって、電子デバイスにおいてパーティクルを介した配線の短絡等が発生することがなく、電子デバイスの信頼性を向上することができる。
本実施の形態に係る基板の処理方法では、基板処理装置10において、まず、疑似SiO層が表面に形成された低誘電率層間絶縁膜を有するウエハWを第2のプロセスユニット34のチャンバ38に収容し、該チャンバ38内の圧力を所定の圧力に調整し、チャンバ38内にアンモニアガス、弗化水素ガス及び希釈ガスとしてのアルゴン(Ar)ガスを導入して、チャンバ38内をこれらから成る混合気体の雰囲気とし、疑似SiO層を所定の圧力下において混合気体に暴露する(表面損傷層暴露ステップ)。これにより、疑似SiO層、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物を生成する。

次いで、生成物が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置し、該チャンバ50内の圧力を所定の圧力に調整し、チャンバ50内に窒素ガスを導入して粘性流を生じさせ、ステージヒータ51によってウエハWを所定の温度に加熱する(表面損傷層加熱ステップ)。これにより、熱によって生成物の錯体構造が分解し、生成物は四弗化珪素(SiF)、アンモニア、弗化水素に分離して気化する。気化したこれらの分子は粘性流に巻き込まれて第3のプロセスユニット排気系67によってチャンバ50から排出される。
第2のプロセスユニット34において、弗化水素ガスは水分と反応しやすいため、チャンバ38におけるアンモニアガスの体積を弗化水素ガスの体積より多く設定するのが好ましく、また、チャンバ38における水分子はできるだけ除去するのが好ましい。具体的には、チャンバ38内の混合気体におけるアンモニアガスに対する弗化水素ガスの体積流量(SCCM)比は1〜1/2であるのが好ましく、また、チャンバ38内の所定の圧力は6.7×10−2〜4.0Pa(0.5〜30mTorr)であるのが好ましい。これにより、チャンバ38内の混合気体の流量比等が安定するため、生成物の生成を助長することができる。
また、チャンバ38内の所定の圧力が6.7×10−2〜4.0Pa(0.5〜30mTorr)であると、生成物の生成量を所定時間経過後に確実に飽和させることができ、これにより、エッチング深さを確実に制御することができる(セルフリミテッド)。例えば、チャンバ38内の所定の圧力が1.3Pa(10mTorr)である場合、エッチングの進行はCOR処理開始から約3分経過後に停止する。このときのエッチング深さは略15nmである。また、チャンバ38内の所定の圧力が2.7Pa(20mTorr)である場合、エッチングの進行はCOR処理開始から約3分経過後に停止する。このときのエッチング深さは略24nmである。
また、反応物は常温近傍で反応が促進されるため、ウエハWを載置するESC39は、内蔵する調温機構(図示しない)によってその温度が25℃に設定されるのが好ましく。さらに、温度が高いほどチャンバ38内に発生した副生成物が付着しにくいことから、チャンバ38内の内壁温度は、側壁に埋設されたヒータ(図示しない)によって50℃に設定されるのが好ましい。
第3のプロセスユニット36において、反応物は配位結合を含む錯化合物(Complex compound)であり、錯化合物は結合力が弱く、比較的低温においても熱分解が促進されるので、ウエハWの所定の温度は80〜200℃であるのが好ましく、さらに、ウエハWにPHT処理を施す時間は、60〜180秒であるのが好ましい。また、チャンバ50に粘性流を生じさせるためには、チャンバ50内の真空度を高めるのは好ましくなく、また、一定の流量のガス流が必要である。したがって、該チャンバ50における所定の圧力は、6.7×10〜1.3×10Pa(500mTorr〜1Torr)であるのが好ましく、窒素ガスの流量は500〜3000SCCMであるのが好ましい。これにより、チャンバ50内において粘性流を確実に生じさせることができるため、生成物の熱分解によって生じた気体分子を確実に除去することができる。
また、ウエハWにCOR処理を施す前に、第1のIMS17によって疑似SiO層を有する低誘電率層間絶縁膜における配線溝やコンタクトホール(接続孔)のCD値を測定し、測定されたCD値に応じて、EC89のCPUが、CD値と疑似SiO層の除去量に関連する処理条件パラメータとの所定の関係に基づいて、COR処理又はPHT処理における処理条件パラメータの値を決定する(生成物生成条件決定ステップ)のが好ましい。これにより、疑似SiO層の除去量の制御を正確に行うことができ、もって基板の表面処理の効率を向上することができる。
上記所定の関係は、複数のウエハWを処理するロットの初期において、第1のIMS17によって測定されたCOR処理及びPHT処理を施す前及び施した後におけるCD値の差、すなわち、COR処理及びPHT処理による疑似SiO層の除去量と、このときのCOR処理及びPHT処理における処理条件パラメータとに基づいて設定される。処理条件パラメータとしては、例えば、アンモニアガスに対する弗化水素ガスの体積流量比やチャンバ38内の所定の圧力、ステージヒータ51に載置されたウエハWの加熱温度等が該当する。このようにして設定された所定の関係はEC89のHDD等に格納され、ロットの初期以降におけるウエハWの処理において上述のようにして参照される。
また、或るウエハWのCOR処理及びPHT処理を施す前及び施した後におけるCD値
の差に基づいて、当該ウエハWに再度COR処理及びPHT処理を施すか否かを決定してもよく、さらに、再度COR処理及びPHT処理を施す場合には、EC89のCPUが、当該ウエハWのCOR処理及びPHT処理を施した後におけるCD値に応じて、上記所定の関係に基づいてCOR処理及びPHT処理の条件パラメータを決定してもよい。
本実施の形態に係る基板の処理方法によれば、疑似SiO層が表面に形成された低誘電率層間絶縁膜を有するウエハWが所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスからなる混合気体の雰囲気に暴露され、該混合気体の雰囲気に暴露されたウエハWが所定の温度に加熱される。これにより、疑似SiO層、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物が生成され、該生成された生成物の錯体構造が熱によって分解し、生成物は四弗化珪素(SiF)、アンモニア、弗化水素に分離して気化する。すなわち、薬液を用いずに疑似SiO層を除去することができるため、疑似SiO層が除去された低誘電率層間絶縁膜の表面にOH基が配されることがない。また、生成物の生成量は所定時間が経過すると飽和し、生成物の生成量は混合気体のパラメータによって決定される。したがって、疑似SiO層の除去量の制御を容易に行うことができると共に、ウエハWから製造される電子デバイスの配線信頼性の低下を防止することができる。
また、本実施の形態に係る基板の処理方法によれば、ウエハWにプラズマレスエッチング処理が施されて低誘電率層間絶縁膜の表面の疑似SiO層が除去されるので、ウエハWから製造される電子デバイスにおいて、ゲート電極に電荷が蓄積されないため、ゲート酸化膜の劣化や破壊を防止することができ、エネルギー粒子が電子デバイスに照射されることがないため、半導体における結晶欠陥の発生を防止することができ、さらに、プラズマに起因する予期せぬ化学反応が起こらないため、不純物の発生を防止することができ、これにより、チャンバ38やチャンバ50内が汚染されるのを防止することができる。
さらに、本実施の形態に係る基板の処理方法によれば、ウエハWにドライクリーニング処理が施されて低誘電率層間絶縁膜の表面の疑似SiO層が除去されるので、ウエハWの表面の物性の変化を抑制することができ、もって、ウエハWから製造される電子デバイスにおける配線信頼性の低下を確実に防止することができる。
次に、本発明の実施の形態に係る電子デバイスの製造方法について説明する。
本実施の形態に係る電子デバイスの製造方法においても、上述したCOR処理及びPHT処理を用いて、低誘電率層間絶縁膜の表面に形成された疑似SiO層を除去する。また、COR処理及びPHT処理は基板処理装置10における第2のプロセスシップ12において実行される。
図6は、本発明の実施の形態に係る電子デバイスの製造方法を示す工程図である。
図6において、まず、シリコンからなるウエハWの表面において、熱酸化によって形成された酸化珪素(SiO)膜101上に、下部電極102、容量絶縁膜103及び上部電極104からなるキャパシタ105を形成し、さらに、キャパシタ105上に、SiOC系の低誘電率層間絶縁膜材料をCVD法によって堆積させ、若しくは、SOD(Spin On Dielectric)法によって有機ポリマー系の塗布型低誘電率層間絶縁膜材料を堆積させて低誘電率層間絶縁膜106を成膜する(低誘電率絶縁膜成膜ステップ)(図6(A))。

次いで、リソグラフィによって低誘電率層間絶縁膜106の一部を暴露する開口部107を有するパターンのフォトレジスト層108を形成し(フォトレジスト層形成ステップ)(図6(B))、該形成されたフォトレジスト層108をマスクとして用いて、処理ガス(例えば、所定の流量比の弗化炭素(C)ガス、酸素(O)ガス及びアルゴンガスから成る混合ガス)がプラズマ化されて発生したイオンやラジカルによって低誘電率層間絶縁膜106をRIE処理によってエッチングし、低誘電率層間絶縁膜106において上部電極104に達するビア(Via)ホール(接続孔)109を加工成形する(プラズマ加工成形ステップ)(図6(C))。このとき、低誘電率層間絶縁膜106におけるビアホール109の表面は、RIE処理に起因して発生した疑似SiO層110によって覆われる。
その後、ウエハWを第2のプロセスユニット34におけるチャンバ38に収容することによって、疑似SiO層110によって覆われたビアホール109の表面を、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し(接続孔表面暴露ステップ)、ビアホール109の表面において疑似SiO層110、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物111を生成する(図6(D))。
次いで、生成物111が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置することによってビアホール109の表面、引いては生成物111を所定の温度に加熱し(接続孔表面加熱ステップ)、生成物111の錯体構造を分解し、生成物111を四弗化珪素、アンモニア、弗化水素に分離させて気化させる(図6(E))。これにより、ビアホール109の表面における疑似SiO層110が除去される(図6(F))。
本実施の形態に係る電子デバイスの製造方法によれば、RIE処理に起因して発生する疑似SiO層110で覆われたビアホール109の表面が、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露され、さらに、ビアホール109の表面が所定の温度に加熱される。疑似SiO層110が所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露されると、疑似SiO層110、アンモニアガス及び弗化水素ガスに基づいた生成物111が生成され、該生成された生成物111が所定の温度に加熱されると、当該生成物111が気化する。すなわち、薬液を用いずにビアホール109の表面における疑似SiO層110を除去することができる。また、生成物111の生成量は混合気体のパラメータによって制御することができる。したがって、ビアホール109の表面における疑似SiO層110の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
図7は、本実施の形態に係る電子デバイスの製造方法の第1の変形例を示す工程図である。
図7において、まず、シリコンからなるウエハWの表面上に形成されたポリシリコン層112上にSiOC系の低誘電率層間絶縁膜材料や、有機ポリマー系の塗布型低誘電率層間絶縁膜材料を堆積させて低誘電率層間絶縁膜113を成膜し、該低誘電率層間絶縁膜113上に酸化珪素膜114(他の絶縁膜)を成膜して層間絶縁膜115を形成する(層間絶縁膜形成ステップ)(図7(A))。
次いで、リソグラフィによって層間絶縁膜115の一部を暴露する開口部116を有するパターンのフォトレジスト層117を形成し((図7(B))、該形成されたフォトレジスト層117をマスクとして用いて、層間絶縁膜115をRIE処理によってエッチングし、層間絶縁膜115において配線溝118を加工成形する(プラズマ加工成形ステップ)(図7(C))。このとき、配線溝118の表面のうち低誘電率層間絶縁膜113に対応する表面は、RIE処理に起因して発生した疑似SiO層119によって覆われる。
その後、ウエハWを第2のプロセスユニット34におけるチャンバ38に収容することによって、少なくとも低誘電率層間絶縁膜113に対応する表面を所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し(配線溝表面暴露ステップ)、低誘電率層間絶縁膜113に対応する表面において疑似SiO層119、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物120を生成する(図7(D))。
次いで、生成物111が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置することによって配線溝118の表面、引いては生成物120を所定の温度に加熱し(配線溝表面加熱ステップ)、生成物120の錯体構造を分解し、生成物120を四弗化珪素、アンモニア、弗化水素に分離させて気化させる(図7(E))。これにより、配線溝118の表面における疑似SiO層119が除去される(図7(F))。
次いで、フォトレジスト層117を除去し(アッシングステップ)(図7(G))、該低誘電率層間絶縁膜113上の酸化珪素膜114をウェットエッチング等によって除去する(他の絶縁膜除去ステップ)(図7(H))。フォトレジスト層117を除去する際、該フォトレジスト層117が酸化珪素(SiO)からなるときは、該フォトレジスト層117をアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露してフォトレジスト層117の酸化珪素、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物を生成し(COR処理)、さらに、該生成物を加熱して生成物の錯体構造を分解し、該生成物を四弗化珪素、アンモニア、弗化水素に分離させて気化させる(PHT処理)ことによって除去してもよい。これにより、薬液やプラズマを用いずにフォトレジスト層117を除去することができる。また、フォトレジスト層117の除去量は混合気体のパラメータによって制御することができるため、フォトレジスト層117の残渣の発生や酸化珪素膜114の不必要なエッチングを防止することができる。
さらに、CVD法やPVD(Physical Vapor Deposition)法によって銅(Cu)やアルミ(Al)等の配線材料からなる導電膜をウエハW全体上に成膜して、該配線材料を配線溝118に導入し(図7(I))、これにより、配線121を形成する(配線形成ステップ)(図7(J))。
本実施の形態に係る電子デバイスの製造方法の第1の変形例によれば、RIE処理に起因して発生する疑似SiO層119で覆われた低誘電率層間絶縁膜113における配線溝118の表面が、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露され、さらに、配線溝118の表面が所定の温度に加熱される。疑似SiO層119が所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露されると、疑似SiO層119、アンモニアガス及び弗化水素ガスに基づいた生成物120が生成され、該生成された生成物120が所定の温度に加熱されると、当該生成物120が気化する。すなわち、薬液を用いずに低誘電率層間絶縁膜113における配線溝118の表面における疑似SiO層119を除去することができる。また、生成物120の生成量は混合気体のパラメータによって制御することができる。したがって、低誘電率層間絶縁膜113における配線溝118の表面における疑似SiO層119の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
図8は、本実施の形態に係る電子デバイスの製造方法の第2の変形例を示す工程図である。
図8において、まず、シリコンからなるウエハWの表面上に導電膜としてのポリシリコン層122を成膜し(導電膜成膜ステップ)、該ポリシリコン層122上にSiOC系の低誘電率層間絶縁膜材料や、有機ポリマー系の塗布型低誘電率層間絶縁膜材料を堆積させて低誘電率層間絶縁膜123を成膜する(低誘電率絶縁膜成膜ステップ)(図8(A))。
次いで、リソグラフィによって低誘電率層間絶縁膜123の一部を暴露する開口部124を有するパターンのフォトレジスト層125を形成し(フォトレジスト層形成ステップ)((図8(B))、該形成されたフォトレジスト層125をマスクとして用いて、低誘電率層間絶縁膜123をRIE処理によってエッチングし、低誘電率層間絶縁膜123においてポリシリコン層122に達するコンタクトホール(接続孔)126を加工成形する(プラズマ加工成形ステップ)(図8(C))。このとき、低誘電率層間絶縁膜123におけるコンタクトホール126の表面は、RIE処理に起因して発生した疑似SiO層127によって覆われる。
その後、ウエハWを第2のプロセスユニット34におけるチャンバ38に収容することによって、疑似SiO層127によって覆われたコンタクトホール126の表面を、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し(接続孔表面暴露ステップ)、コンタクトホール126の表面において疑似SiO層127、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物128を生成する(図8(D))。
次いで、生成物128が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置することによってコンタクトホール126の表面、引いては生成物128を所定の温度に加熱し(接続孔表面加熱ステップ)、生成物128の錯体構造を分解し、生成物128を四弗化珪素、アンモニア、弗化水素に分離させて気化させる(図8(E))。これにより、コンタクトホール126の表面における疑似SiO層127が除去される(図8(F))。
次いで、フォトレジスト層125を除去し(アッシングステップ)(図8(G))、CVD法やPVD法によって銅やアルミ等の配線材料からなる導電膜をウエハW全体上に成膜して、該配線材料をコンタクトホール126に導入し(図8(H))、これにより、配線129を形成する(配線形成ステップ)(図8(I))。
本実施の形態に係る電子デバイスの製造方法の第2の変形例によれば、RIE処理に起因して発生する疑似SiO層127で覆われたコンタクトホール126の表面が、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露され、さらに、コンタクトホール126の表面が所定の温度に加熱される。疑似SiO層127が所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露されると、疑似SiO層127、アンモニアガス及び弗化水素ガスに基づいた生成物128が生成され、該生成された生成物128が所定の温度に加熱されると、当該生成物128が気化する。すなわち、薬液を用いずにコンタクトホール126の表面における疑似SiO層127を除去することができる。また、生成物128の生成量は混合気体のパラメータによって制御することができる。したがって、コンタクトホール126の表面における疑似SiO層127の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
図9は、本実施の形態に係る電子デバイスの製造方法の第3の変形例を示す工程図である。
図9において、まず、シリコンからなるウエハWの表面上に、下側から酸化珪素膜130、ポリシリコン層131、低誘電率層間絶縁膜材料としてのTEOS(Si(OCHCH,Tetra Ethyl Ortho Silicate)TEOS層132、及び窒化物からなる反射防止膜としてのBARC(Bottom Anti Reflection Coating)層133を下側から順に形成し、さらに、リソグラフィによってBARC層133上に所望のゲート形状に対応したパターンのフォトレジスト層134を形成する((図9(A))。
次いで、形成されたフォトレジスト層134をマスクとして用いて、まず、フォトレジスト層134に覆われていないBARC層133をエッチング等によって除去し(図9(B))、さらに、フォトレジスト層134に覆われていないTEOS層132をRIE処理によってエッチングして除去して、フォトレジスト層134の直下以外においてポリシリコン層131を露出させ(図9(C))、さらに、フォトレジスト層134を除去する(図9(D))。このとき、TEOS層132の露出面(側面)は、RIE処理に起因して発生した疑似SiO層135によって覆われる。
その後、ウエハWを第2のプロセスユニット34におけるチャンバ38に収容することによって、疑似SiO層135によって覆われたTEOS層132の露出面を、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し、TEOS層132の露出面において疑似SiO層135、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物136を生成する(図9(E))。
次いで、生成物136が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置することによってTEOS層132の露出面、引いては生成物136を所定の温度に加熱し、生成物136の錯体構造を分解し、生成物136を四弗化珪素、アンモニア、弗化水素に分離させて気化させる(図9(F))。これにより、TEOS層132の露出面における疑似SiO層135が除去されて、TEOS層132がトリミングされる(図9(G))。
次いで、トリミングされたTEOS層132上のBARC層133が除去され、さらにトリミングされたTEOS層132で覆われていないポリシリコン層131がエッチング等によって除去される。これにより、ウエハW上にゲートが形成される(図9(H))
本実施の形態に係る電子デバイスの製造方法の第3の変形例によれば、RIE処理に起因して発生する疑似SiO層135で覆われたTEOS層132の露出面が、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露され、さらに、TEOS層132の露出面が所定の温度に加熱される。疑似SiO層135が所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露されると、疑似SiO層135、アンモニアガス及び弗化水素ガスに基づいた生成物136が生成され、該生成された生成物136が所定の温度に加熱されると、当該生成物136が気化する。すなわち、薬液を用いずにTEOS層132の露出面における疑似SiO層135を除去することができる。また、生成物136の生成量は混合気体のパラメータによって制御することができる。したがって、TEOS層132の露出面における疑似SiO層135の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
上述した本実施の形態に係る電子デバイスの製造方法及び各変形例において、疑似SiO層を除去する前に、ウエハWを第1のIMS17に搬入して、ビアホール109、配線溝118、若しくははコンタクトホール126の表面、又はTEOS層132の露出面のCD値を測定し、測定されたCD値に応じて、EC89のCPUが、CD値と疑似SiO層の除去量に関連する処理条件パラメータとの所定の関係に基づいて、アンモニアガスに対する弗化水素ガスの体積流量比やチャンバ38内の所定の圧力、ステージヒータ51に載置されたウエハWの加熱温度等の目標値を決定するのが好ましい。これにより、疑似SiO層の除去量の制御を正確に行うことができ、もって電子デバイスの製造効率を向上することができる。
また、疑似SiO層の除去前及び除去後におけるビアホール109の表面等のCD値の差に基づいて、再度疑似SiO層の除去を行うか否かを決定してもよく、さらに、再度疑似SiO層の除去を行う場合には、EC89のCPUが、疑似SiO層の除去後におけるビアホール109の表面等のCD値に応じて、上記所定の関係に基づいてアンモニアガスに対する弗化水素ガスの体積流量比等を決定してもよい。
上述した本実施の形態に係る基板の処理方法が適用される基板処理装置は、図1に示すような互いに平行に配されたプロセスシップを2つ備えるパラレルタイプの基板処理装置に限られず、図10や図11に示すように、ウエハWに所定の処理を施す真空処理室としての複数のプロセスユニットが放射状に配置された基板処理装置も該当する。
図10は、本実施の形態に係る基板の処理方法が適用される基板処理装置の第1の変形例の概略構成を示す平面図である。なお、図10においては、図1の基板処理装置10における構成要素と同様の構成要素には同じ符号を付し、その説明を省略する。
図10において、基板処理装置137は、平面視六角形のトランスファユニット138と、該トランスファユニット138の周囲において放射状に配置された4つのプロセスユニット139〜142と、ローダーユニット13と、トランスファユニット138及びローダーユニット13の間に配置され、トランスファユニット138及びローダーユニット13を連結する2つのロード・ロックユニット143,144とを備える。
トランスファユニット138及び各プロセスユニット139〜142は内部の圧力が真空に維持され、トランスファユニット138と各プロセスユニット139〜142とは、それぞれ真空ゲートバルブ145〜148を介して接続される。
基板処理装置137では、ローダーユニット13の内部圧力が大気圧に維持される一方、トランスファユニット138の内部圧力は真空に維持される。そのため、各ロード・ロックユニット143,144は、それぞれトランスファユニット138との連結部に真空ゲートバルブ149,150を備えると共に、ローダーユニット13との連結部に大気ドアバルブ151,152を備えることによって、その内部圧力を調整可能な真空予備搬送室として構成される。また、各ロード・ロックユニット143,144はローダーユニット13及びトランスファユニット138の間において受渡されるウエハWを一時的に載置するためのウエハ載置台153,154を有する。
トランスファユニット138はその内部に配置された屈伸及び旋回自在になされたフロッグレッグタイプの搬送アーム155を有し、該搬送アーム155は、各プロセスユニット139〜142や各ロード・ロックユニット143,144の間においてウエハWを搬送する。
各プロセスユニット139〜142は、それぞれ処理が施されるウエハWを載置する載置台156〜159を有する。ここで、プロセスユニット140は基板処理装置10における第1のプロセスユニット25と同様の構成を有し、プロセスユニット141は第2のプロセスユニット34と同様の構成を有し、プロセスユニット142は第3のプロセスユニット36と同様の構成を有する。したがって、プロセスユニット140はウエハWにRIE処理を施し、プロセスユニット141はウエハWにCOR処理を施し、プロセスユニット142はウエハWにPHT処理を施すことができる。
基板処理装置137では、表面に疑似SiO層が形成された低誘電率層間絶縁膜を有するウエハWを、プロセスユニット141に搬入してCOR処理を施し、さらにプロセスユニット142に搬入してPHT処理を施すことにより、上述した本実施の形態に係る基板の処理方法を実行する。
なお、基板処理装置137における各構成要素の動作は、基板処理装置10におけるシステムコントローラと同様の構成を有するシステムコントローラによって制御される。
図11は、本実施の形態に係る基板の処理方法が適用される基板処理装置の第2の変形例の概略構成を示す平面図である。なお、図11においては、図1の基板処理装置10及び図10の基板処理装置137における構成要素と同様の構成要素には同じ符号を付し、その説明を省略する。
図11において、基板処理装置160は、図10の基板処理装置137に対して、2つのプロセスユニット161,162が追加され、これに対応して、トランスファユニット163の形状も基板処理装置137におけるトランスファユニット138の形状と異なる。追加された2つのプロセスユニット161,162は、それぞれ真空ゲートバルブ164,165を介してトランスファユニット163と接続されると共に、ウエハWの載置台166,167を有する。
また、トランスファユニット163は、2つのスカラアームタイプの搬送アームからなる搬送アームユニット168を備える。該搬送アームユニット168は、トランスファユニット163内に配設されたガイドレール169に沿って移動し、各プロセスユニット139〜142,161,162や各ロード・ロックユニット143,144の間においてウエハWを搬送する。
基板処理装置160では、基板処理装置137と同様に、表面に疑似SiO層が形成された低誘電率層間絶縁膜を有するウエハWを、プロセスユニット141に搬入してCOR処理を施し、さらにプロセスユニット142に搬入してPHT処理を施すことにより、上述した本実施の形態に係る基板の処理方法を実行する。
なお、基板処理装置160における各構成要素の動作も、基板処理装置10におけるシステムコントローラと同様の構成を有するシステムコントローラによって制御される。
上述した本実施の形態に係る電子デバイスの製造方法及び各変形例では、低誘電率層間絶縁膜の疑似SiO層がCOR処理及びPHT処理によって除去されたが、除去される疑似SiO層はこれに限られない。疑似SiO層が発生する膜であればCOR処理及びPHT処理を適用することによって該疑似SiO層を除去することが可能である。
例えば、フォトレジスト膜や酸化珪素を用いたハードマスク膜もRIE処理によって表面が損傷(変質)し、疑似SiO層が発生するが、このフォトレジスト膜やハードマスク膜の疑似SiO層も上述したCOR処理及びPHT処理によって除去(アッシング)することが可能である。
以下、フォトレジスト膜の疑似SiO層をCOR処理及びPHT処理によって除去する方法が適用された電子デバイスの製造方法について説明する。
まず、ウエハWの表面上にポリシリコン層を形成し、該ポリシリコン層上に絶縁膜を堆積させて形成し、さらに、該絶縁膜上に剥離層(酸化珪素)を形成する。
次いで、該剥離層上に所定のパターンのフォトレジスト膜を形成し、弗化炭素(C)ガス等を用いたRIE処理によって絶縁膜及び剥離膜をエッチングする。このとき、フォトレジスト膜の表面上には、変質層として疑似SiO層が形成されると共に、残渣としての疑似SiOの粒隗等が堆積する。
その後、ウエハWを第2のプロセスユニット34におけるチャンバ38に収容することによって、疑似SiO層等によって覆われたフォトレジスト膜の表面を、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露し、フォトレジスト膜の表面において疑似SiO、アンモニアガス及び弗化水素ガスから錯体構造を有する生成物を生成する。
次いで、生成物が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置することによってフォトレジスト膜の表面、引いては生成物を所定の温度に加熱し、生成物の錯体構造を分解し、生成物を四弗化珪素、アンモニア、弗化水素に分離させて気化させる。これにより、フォトレジスト膜の表面における疑似SiO層や疑似SiOの粒隗が選択的に除去(アッシング)される。
上述した電子デバイスの製造方法によれば、薬液やプラズマを用いずにフォトレジスト膜の表面における疑似SiO層等を選択的にアッシングすることができる。また、生成物の生成量は混合気体のパラメータによって制御することができる。したがって、フォトレジスト膜の表面における疑似SiO層の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
なお、上述した電子デバイスには、いわゆる半導体デバイスの他に、強誘電体、高誘電体等の絶縁性金属酸化物、特にペロブスカイト型結晶構造を有する物質よりなる薄膜を有する不揮発性又は大容量のメモリ素子も含む。ペロブスカイト型結晶構造を有する物質としては、チタン酸ジルコン酸鉛(PZT)、チタン酸バリウムストロンチウム(PST)、及びタンタル酸ニオブストロンチウムビスマス(SBT)等が該当する。
本発明の目的は、上述した本実施の形態の機能を実現するソフトウェアのプログラムコードを記録した記憶媒体を、EC89に供給し、EC89のコンピュータ(またはCPUやMPU等)が記憶媒体に格納されたプログラムコードを読み出して実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラムコード自体が上述した本実施の形態の機能を実現することになり、そのプログラムコード及び該プログラムコードを記憶した記憶媒体は本発明を構成することになる。
また、プログラムコードを供給するための記憶媒体としては、例えば、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD−ROM、DVD−RAM、DVD−RW、DVD+RW等の光ディスク、磁気テープ、不揮発性のメモリカード、ROM等を用いることができる。または、プログラムコードをネットワークを介してダウンロードしてもよい。
また、コンピュータが読み出したプログラムコードを実行することにより、上記本実施の形態の機能が実現されるだけでなく、そのプログラムコードの指示に基づき、コンピュータ上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部又は全部を行い、その処理によって上述した本実施の形態の機能が実現される場合も含まれる。
さらに、記憶媒体から読み出されたプログラムコードが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムコードの指示に基づき、その拡張機能を拡張ボードや拡張ユニットに備わるCPU等が実際の処理の一部または全部を行い、その処理によって前述した本実施の形態の機能が実現される場合も含まれる。
上記プログラムコードの形態は、オブジェクトコード、インタプリタにより実行されるプログラムコード、OSに供給されるスクリプトデータ等の形態から成ってもよい。
本発明の実施の形態に係る基板の処理方法が適用される基板処理装置の概略構成を示す平面図である。 図1における第2のプロセスユニットの断面図であり、図2(A)は図1における線II−IIに沿う断面図であり、図2(B)は図2(A)におけるA部の拡大図である。 図1における第2のプロセスシップの概略構成を示す斜視図である。 図3における第2のロード・ロックユニットのユニット駆動用ドライエア供給系の概略構成を示す図である。 図1の基板処理装置におけるシステムコントローラの概略構成を示す図である。 本発明の実施の形態に係る電子デバイスの製造方法を示す工程図である。 本実施の形態に係る電子デバイスの製造方法の第1の変形例を示す工程図である。 本形態に係る電子デバイスの製造方法の第2の変形例を示す工程図である。 本形態に係る電子デバイスの製造方法の第3の変形例を示す工程図である。 本実施の形態に係る基板の処理方法が適用される基板処理装置の第1の変形例の概略構成を示す平面図である。 本実施の形態に係る基板の処理方法が適用される基板処理装置の第2の変形例の概略構成を示す平面図である。
符号の説明
W ウエハ
10,137,160 基板処理装置
11 第1のプロセスシップ
12 第2のプロセスシップ
13 ローダーユニット
17 第1のIMS
18 第2のIMS
25 第1のプロセスユニット
34 第2のプロセスユニット
36 第3のプロセスユニット
37 第2の搬送アーム
38,50,70 チャンバ
39 ESC
40 シャワーヘッド
41 TMP
42,69 APCバルブ
45 第1のバッファ室
46 第2のバッファ室
47,48 ガス通気孔
49 第2のロード・ロック室
51 ステージヒータ
57 アンモニアガス供給管
58 弗化水素ガス供給管
59,66,72 圧力ゲージ
61 第2のプロセスユニット排気系
65,71 窒素ガス供給管
67 第3のプロセスユニット排気系
73 第2のロード・ロックユニット排気系
74 大気連通管
89 EC
90,91,92 MC
93 スイッチングハブ
95 GHOSTネットワーク
97,98,99 I/Oモジュール
100 I/O部
101,114,130 酸化珪素膜
105 キャパシタ
106,113,123 低誘電率層間絶縁膜
108,117,125,134 フォトレジスト層
109 ビアホール
110,119,127,135 疑似SiO
111,120,128,136 生成物
112,122,131 ポリシリコン層
115 層間絶縁膜
118 配線溝
121,129 配線
126 コンタクトホール
132 TEOS層
133 BARC層
138,163 トランスファユニット
139,140,141,142,161,162 プロセスユニット
170 LAN
171 PC

Claims (16)

  1. 炭素を含む低誘電率絶縁膜を有し、該低誘電率絶縁膜は炭素濃度が低下した表面損傷層を有する基板の処理方法であって、
    前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露ステップと、
    前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱ステップとを有することを特徴とする基板の処理方法。
  2. 前記表面損傷層暴露ステップは、前記基板にプラズマレスエッチング処理を施すことを特徴とする請求項1記載の基板の処理方法。
  3. 前記表面損傷層暴露ステップは、前記基板に乾燥洗浄処理を施すことを特徴とする請求項1記載の基板の処理方法。
  4. 前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比は1〜1/2であり、前記所定の圧力は6.7×10−2〜4.0Paであることを特徴とする請求項1乃至3のいずれか1項に記載の基板の処理方法。
  5. 前記所定の温度は80〜200℃であることを特徴とする請求項1乃至4のいずれか1項に記載の基板の処理方法。
  6. 前記表面損傷層を有する低誘電率絶縁膜の形状を測定し、該測定された形状に応じて前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比、及び前記所定の圧力の少なくとも1つを決定する生成物生成条件決定ステップを、さらに有することを特徴とする請求項1乃至5のいずれか1項に記載の基板の処理方法。
  7. 少なくともフォトレジスト膜又はハードマスク膜からなるマスク膜を有し、該マスク膜は表面損傷層を有する基板の処理方法であって、
    前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露ステップと、
    前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱ステップとを有することを特徴とする基板の処理方法。
  8. 半導体基板上に形成された下部電極、容量絶縁膜及び上部電極からなるキャパシタ上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、
    前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、
    該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記上部電極に達する接続孔を加工成形するプラズマ加工成形ステップと、
    前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露ステップと、
    前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱ステップとを有することを特徴とする電子デバイスの製造方法。
  9. 半導体基板上に炭素を含む低誘電率絶縁膜を成膜し、該低誘電率絶縁膜上に前記低誘電率絶縁膜より少なくとも炭素濃度が低い他の絶縁膜を成膜して層間絶縁膜を形成する層間絶縁膜形成ステップと、
    プラズマ処理により前記層間絶縁膜に配線溝を加工成形するプラズマ加工成形ステップと、
    少なくとも前記低誘電率絶縁膜における配線溝の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する配線溝表面暴露ステップと、
    前記混合気体の雰囲気に暴露された配線溝の表面を所定の温度に加熱する配線溝表面加熱ステップと、
    前記他の絶縁膜を除去する他の絶縁膜除去ステップと、
    前記配線溝に導電材料を導入して配線を形成する配線形成ステップとを有することを特徴とする電子デバイスの製造方法。
  10. 前記他の絶縁膜上にフォトレジスト層を形成するフォトレジスト層形成ステップと、
    該形成されたフォトレジスト層を除去するアッシングステップとを有し、
    該アッシングステップでは、前記フォトレジスト層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露し、前記混合気体の雰囲気に暴露された前記フォトレジスト層を所定の温度に加熱することを特徴とする請求項9記載の電子デバイスの製造方法。
  11. 半導体基板上に珪素を含む導電膜を成膜する導電膜成膜ステップと、
    該成膜された導電膜上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、
    前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、
    該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記導電膜に達する接続孔を加工成形するプラズマ加工成形ステップと、
    前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露ステップと、
    前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱ステップと、
    前記フォトレジスト層を除去するアッシングステップと、
    前記接続孔に導電材料を導入して配線を形成する配線形成ステップとを有することを特徴とする電子デバイスの製造方法。
  12. 炭素を含む低誘電率絶縁膜を有し、該低誘電率絶縁膜は炭素濃度が低下した表面損傷層を有する基板の処理方法をコンピュータに実行させるプログラムであって、
    前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露モジュールと、
    前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱モジュールとを有することを特徴とするプログラム。
  13. 少なくともフォトレジスト膜又はハードマスク膜からなるマスク膜を有し、該マスク膜は表面損傷層を有する基板の処理方法をコンピュータに実行させるプログラムであって、
    前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する表面損傷層暴露モジュールと、
    前記混合気体の雰囲気に暴露された表面損傷層を所定の温度に加熱する表面損傷層加熱モジュールとを有することを特徴とするプログラム。
  14. 電子デバイスの製造方法をコンピュータに実行させるプログラムであって、
    半導体基板上に形成された下部電極、容量絶縁膜及び上部電極からなるキャパシタ上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜モジュールと、
    前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成モジュールと、
    該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記上部電極に達する接続孔を加工成形するプラズマ加工成形モジュールと、
    前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露モジュールと、
    前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱モジュールとを有することを特徴とするプログラム。
  15. 電子デバイスの製造方法をコンピュータに実行させるプログラムであって、
    半導体基板上に炭素を含む低誘電率絶縁膜を成膜し、該低誘電率絶縁膜上に前記低誘電率絶縁膜より少なくとも炭素濃度が低い他の絶縁膜を成膜して層間絶縁膜を形成する層間絶縁膜形成モジュールと、
    プラズマ処理により前記層間絶縁膜に配線溝を加工成形するプラズマ加工成形モジュールと、
    少なくとも前記低誘電率絶縁膜における配線溝の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する配線溝表面暴露モジュールと、
    前記混合気体の雰囲気に暴露された配線溝の表面を所定の温度に加熱する配線溝表面加熱モジュールと、
    前記他の絶縁膜を除去する他の絶縁膜除去モジュールと、
    前記配線溝に導電材料を導入して配線を形成する配線形成モジュールとを有することを特徴とするプログラム。
  16. 電子デバイスの製造方法をコンピュータに実行させるプログラムであって、
    半導体基板上に珪素を含む導電膜を成膜する導電膜成膜モジュールと、
    該成膜された導電膜上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜モジュールと、
    前記成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成モジュールと、
    該形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記導電膜に達する接続孔を加工成形するプラズマ加工成形モジュールと、
    前記加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露する接続孔表面暴露モジュールと、
    前記混合気体の雰囲気に暴露された接続孔の表面を所定の温度に加熱する接続孔表面加熱モジュールと、
    前記フォトレジスト層を除去するアッシングモジュールと、
    前記接続孔に導電材料を導入して配線を形成する配線形成モジュールとを有することを特徴とするプログラム。
JP2005278843A 2005-02-14 2005-09-26 基板の処理方法、電子デバイスの製造方法及びプログラム Active JP4860219B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2005278843A JP4860219B2 (ja) 2005-02-14 2005-09-26 基板の処理方法、電子デバイスの製造方法及びプログラム
TW095104740A TWI456691B (zh) 2005-02-14 2006-02-13 基板之處理方法,電子裝置之製造方法及程式
KR1020060013738A KR100830736B1 (ko) 2005-02-14 2006-02-13 기판 처리 방법, 전자 디바이스 제조 방법 및 프로그램을기록한 기록 매체
CNB2006100074778A CN100517602C (zh) 2005-02-14 2006-02-14 基板的处理方法、电子器件的制造方法和程序
US11/353,132 US7682517B2 (en) 2005-02-14 2006-02-14 Method of processing substrate, and method of and program for manufacturing electronic device
EP06002925A EP1691408A3 (en) 2005-02-14 2006-02-14 Method of and program for manufacturing an electronic device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005036716 2005-02-14
JP2005036716 2005-02-14
JP2005278843A JP4860219B2 (ja) 2005-02-14 2005-09-26 基板の処理方法、電子デバイスの製造方法及びプログラム

Publications (3)

Publication Number Publication Date
JP2006253634A true JP2006253634A (ja) 2006-09-21
JP2006253634A5 JP2006253634A5 (ja) 2008-11-06
JP4860219B2 JP4860219B2 (ja) 2012-01-25

Family

ID=36480956

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005278843A Active JP4860219B2 (ja) 2005-02-14 2005-09-26 基板の処理方法、電子デバイスの製造方法及びプログラム

Country Status (6)

Country Link
US (1) US7682517B2 (ja)
EP (1) EP1691408A3 (ja)
JP (1) JP4860219B2 (ja)
KR (1) KR100830736B1 (ja)
CN (1) CN100517602C (ja)
TW (1) TWI456691B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008096599A1 (ja) * 2007-02-05 2008-08-14 Tokyo Electron Limited 成膜方法,基板処理装置,および半導体装置
JP2009176807A (ja) * 2008-01-22 2009-08-06 Ulvac Japan Ltd 基板処理装置、及び基板処理方法
JP2009531857A (ja) * 2006-03-28 2009-09-03 東京エレクトロン株式会社 損傷を受けた誘電材料の除去方法
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
JP2013048127A (ja) * 2011-07-26 2013-03-07 Applied Materials Inc アッシュ後の側壁の回復
KR20180103022A (ko) * 2017-03-08 2018-09-18 도쿄엘렉트론가부시키가이샤 산화막 제거 방법 및 제거 장치, 그리고 컨택트 형성 방법 및 컨택트 형성 시스템
CN108573866A (zh) * 2017-03-08 2018-09-25 东京毅力科创株式会社 氧化膜去除方法和装置以及接触部形成方法和系统
JP2021052039A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034736A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 熱処理方法および熱処理装置
US7723237B2 (en) * 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
KR20100031681A (ko) * 2007-05-18 2010-03-24 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
WO2009001774A1 (ja) * 2007-06-22 2008-12-31 Ulvac, Inc. 半導体ウェーハの保護方法及び半導体装置の製造方法
TWI459851B (zh) * 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP2010278040A (ja) * 2009-05-26 2010-12-09 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101732023B1 (ko) * 2010-12-23 2017-05-02 삼성전자주식회사 반도체 장치의 형성 방법
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
JP6110848B2 (ja) * 2012-05-23 2017-04-05 東京エレクトロン株式会社 ガス処理方法
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
CN109563617B (zh) * 2016-08-26 2021-06-08 应用材料公司 低压升降杆腔硬件
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10200075A (ja) * 1996-11-14 1998-07-31 Hitachi Ltd 半導体集積回路装置およびその製造方法
JPH1174354A (ja) * 1997-06-30 1999-03-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US20020063110A1 (en) * 2000-11-30 2002-05-30 Cantell Marc W. Etching of hard masks
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
JP2004031638A (ja) * 2002-06-26 2004-01-29 Matsushita Electric Ind Co Ltd 配線構造の形成方法
JP2004153126A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2004084280A2 (en) * 2003-03-17 2004-09-30 Tokyo Electron Limited Processing system and method for treating a substrate
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US6074951A (en) * 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
US6677549B2 (en) * 2000-07-24 2004-01-13 Canon Kabushiki Kaisha Plasma processing apparatus having permeable window covered with light shielding film
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
TW461051B (en) * 2000-11-10 2001-10-21 Silicon Based Tech Corp Manufacturing of shrinkable split-gate flash memory with three-sided erase electrodes
JP2002303993A (ja) 2001-04-04 2002-10-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
TW504799B (en) * 2001-12-28 2002-10-01 Shr Min Copper line fabrication method
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10200075A (ja) * 1996-11-14 1998-07-31 Hitachi Ltd 半導体集積回路装置およびその製造方法
JPH1174354A (ja) * 1997-06-30 1999-03-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US20020063110A1 (en) * 2000-11-30 2002-05-30 Cantell Marc W. Etching of hard masks
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
JP2004031638A (ja) * 2002-06-26 2004-01-29 Matsushita Electric Ind Co Ltd 配線構造の形成方法
JP2004153126A (ja) * 2002-10-31 2004-05-27 Fujitsu Ltd 半導体装置の製造方法
WO2004084280A2 (en) * 2003-03-17 2004-09-30 Tokyo Electron Limited Processing system and method for treating a substrate
JP2006523379A (ja) * 2003-03-17 2006-10-12 東京エレクトロン株式会社 基板を処理する処理システムおよび方法
JP2005039185A (ja) * 2003-06-24 2005-02-10 Tokyo Electron Ltd 被処理体処理装置、その被処理体処理方法、圧力制御方法、被処理体搬送方法、及び搬送装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009531857A (ja) * 2006-03-28 2009-09-03 東京エレクトロン株式会社 損傷を受けた誘電材料の除去方法
WO2008096599A1 (ja) * 2007-02-05 2008-08-14 Tokyo Electron Limited 成膜方法,基板処理装置,および半導体装置
JP2008192835A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 成膜方法,基板処理装置,および半導体装置
JP2009176807A (ja) * 2008-01-22 2009-08-06 Ulvac Japan Ltd 基板処理装置、及び基板処理方法
JP2013048127A (ja) * 2011-07-26 2013-03-07 Applied Materials Inc アッシュ後の側壁の回復
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
KR20180103022A (ko) * 2017-03-08 2018-09-18 도쿄엘렉트론가부시키가이샤 산화막 제거 방법 및 제거 장치, 그리고 컨택트 형성 방법 및 컨택트 형성 시스템
CN108573866A (zh) * 2017-03-08 2018-09-25 东京毅力科创株式会社 氧化膜去除方法和装置以及接触部形成方法和系统
KR102118784B1 (ko) * 2017-03-08 2020-06-03 도쿄엘렉트론가부시키가이샤 산화막 제거 방법 및 제거 장치, 그리고 컨택트 형성 방법 및 컨택트 형성 시스템
CN108573866B (zh) * 2017-03-08 2022-12-16 东京毅力科创株式会社 氧化膜去除方法和装置以及接触部形成方法和系统
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
JP2021052039A (ja) * 2019-09-24 2021-04-01 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体
JP7349861B2 (ja) 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体

Also Published As

Publication number Publication date
EP1691408A3 (en) 2010-01-06
CN100517602C (zh) 2009-07-22
KR100830736B1 (ko) 2008-05-20
CN1822326A (zh) 2006-08-23
US7682517B2 (en) 2010-03-23
US20060194435A1 (en) 2006-08-31
TW200636914A (en) 2006-10-16
KR20060018918A (ko) 2006-03-02
EP1691408A2 (en) 2006-08-16
TWI456691B (zh) 2014-10-11
JP4860219B2 (ja) 2012-01-25

Similar Documents

Publication Publication Date Title
JP4860219B2 (ja) 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
TWI389194B (zh) A substrate processing apparatus, a substrate processing method, and a memory medium
US20060196527A1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US8383522B2 (en) Micro pattern forming method
US9337068B2 (en) Oxygen-containing ceramic hard masks and associated wet-cleans
US7402523B2 (en) Etching method
US20090191340A1 (en) Substrate processing method and system
US20090001046A1 (en) Substrate processing method, substrate processing apparatus and recording medium
JP2007207894A (ja) 基板処理装置、基板処理方法及び記憶媒体
JP2010027788A (ja) 銅の異方性ドライエッチング方法および装置
JP4895256B2 (ja) 基板の表面処理方法
TWI415177B (zh) A substrate processing method and a substrate processing apparatus
JP4933763B2 (ja) 固体撮像素子の製造方法、薄膜デバイスの製造方法及びプログラム
JP2007266455A (ja) 基板処理装置、基板処理方法及び記憶媒体
WO2020188958A1 (ja) 基板処理方法および基板処理装置
JP6696491B2 (ja) 半導体装置の製造方法及び真空処理装置
JP2009267111A (ja) 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体
JP4843285B2 (ja) 電子デバイスの製造方法及びプログラム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080924

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100601

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100603

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100802

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110523

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111028

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111102

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4860219

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141111

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250