CN100517602C - 基板的处理方法、电子器件的制造方法和程序 - Google Patents

基板的处理方法、电子器件的制造方法和程序 Download PDF

Info

Publication number
CN100517602C
CN100517602C CNB2006100074778A CN200610007477A CN100517602C CN 100517602 C CN100517602 C CN 100517602C CN B2006100074778 A CNB2006100074778 A CN B2006100074778A CN 200610007477 A CN200610007477 A CN 200610007477A CN 100517602 C CN100517602 C CN 100517602C
Authority
CN
China
Prior art keywords
layer
film
exposed
insulating film
surface damage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2006100074778A
Other languages
English (en)
Other versions
CN1822326A (zh
Inventor
西村荣一
岩﨑贤也
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1822326A publication Critical patent/CN1822326A/zh
Application granted granted Critical
Publication of CN100517602C publication Critical patent/CN100517602C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04CSTRUCTURAL ELEMENTS; BUILDING MATERIALS
    • E04C2/00Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels
    • E04C2/30Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure
    • E04C2/32Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material
    • E04C2/322Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material with parallel corrugations
    • EFIXED CONSTRUCTIONS
    • E04BUILDING
    • E04BGENERAL BUILDING CONSTRUCTIONS; WALLS, e.g. PARTITIONS; ROOFS; FLOORS; CEILINGS; INSULATION OR OTHER PROTECTION OF BUILDINGS
    • E04B1/00Constructions in general; Structures which are not restricted either to walls, e.g. partitions, or floors or ceilings or roofs
    • E04B1/38Connections for building structures in general
    • E04B1/61Connections for building structures in general of slab-shaped building elements with each other
    • E04B1/6108Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together
    • E04B1/612Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces
    • E04B1/6125Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface
    • E04B1/6137Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface the connection made by formlocking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Chemical & Material Sciences (AREA)
  • Civil Engineering (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种基板的处理方法,该基板具有含碳的低介电常数绝缘膜,该低介电常数绝缘膜具有碳浓度降低的表面损伤层,其包括:将所述表面损伤层在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的表面损伤层暴露步骤;以及将暴露于所述混合气体气氛中的表面损伤层加热到规定温度的表面损伤层加热步骤。

Description

基板的处理方法、电子器件的制造方法和程序
技术领域
本发明涉及基板的处理方法、电子器件的制造方法和程序,特别涉及在表面上形成低介电常数绝缘膜的电子器件的制造方法。
背景技术
在从硅晶片(以下简称为“晶片”)制造电子器件的电子器件的制造方法中,依次反复实行下述工序:在晶片表面上形成导电膜或绝缘膜的CVD(化学气相沉积,Chemical Vapor Deposition)等成膜工序;在成膜了的导电膜或绝缘膜上形成所希望的图形的光致抗蚀剂层的平版印刷工序;以及把光致抗蚀剂层用作掩模后由等离子体把导电膜成形为栅极电极、或在绝缘膜上成形配线槽或接触孔的蚀刻工序。
因此,利用上述电子器件的制造方法所制造的电子器件,具有绝缘膜配置于作为电极或配线的导电膜之间的构成。通常,把这种绝缘膜称为层间绝缘膜。
近年来,要求在电子器件中实现更高度的集成度,与此相对应,配线槽的要求加工尺寸变得更小,邻接的配线彼此的要求间隔变得也变得更窄。如果配线槽的加工尺寸变小、配线间隔变窄,则配线的寄生电阻R和寄生电容C增大,发生起因于这些的配线延迟(RC延迟)而传过配线的信号的传递速度降低。因为配线延迟随着寄生电阻R或寄生电容C的增大而增大,故为了消除配线延迟而需要降低寄生电阻R或寄生电容C。
这里,作为降低寄生电容C的方法而言,降低层间绝缘膜的相对介电常数是有效的,因此,开发了各种低相对介电常数(Low-κ)的层间绝缘膜。
一般来说,虽然作为层间绝缘膜的材料使用SiO2。作为降低该SiO2的相对介电常数的方法,在SiO2中掺杂氟的方法是公知的,但因为所掺杂的氟具有从SiO2中游离的倾向,故目前开发了代替氟而掺杂碳的SiOC系的低介电常数层间绝缘膜材料或有机聚合物系的涂布型低介电常数层间绝缘膜材料,例如“SiLK(注册商标,ザ·ダウ·ケミカル·カンパニ一)”等。这里,把3.0以下的相对介电常数称为低介电常数。此外,用于低介电常数层间绝缘膜的代表性的材料示于以下的表1中。
[表1]
然而,在SiOC系的低介电常数层间绝缘膜或有机聚合物系的涂布型低介电常数层间绝缘膜中,通过在层间绝缘膜上成形配线槽等的反应性离子蚀刻(Reactive Ion Etching)工序和去除在层间绝缘膜上所形成的光致抗蚀剂层的研磨工序等的等离子体处理,在其露出的表面上形成碳浓度低的表面损伤层(破坏层)(例如,参照D.Shamiryan,“具有不同性质的SiCOH Low-κ膜与蚀刻等离子体和净化等离子体的相互作用的比较研究”,日本真空学技术,B20(5),美国真空学会,2002年9月,第1928页(D.Shamiryan,“Comparative study of SiOCH low-kfilms with varied porosity interacting with etching and cleaning plasma”,J.Vac.Sci.Technol.B20(5),American Vacuum Society,2002年9月,p.1928))。该表面损伤层具有类似SiO2(纯氧化物,native oxide)的特性,在作为下道工序所实行的使用药液(HF或NH4F)的湿蚀刻工序中容易溶解,此外,在热处理工序中引起体积收缩,故需要实行不发生表面损伤层的蚀刻工序或研磨工序,或者在用导电膜等覆盖所发生的表面损伤层之前去除该表面损伤层。
作为不发生表面损伤层的研磨工序来说,代替O2(氧)等离子体而使用H2O(水)等离子体的工序是公知的(例如,参照依田,“高性能配线技术”,东芝レビユ一Vol.59No.8,2004年,p.18),作为表面损伤层的去除方法而言,使用有机系溶剂和NH4F的药液处理的去除方法(例如,参照特开2002-303993号公报)是公知的。
但是,在使用等离子体的工序中,因为在高度的集成度的电子器件中由等离子体赋予高能量,故存在着损伤微细的配线的危险。
因此,虽然最好是用不使用等离子体的药液处理的表面损伤层去除方法,但是在药液处理中,表面损伤层接触于药液期间,因为表面损伤层被连续去除,故表面损伤层的去除量的控制是困难的,而且因药液中的水成分,在表面损伤层去除后的低介电常数层间绝缘膜的表面上排列着OH基(氢氧基)而使该表面成为亲水性,其结果是,存在着因吸湿而配线可靠性降低这样的问题。
发明内容
本发明的目的在于提供一种可以容易地进行表面损伤层的去除量的控制、同时可以防止配线可靠性降低的基板的处理方法、电子器件的制造方法以及程序。
为了实现上述目的,根据本发明的第1方式,提供本发明第1方面。
根据该方式,碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于该混合气体的气氛中的表面损伤层被加热到规定的温度。如果碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,则生成基于表面损伤层和混合气体的生成物,如果暴露于上述混合气体气氛中的表面损伤层被加热到规定的温度,则上述所生成的生成物被加热而气化。也就是说,可以不用药液而去除表面损伤层。此外,生成物的生成量可以通过混合气体的参数来控制。因此,可以容易地进行表面损伤层的去除量的控制,同时,可以防止配线可靠性的降低。
优选是本发明第2方面。
根据上述处理方法,由于对基板实施无等离子体的蚀刻处理,所以在由基板所制造的电子器件中,因为电荷不蓄积于栅极电极,故可以防止栅极氧化膜的劣化或破坏,因为能量粒子不照射于电子器件(元件),故可以防止在半导体中冲击损伤(结晶缺陷)的发生,而且,因为不会引起起因于等离子体的不可预料的化学反应,故可以防止杂质的发生,由此,可以防止对基板实施处理的处理室被污染。
优选是本发明第3方面。
根据上述处理方法,可以抑制基板表面的物性变化,因此能够可靠地防止配线可靠性的降低。
更优选是本发明第4方面。
根据上述处理方法,由于混合气体中的氟化氢相对于氨的体积流量比为1~1/2,上述规定的压力为6.7×10-2~4.0Pa,所以可以促进生成物的生成,因此可以确实地去除表面损伤层。
优选是本发明第5方面。
根据上述处理方法,由于规定的温度为80~200℃,所以可以促进生成物的气化,因此可以确实地去除表面损伤层。
优选是本发明第6方面。
根据上述处理方法,由于具有表面损伤层的低介电常数绝缘膜的形状被测定,根据该所测定的形状至少决定混合气体中的氟化氢相对于氨的体积流量比、以及上述规定的压力的至少一项,所以可以正确地进行表面损伤层的去除量的控制,因此可以提高基板的表面处理的效率。
为了实现上述目的,根据本发明的第2方式,提供本发明第7方面。
根据上述处理方法,掩模膜的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于混合气体气氛中的表面损伤层被加热到规定的温度。如果碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,则生成基于表面损伤层和混合气体的生成物,如果暴露于上述混合气体气氛中的表面损伤层被加热到规定的温度,则上述所生成的生成物被加热而气化。也就是说,可以不用药液而去除表面损伤层。此外,生成物的生成量可以由混合气体的参数进行控制。因此,可以容易地进行表面损伤层的去除量的控制,同时,可以防止配线可靠性的降低。
为了实现上述目的,根据本发明的第3方式,提供本发明第8方面。
根据上述制造方法,在低介电常数绝缘膜中的连接孔的加工成形中,由起因于等离子体而发生的碳浓度降低的表面损伤层所覆盖的连接孔的表面,在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于该混合气体气氛中的连接孔的表面被加热到规定的温度。如果碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,则生成基于表面损伤层和混合气体的生成物,如果暴露于上述混合气体气氛中的表面损伤层被加热到规定的温度,则上述所生成的生成物被加热而气化。也就是说,可以不用药液而去除连接孔的表面上的表面损伤层。此外,生成物的生成量可以由混合气体的参数进行控制。因此,可以容易地进行连接孔的表面上的表面损伤层的去除量的控制,同时,可以防止配线可靠性的降低。
为了实现上述目的,根据本发明的第4方式,提供本发明第9方面。
根据上述制造方法,在层间绝缘膜的配线槽的加工成形中,由起因于等离子体而发生的碳浓度降低的表面损伤层所覆盖的低介电常数绝缘膜的配线槽的表面,在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于该混合气体气氛中的配线槽的表面被加热到规定的温度。如果碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,则生成基于表面损伤层和混合气体的生成物,如果暴露于上述混合气体气氛中的表面损伤层被加热到规定的温度,则上述所生成的生成物被加热而气化。也就是说,可以不用药液而去除低介电常数绝缘膜的配线槽的表面上的表面损伤层。此外,生成物的生成量可以由混合气体的参数进行控制。因此,可以容易地进行低介电常数绝缘膜的配线槽表面上的表面损伤层的去除量的控制,同时,可以防止配线可靠性的降低。
优选是本发明第10方面。
根据上述制造方法,在去除在其它绝缘膜上所形成的光致抗蚀剂层之际,光致抗蚀剂层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于该混合气体气氛中的光致抗蚀剂层被加热到规定的温度。由此,可以不用药液而去除光致抗蚀剂层。此外,因为光致抗蚀剂层的去除量可以由混合气体的参数来控制,故可以防止光致抗蚀剂层的残渣的发生和光致抗蚀剂层的下层的不必要的蚀刻。
为了实现上述目的,根据本发明的第5方式,提供本发明第11方面。
根据上述制造方法,在低介电常数绝缘膜中的连接孔的加工成形中,由起因于等离子体而发生的碳浓度降低的表面损伤层所覆盖的连接孔的表面,在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,暴露于该混合气体气氛中的连接孔的表面被加热到规定的温度。如果碳浓度降低的表面损伤层在规定的压力下暴露于含有氨和氟化氢的混合气体的气氛中,则生成基于表面损伤层和混合气体的生成物,如果暴露于上述混合气体气氛中的表面损伤层被加热到规定的温度,则上述所生成的生成物被加热而气化。也就是说,可以不用药液而去除连接孔表面上的表面损伤层。此外,生成物的生成量可以由混合气体的参数进行控制。因此,可以容易地进行连接孔表面上的表面损伤层的去除量的控制,同时,可以防止配线可靠性的降低。
为了实现上述目的,根据本发明的第6方式,提供本发明第12方面。
根据上述程序,可以收到与上述第1方式同样的效果。
为了实现上述目的,根据本发明的第7方式,提供本发明第13方面。
根据上述程序,可以收到与上述第2方式同样的效果。
为了实现上述目的,根据本发明的第8方式,提供本发明第14方面。
根据上述程序,可以收到与上述第3方式同样的效果。
为了实现上述目的,根据本发明的第9方式,提供本发明第15方面。
根据上述程序,可以收到与上述第4方式同样的效果。
为了实现上述目的,根据本发明的第10方式,提供本发明第16方面。
根据上述程序,可以收到与上述第5方式同样的效果。
根据以下结合附图的详细描述,本发明的以上及其它目的、特征、和优点将变得更加清楚。
附图说明
图1是表示适用本发明实施方式的基板处理方法的基板处理装置的概略构成的俯视图。
图2(A)、(B)是图1中的第二处理单元的剖面图,图2(A)是沿图1中的线II-II的剖面图,图2(B)是图2(A)中的A部的放大图。
图3是表示图1中的第二处理舱的概略构成的立体图。
图4是表示图3中的第二负载锁定单元的单元驱动用干燥空气供给系统的概略构成的图。
图5是表示图1的基板处理装置中的系统控制器的概略构成的图。
图6(A)~(F)是表示本发明实施方式的电子器件的制造方法的工序图。
图7(A)~(J)是表示本实施方式的电子器件的制造方法的第一变形例的工序图。
图8(A)~(I)是表示本实施方式的电子器件的制造方法的第二变形例的工序图。
图9(A)~(H)是表示本实施方式的电子器件的制造方法的第三变形例的工序图。
图10是表示适用本实施方式的基板处理方法的基板处理装置的第一变形例的概略构成的俯视图。
图11是表示适用本实施方式的基板处理方法的基板处理装置的第二变形例的概略构成的俯视图。
具体实施方式
下面,就本发明的实施方式参照附图进行说明。
首先,就本实施方式的基板的处理方法进行说明。
图1是表示适用本实施方式的基板处理方法的基板处理装置的概略构成的俯视图。
在图1中,基板处理装置10包括:对电子器件用的晶片(以下简称为“晶片”)(基板)W实施反应性离子蚀刻(以下称为“RIE”)处理的第一处理舱11;与该第一处理舱11平行地配置、并对在第一处理舱11中实施了RIE处理的晶片W实施后述的COR(化学氧化物去除处理,Chemical Oxide Removal)处理和PHT(后热处理,Post HeatTreatment)处理的第二处理舱12;以及分别连接第一处理舱11和第二处理舱12的矩形状的作为共同搬送室的装载单元13。
在装载单元13上,除了上述第一处理舱11和第二处理舱12之外,还连接有下述的构件:分别载置着作为收存25张晶片W的容器的晶片传送盒(前面敞开统一晶片盒,Front Opening Unified Pod)14的3个晶片传送盒载置台15;预校准从晶片传送盒14所搬出的晶片W的位置的定位器16;测量晶片W的表面状态的第一和第二IMS(集成计量系统,Integrated Metrology System,Therma-Wave,Inc.)17、18。
第一处理舱11和第二处理舱12连接于装载单元13的纵长方向的侧壁,并且隔着装载单元13与3个晶片传送盒载置台15相对向地来配置,定位器16配置于装载单元13的纵长方向的一端,第一IMS 17配置于装载单元13的纵长方向的另一端,第二IMS 18与3个晶片传送盒载置台15并列地配置。
装载单元13包括:配置于内部的搬送晶片W的SCARA型双臂式的搬送臂机构19;对应于各晶片传送盒载置台15地配置于侧壁的作为晶片W投入口的3个装载口20。搬送臂机构19从载置于晶片传送盒载置台15的晶片传送盒14经由装载口20取出晶片W,把该取出的晶片W向第一处理舱11、第二处理舱12、定位器16、第一IMS 17或第二IMS 18搬出搬入。
第一IMS 17是光学系统的监视器,具有载置所搬入的晶片W的载置台21和指向载置于该载置台21的晶片W的光学传感器22,测定晶片W的表面形状,例如,表面层的膜厚、以及配线槽或栅极电极的CD(临界尺寸,Critical Dimension)值。第二IMS 18也是光学系统的监视器,与第一IMS 17同样,具有载置台23和光学传感器24,测量晶片W的表面上的颗粒数。
第一处理舱11包括:作为对晶片W实施R1E处理的第一真空处理室的第一处理单元25;和内藏把晶片W交接于该第一处理单元25的连杆型单拾取式的第一搬送臂26的第一负载锁定单元27。
第一处理单元25包括圆筒状的处理室容器(腔室)和配置于该腔室内的上部电极和下部电极,该上部电极和下部电极之间的距离设定成用来对晶片W实施RIE处理的适当的间隔。此外,下部电极在其顶部具有通过库仑力等夹紧晶片W的ESC 28。
在第一处理单元25中,把处理气体导入到腔室内部,通过在上部电极和下部电极间产生电场,使所导入的气体等离子体化,产生离子和自由基,由该离子和自由基对晶片W实施RIE处理。
在第一处理舱11中,装载单元13的内部压力维持成大气压力,另一方面,第一处理单元25的内部压力维持成真空。因此,第一负载锁定单元27在与第一处理单元25连接的连接部具有真空闸阀29,并且在与装载单元13连接的连接部具有大气闸阀30,由此作为能够调整其内部压力的真空预搬送室而构成。
在第一负载锁定单元27的内部,在大致中央部设置第一搬送臂26,在该第一搬送臂26的第一处理单元25一侧设置第一缓冲器31,在第一搬送臂26的装载单元13一侧设置第二缓冲器32。第一缓冲器31和第二缓冲器32配置于在第一搬送臂26的前端部上配置的支持晶片W的支持部(拾具)33移动的移动轨道上,通过使实施了RIE处理的晶片W暂时退让到支持部33的轨道的上方,可以使未进行RIE处理的晶片W与RIE处理完的晶片W在第一处理单元25中进行平稳的更换。
第二处理舱12包括:作为对晶片W实施COR处理的第二真空处理室的第二处理单元34;经由真空闸阀35连接于该第二处理单元34的作为对晶片W实施PHT处理的第三真空处理室的第三处理单元36;以及内藏把晶片W交接于第二处理单元34和第三处理单元36的连杆型单拾取式的第二搬送臂37的第二负载锁定单元49。
图2(A)、(B)是图1中的第二处理单元34的剖面图,图2(A)是沿图1中的线II-II的剖面图,图2(B)是图2(A)中的A部的放大图。
在图2(A)中,第二处理单元34包括:圆筒状的处理室容器(腔室)38;配置于该腔室38内的作为晶片W的载置台的ESC 39;配置于腔室38的上方的喷淋头40;排出腔室38内的气体等的TMP(涡轮分子泵,Turbo Molecular Pump)41;以及配置于腔室38和TMP 41之间、控制腔室38内的压力的作为可变式蝶形阀的APC(自动压力控制,Automatic Pressure Control)阀42。
ESC 39具有在内部施加有直流电压的电极板(未图示),利用由直流电压发生的库仑力或约翰逊一拉贝克(Johnsen-Rahbek)力吸附保持晶片W。此外,ESC 39作为调温机构具有冷却剂室(未图示)。规定温度的冷却剂例如冷却水或热传导液循环供给到该冷却剂室,由该冷却剂的温度控制吸附保持于ESC 39上面的晶片W的处理温度。而且,ESC 39具有把传热气体(氦气)普遍地供给到ESC 39的上面与晶片W的背面之间的传热气体供给系统(未图示)。传热气体在COR处理期间,进行由冷却剂维持于所希望的指定温度的ESC 39与晶片的热交换,高效率地且均匀地冷却晶片。
此外,ESC 39具有从其上面突出自如的作为提升销的多个推动销56,这些推动销56在晶片W被吸附保持于ESC 39时收存于ESC 39,在把实施了COR处理的晶片W从腔室38搬出时,从ESC 39的上面突出而把晶片W向上方抬起。
喷淋头40具有两层结构,在下层部43和上层部44的各自上具有第一缓冲室45和第二缓冲室46。第一缓冲室45和第二缓冲室46分别经由气体通气孔47、48连通到腔室38内。也就是说,喷淋头40由具有分别供给到第一缓冲室45和第二缓冲室46的气体向腔室38内导入的内部通路的重叠成阶层状的2个板状体(下层部43、上层部44)构成。
在对晶片W实施COR处理之际,NH3(氨)气从后述的氨气供给管57供给到第一缓冲室45,该所供给的氨气经由气体通气孔47向腔室38内供给,同时,HF(氟化氢)气从后述的氟化氢气供给管58供给到第二缓冲室46,该所供给的氟化氢气经由气体通气孔48向腔室38内供给。
此外,喷淋头40内藏加热器(未图示)、例如加热元件。该加热元件,优选是配置于上层部44上而控制第二缓冲室46内的氟化氢气的温度。
此外,如图2(B)中所示,气体通气孔47、48中的向腔室38内开口的开口部形成为扇形展开状。由此,可以高效地向腔室38内扩散氨气或氟化氢气。而且,由于气体通气孔47、48的剖面呈中间细形状,所以可以防止在腔室38中发生的沉积物向气体通气孔47、48进而向第一缓冲室45或第二缓冲室46逆流的情形。再者,气体通气孔47、48也可以是螺旋状的通气孔。
该第二处理单元34,通过调整腔室38内的压力与氨气和氟化氢气的体积流量比而对晶片W实施COR处理。此外,因为该第二处理单元34设计成氨气和氟化氢气在腔室38内开始混合(后混合设计),故直至上述2种气体导入到腔室38内,防止该2种混合气体混合的情形,防止氟化氢气与氨气在导入到腔室38内之前进行反应的情形。
此外,在第二处理单元34中,腔室38的侧壁内藏加热器(未图示)、例如加热元件,防止腔室38内的气氛温度降低。由此,可以提高COR处理的再现性。此外,侧壁内的加热元件通过控制侧壁的温度来防止在腔室38内发生的副生成物附着于侧壁内侧的情形。
返回到图1,第三处理单元36包括:框体状的处理室容器(腔室)50:配置于该腔室50内的作为晶片W的载置台的台加热器51;配置于该台加热器51的周围、向上方抬起载置于台加热器51的晶片W的缓冲臂52;以及作为阻断腔室内及外部气氛的开闭自如的作为盖的PHT腔室盖(未图示)。
台加热器51由在表面上形成有氧化皮膜的铝构成,由内藏的电热丝等把所载置的晶片W加热到规定的温度。具体地说,台加热器51至少用1分钟把所载置的晶片W加热到100~200℃,优选是直接加热到约135℃。
在PHT腔室盖上配置着硅橡胶制的片式加热器。此外,在腔室50的侧壁上内藏筒式加热器(未图示),该插装式加热器把腔室50的侧壁的壁面温度控制成25~80℃。由此,防止副生成物附着于腔室50的侧壁,防止起因于附着的副生成物的颗粒的发生而延长腔室50的净化周期。再者,腔室50的外周由隔热层覆盖。
作为从上方加热晶片W的加热器,也可以替换上述片式加热器,配备紫外线放射(UV radiation)加热器。作为紫外线放射加热器而言,包括放射出波长为190~400nm的紫外线的紫外线灯等。
缓冲臂52通过使实施了COR处理的晶片W暂时避让到第二搬送臂37中的支持部53的轨道的上方,使第二处理单元34或第三处理单元36中的晶片W可以进行顺利的更换。
该第三处理单元36,通过调整晶片W的温度而对晶片W实施PHT处理。
第二负载锁定单元49具有内藏第二搬送臂37的框体状的搬送室(腔室)70。此外,装载单元13的内部压力维持成大气压力,另一方面,第二处理单元34和第三处理单元36的内部压力维持成真空。因此,第二负载锁定单元49在与第三处理单元36连接的连接部具有真空闸阀54,同时,在与装载单元13连接的连接部具有大气门阀55,由此,作为能够调整其内部压力的真空预搬送室来构成。
图3是表示图1中的第二处理舱12的概略构成的立体图。
在图3中,第二处理单元34包括:向第一缓冲室45供给氨气的氨气供给管57;向第二缓冲室46供给氟化氢气的氟化氢气供给管58;测定腔室38内的压力的压力计59;以及把冷却剂供给到配置于ESC 39内的冷却系统的冷机单元60。
在氨气供给管57上设有MFC(质量流量控制器,Mass FlowController)(未图示),该MFC调整向第一缓冲室45供给的氨气的流量,同时,在氟化氢气供给管58上也设有MFC(未图示),该MFC调整向第二缓冲室46供给的氟化氢气的流量。氨气供给管57的MFC与氟化氢气供给管58的MFC协调动作,调整向腔室38所供给的氨气与氟化氢气的体积流量比。
此外,在第二处理单元34的下方,配置着连接于DP(干燥泵,Dry Pump)(未图示)的第二处理单元排气系统61。第二处理单元排气系统61包括与配置于腔室38和APC阀42之间的排气导管62连通的排气管63和连接于TMP41的下方(排气侧)的排气管64,排出腔室38内的气体等。再者,排气管64在DP的面前连接于排气管63。
第三处理单元36包括:向腔室50供给氮气(N2)的氮气供给管65;测定腔室50内的压力的压力计66;以及排出腔室50内的氮气等的第三处理单元排气系统67。
在氮气供给管65上设有MFC(未图示),该MFC调整向腔室50所供给的氮气的流量。第三处理单元排气系统67包括:连通于腔室50并且连接于DP的主排气管68;配置于该主排气管68的中途的APC阀69;以及从主排气管68避开APC阀69而分支且在DP面前连接于主排气管68的副排气管68a。APC阀69控制腔室50内的压力。
第二负载锁定单元49包括:向腔室70供给氮气的氮气供给管71;测定腔室70内的压力的压力计72;排出腔室70内的氮气等的第二负载锁定单元排气系统73;以及把腔室70内向大气开放的大气连通管74。
在氮气供给管71上设有MFC(未图示),该MFC调整向腔室70所供给的氮气的流量。第二负载锁定单元排气系统73由1根排气管构成,该排气管连通于腔室70,同时,在DP的面前连接于第三处理单元排气系统67中的主排气管68。此外,第二负载锁定单元排气系统73及大气连通管74分别具有开闭自如的排气阀75和溢流阀76,该排气阀75和溢流阀76协调动作而把腔室70内的压力调整成从大气压力到所希望的真空度的任意压力。
图4是表示图3中的第二负载锁定单元49的单元驱动用干燥空气供给系统的概略构成的图。
在图4中,作为第二负载锁定单元49的单元驱动用干燥空气供给系统77的干燥空气供给目的地而言,包括大气门阀55所具有的滑动门驱动用的门阀缸、具有作为N2净化单元的氮气供给管71的MFC、具有作为大气开放用的溢流单元的大气连通管74的溢流阀76、具有作为抽真空单元的第二负载锁定单元排气系统73的排气阀75、以及具有真空闸阀54的滑动闸门驱动用的闸阀缸。
单元驱动用干燥空气供给系统77包括:第二处理舱12所具备的从主干燥空气供给管78所分支的副干燥空气供给管79;连接于副干燥空气供给管79的第一电磁阀80和第二电磁阀81。
第一电磁阀80经由干燥空气供给管82、83、84、85分别连接于门阀缸、MFC、溢流阀76和闸阀缸,通过控制向这些的干燥空气的供给量来控制各部的动作。此外,第二电磁阀81经由干燥空气供给管86连接于排气阀75,通过控制向排气阀75的干燥空气的供给量来控制排气阀75的动作。
再者,氮气供给管71中的MFC还连接于氮气(N2)供给系统87。
此外,第二处理单元34或第三处理单元36也包括具有与上述第二负载锁定单元49的单元驱动用干燥空气供给系统77同样的构成的单元驱动用干燥空气供给系统。
返回到图1,基板处理装置10包括:控制第一处理舱11、第二处理舱12和装载单元13的动作的系统控制器;以及配置于装载单元13的纵长方向的一端的操作控制器88。
操作控制器88具有由例如LCD(液晶显示器,Liquid CrystalDisplay)构成的显示部,该显示部显示基板处理装置10的各构成要素的动作状况。
此外,如图5所示,系统控制器包括:EC(设备控制器,EquipmentController)89;3个MC(模块控制器,Module Controller)90、91、92;以及连接EC 89和各MC的切换集线器93。该系统控制器从EC经由LAN(局域网,Local Area Network)170连接于作为管理设置有基板处理装置10的整个工厂的制造工序的MES(制造执行系统,Manufacturing Execution System)的PC 171。MES与系统控制器合作把与工厂中的工序有关的实时信息反馈到骨干业务系统(未图示),并且考虑整个工厂的负荷等进行与工序有关的判断。
EC 89是统管各MC而控制基板处理装置10总体的动作的主控制部(主机控制部)。此外,EC 89包括CPU、RAM、HDD等,在操作控制器88中由用户等所指定的晶片W的处理方法,即,CPU根据对应于制法的程序把控制信号发送到各MC,由此,控制第一处理舱11、第二处理舱12和装载单元13的动作。
切换集线器93根据来自EC 89的控制信号来切换作为EC 89的连接目的地的MC。
MC 90、91、92分别是控制第一处理舱11、第二处理舱12和装载单元13的动作的副控制部(从机控制部)。各MC由DIST(分配,Distribution)交换器96经由GHOST网络95分别连接于各I/O(输入输出)模块97、98、99。GHOST网络95是由搭载于MC所具有的MC交换器的所谓GHOST(通用高速最佳可缩放收发器,GeneralHigh-Speed Optimum Scalable Transceiver)的LSI所实现的网络。在GHOST网络95中,最大能够连接31个I/O模块,在GHOST网络95中,MC相当于主机,I/O模块相当于从机。
I/O模块98由连接于第二处理舱12中的各构成要素(以下称为“终端器件”)的多个I/O部100构成,进行向各终端器件的控制信号和来自各终端器件的输出信号的传递。在I/O模块98中连接于I/O部100的终端器件中,包括例如第二处理单元34中的氨气供给管57的MFC、氟化氢气供给管58的MFC、压力计59和APC阀42、第三处理单元36中的氮气供给管65的MFC、压力计66、APC阀69、缓冲臂52及台加热器51、第二负载锁定单元49中的氮气供给管71的MFC、压力计72和第二搬送臂37、以及单元驱动用干燥空气供给系统77中的第一电磁阀80和第二电磁阀81等。
再者,I/O模块97、99具有与I/O模块98同样的构成,对应于第一处理舱11的MC 90及I/O模块97的联接关系、以及对应于装载单元13的MC 92及I/O模块99的联接关系也是与上述MC 91及I/O模块98的联接关系同样的构成,故省略这些的说明。
此外,各HOST网络95上还连接着控制I/O部100中的数字信号、模拟信号及串行信号的输入输出的I/O交换器(未图示)。
在基板处理装置10中,在对晶片W实施COR处理之际,EC 89的CPU根据对应于COR处理的制法的程序,经由切换集线器93、MC91、GHOST网络95和I/O模块98中的I/O部100,把控制信号发送到所希望的终端器件,由此,在第二处理单元34中实施COR处理。
具体地说,CPU通过把控制信号发送到氨气供给管57的MFC和氟化氢气供给管58的MFC而把腔室38中的氨气和氟化氢气的体积流量比调整成所希望的值,通过把控制信号发送到TMP 41和APC阀42而把腔室38内的压力调整成所希望的值。此时,压力计59把腔室38内的压力值作为输出信号发送到EC 89的CPU,该CPU基于所发送的腔室38内的压力值决定氨气供给管57的MFC、氟化氢气供给管58的MFC、APC阀42或TMP 41的控制参数。
此外,在对晶片W实施PHT处理之际,EC 89的CPU根据对应于PHT处理的制法的程序,把控制信号发送到所希望的终端器件,由此,在第三处理单元36中实施PHT处理。
具体地说,CPU通过把控制信号供给到氮气供给管65的MFC和APC阀69而把腔室50内的压力调整成所希望的值,通过把控制信号供给到台加热器51而把晶片W的温度调整成所希望的温度。此时,压力计66把腔室50内的压力值作为输出信号发送到EC 89的CPU,该CPU基于所发送的腔室50内的压力值决定APC阀69或氮气供给管65的MFC的控制参数。
在图5的系统控制器中,多个终端器件不直接连接于EC 89,连接于该多个终端器件的I/O部100被模块化而构成I/O模块,因为该I/O模块经由MC和切换集线器93连接于EC 89,故可以简化通信系统。
此外,因为在EC 89的CPU所发送的控制信号中包含连接于所希望的终端器件的I/O部100的地址、和包括该I/O部100的I/O模块的地址,故通过切换集线器93参照控制信号中的I/O模块的地址,MC的GHOST参照控制信号中的I/O部100的地址,切换集线器93或MC可以无需向CPU询问控制信号目的地,由此,可以实现控制信号的顺利的传递。
然而,如前所述,在晶片W上所成膜的掺杂了碳的SiOC系低介电常数层间绝缘膜或有机聚合物系涂布型低介电常数层间绝缘膜的表面上,通过RIE或研磨,形成具有类似SiO2的特性的表面损伤层(以下称为“疑似SiO2层”),因为该疑似SiO2层在由晶片W所制造的电子器件中成为引起各种问题的原因,故需要去除。再者,该疑似SiO2层还称为“变质层”或“牺牲层”。
本实施方式的基板的处理方法,与此相对应,对具有疑似SiO2层在表面上所形成的低介电常数层间绝缘膜的晶片W实施COR处理和PHT处理。
COR处理是使被处理体的氧化膜与气体分子进行化学反应而生成生成物的处理,PHT处理是加热实施了COR处理的被处理体、对由COR处理的化学反应而在被处理体上生成的生成物进行气化-热氧化(Thermal Oxidation)而从被处理体去除的处理。像以上这样,因为COR处理和PHT处理、特别是COR处理是不用等离子体且不用水成分而去除被处理体的氧化膜的处理,故属于无等离子体蚀刻处理和干式净化处理(干燥清洗处理)。
在本实施方式的基板的处理方法中,作为气体使用氨气和氟化氢气。这里,氟化氢气促进疑似SiO2层的腐蚀,氨气根据需要来限制氧化膜与氟化氢气的反应,最终合成用来使之停止的反应副生成物(By-product)。具体地说,在COR处理和PHT处理中,利用以下的化学反应。
(COR处理)
SiO2+4HF→SiF4+2H2O↑
SiF4+2NH3+2HF→(NH4)2SiF6
(PHT处理)
(NH4)2SiF6→SiF4↑+2NH3↑+2HF↑
由本发明人确认利用上述化学反应的COR处理和PHT处理具有以下特性。再者,在PHT处理中,也产生若干量的N2和H2
1)热氧化膜的选择比(去除速度)高。
具体地说,COR处理和PHT处理的热氧化膜的选择比高,另一方面,硅的选择比低。因此,可以高效率地去除作为热氧化膜的具有与SiO2膜同样的性质的疑似SiO2层。
2)去除了氧化膜的低介电常数层间绝缘膜的表面上的自然氧化膜的生长速度慢。
具体地说,在通过湿式蚀刻而去除了氧化膜的低介电常数层间绝缘膜的表面上,厚度3
Figure C20061000747700211
的自然氧化膜的生长时间为10分钟,与此相对,在利用COR处理和PHT处理而去除了氧化膜的低介电常数层间绝缘膜的表面上,厚度3
Figure C20061000747700212
的自然氧化膜的生长时间为2小时以上。因此,在电子器件的制造工序中不会产生不需要的氧化膜,可以提高电子器件的可靠性。
3)在干燥环境中进行反应。
具体地说,因为在COR处理中,在反应中不用水,并且,因COR处理而产生的水也通过PHT处理而被气化,故在去除了氧化膜的低介电常数层间绝缘膜的表面上不会存在OH基。因此,低介电常数层间绝缘膜的表面不会成为亲水性,因此该表面不吸湿,故可以防止电子器件的配线可靠性的降低。
4)生成物的生产量一经过规定时间就饱和。
具体地说,如果经过规定时间,则以后,即使使疑似SiO2层继续暴露于氨气和氟化氢气的混合气体,生成物的生成量也不增加。此外,生成物的生成量取决于混合气体的压力、体积流量比等混合气体的参数。因此,可以容易地进行疑似SiO2层的去除量的控制。
5)颗粒的发生非常少。
具体地说,即使在第二处理单元34和第三处理单元36中实行2000张的晶片W中的疑似SiO2层的去除,在腔室38或腔室50的内壁等上也几乎观察不到颗粒的附着。因此,在电子器件中不会发生由颗粒造成的配线短路等,可以提高电子器件的可靠性。
在本实施方式的基板的处理方法中,在基板处理装置10中,首先,把具有在表面上形成了疑似SiO2层的低介电常数层间绝缘膜的晶片W收存于第二处理单元34的腔室38中,把该腔室38内的压力调整成规定的压力,把氨气、氟化氢气和作为稀释气体的氩气(Ar)导入到腔室38内,使腔室38内成为由它们构成的混合气体的气氛,在规定的压力下把疑似SiO2层暴露于混合气体(表面损伤层暴露步骤)。由此,由疑似SiO2层、氨气和氟化氢气生成具有配位结构的生成物。
接着,把生成了生成物的晶片W载置于第三处理单元36的腔室50内的台加热器51上,把该腔室50内的压力调整成规定的压力,把氮气导入到腔室50内而生成粘性流,由台加热器51把晶片W加热到规定的温度(表面损伤层加热步骤)。由此,生成物的配位结构被热分解,生成物分离气化成四氟化硅(SiF4)、氨气、氟化氢。气化了的这些分子被卷入粘性流中而由第三处理单元排气系统67从腔室50排出。
在第二处理单元34中,因为氟化氢气容易与水分反应,故优选是把腔室38中的氨气的体积设定成比氟化氢气的体积要多,此外,优选是尽可能去除腔室38中的水分子。具体地说,优选是腔室38内的混合气体中的氟化氢气相对于氨气的体积流量(SCCM)比为1~1/2,此外,优选是腔室38内的规定的压力为6.7×10-2~4.0Pa(0.5~30mTorr)。由此,因为腔室38内的混合气体的流量比等稳定,故可以促进生成物的生成。
此外,如果腔室38内的规定的压力为6.7×10-2~4.0Pa(0.5~30mTorr),则在经过规定时间后可以可靠地使生成物的生成量饱和,由此,可以可靠地抑制蚀刻深度(自我限制)。例如,在腔室38内的规定压力为1.3Pa(10mTorr)的场合,蚀刻的进行从COR处理开始经过大约3分后停止。此时的蚀刻深度为大约15nm。此外,在腔室38内的规定压力为2.7Pa(20mTorr)的场合,蚀刻的进行从COR处理开始经过大约3分后停止。此时的蚀刻深度为大约24nm。
此外,因为反应物在常温附近反应被促进,故优选为载置晶片W的ESC 39由内藏的调温机构(未图示)把其温度设定成25℃。而且,因为温度越高在腔室38内发生的副生成物越不容易附着,所有优选是腔室38内的内壁温度由埋设于侧壁的加热器(未图示)设定成50℃。
在第三处理单元36中,反应物是含有配位键的配位化合物(Complex compound),由于配位化合物的键力弱,即使在比较低的温度下也促进热分解,所以优选是晶片W的规定温度为80~200℃,而且,优选是对晶片W实施PHT处理的时间为60~180秒。此外,为了在腔室50内产生粘性流,提高腔室50内的真空度是不可取的,并且,一定流量的气体流是必要的。因此,优选是该腔室50中的规定压力为6.7×10~1.3×102Pa(500mTorr~1Torr),优选是氮气的流量为500~3000SCCM。由此,因为可以在腔室50内可靠地产生粘性流,故可以可靠地去除因生成物的热分解而产生的气体分子。
此外,优选是在对晶片W实施COR处理之前,由第一IMS17测定具有疑似SiO2层的低介电常数层间绝缘膜处的配线槽或接触孔(连接孔)的CD值,根据所测定的CD值,EC 89的CPU基于CD值与关联于疑似SiO2层的去除量的处理条件参数的规定关系,决定COR处理或PHT处理中的处理条件参数的值(生成物生成条件决定步骤)。由此,可以正确地进行疑似SiO2层的去除量的控制,因此可以提高基板的表面处理的效率。
上述规定的关系,在处理多个晶片W的批量的初期,基于由第一IMS17所测定的实施COR处理和PHT处理之前和实施之后的CD值之差、即COR处理和PHT处理的疑似SiO2层去除量和此时的COR处理和PHT处理中的处理条件参数来设定。作为处理条件参数而言,包括例如氟化氢气相对于氨气的体积流量比或腔室38内的规定压力,载置于台加热器51的晶片W的加热温度等。像这样所设定的规定关系储存于EC 89的HDD等中,在批量的初期以后的晶片W的处理中,参照如上所述那样。
此外,也可以基于实施某个晶片W的COR处理和PHT处理之前和实施之后的CD值之差,决定是否对该晶片W再次实施COR处理和PHT处理,而且,也可以在再次实施COR处理和PHT处理的场合,EC 89的CPU根据该晶片W实施COR处理和PHT处理后的CD值,基于上述规定的关系决定COR处理和PHT处理的条件参数。
根据本实施方式的基板的处理方法,使具有在表面上形成了疑似SiO2层的低介电常数层间绝缘膜的晶片W在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,暴露于该混合气体气氛中的晶片W被加热到规定的温度。由此,由疑似SiO2层、氨气和氟化氢气生成具有配位结构的生成物,该所生成的生成物的配位结构被热所分解,生成物分离气化成四氟化硅(SiF4)、氨气、氟化氢。也就是说,由于可以不用药液而去除疑似SiO2层,故在去除了疑似SiO2层的低介电常数层间绝缘膜的表面上不会配置OH基。此外,生成物的生成量一经过规定时间就饱和,生成物的生成量取决于混合气体的参数。因此,可以容易地进行疑似SiO2层的去除量的控制,并且可以防止由晶片W所制造的电子器件的配线可靠性的降低。
此外,根据本实施方式的基板的处理方法,由于对晶片W实施无等离子体蚀刻处理而去除低介电常数层间绝缘膜的表面的疑似SiO2层,所以在由晶片W所制造的电子器件中,因为电荷不蓄积于栅极电极,故可以防止栅极氧化膜的劣化或破坏,因为能量粒子不照射于电子器件,故可以防止半导体中的结晶缺陷的发生,而且,因为不会引起起因于等离子体的不可预料的化学反应,故可以防止杂质的产生,由此,可以防止腔室38或腔室50内被污染。
而且,根据本实施方式的基板的处理方法,由于可以对晶片W实施干式清洗处理而去除低介电常数层间绝缘膜的表面的疑似SiO2层,所以可以抑制晶片W的表面的物性变化,因此,可以可靠地防止由晶片W所制造的电子器件中的配线可靠性的降低。
接下来,就本实施方式的电子器件的制造方法进行说明。
在本实施方式的电子器件的制造方法中,也使用上述COR处理和PHT处理,去除在低介电常数层间绝缘膜的表面上所形成的疑似SiO2层。此外,COR处理和PHT处理在基板处理装置10中的第二处理舱12中实行。
图6(A)~(F)是表示本发明实施方式的电子器件的制造方法的工序图。
在图6(A)~(F)中,首先,在由硅构成的晶片W的表面,在通过热氧化所形成的氧化硅(SiO2)膜101上,形成由下部电极102、电容绝缘膜103和上部电极104构成的电容器105,而且,在电容器105上,通过CVD法沉积SiOC系低介电常数层间绝缘膜材料,或者,通过SOD(介电质上旋转,Spin On Dielectric)法沉积有机聚合物系涂布型低介电常数层间绝缘膜材料而形成低介电常数层间绝缘膜106(低介电常数绝缘膜成膜步骤)(图6(A))。
接着,通过平版印刷法形成具有暴露低介电常数层间绝缘膜106一部分的开口部107的图形的光致抗蚀剂层108(光致抗蚀剂层形成步骤)(图6(B)),把该所形成的光致抗蚀剂层108用作掩模,通过由处理气体(例如,由规定的流量比的氟化碳(C4F8)气、氧气(O2)和氩气构成的混合气体)被等离子体化而发生的离子和自由基利用RIE处理而蚀刻低介电常数层间绝缘膜106,在低介电常数层间绝缘膜106上加工成形达到上部电极104的通路孔(连接孔)109(等离子体加工成形步骤)(图6(c))。此时,低介电常数层间绝缘膜106中的通路孔109的表面被起因于RIE处理而发生的疑似SiO2层110所覆盖。
然后,通过把晶片W收存于第二处理单元34中的腔室38中,使由疑似SiO2层110所覆盖的通路孔109的表面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中(连接孔表面暴露步骤),在通路孔109的表面由疑似SiO2层110、氨气和氟化氢气生成具有配位结构的生成物111(图6(D))。
接着,通过把生成有生成物111的晶片W载置于第三处理单元36的腔室50内的台加热器51上,把通路孔109的表面、进而把生成物111加热到规定的温度(连接孔表面加热步骤),分解生成物111的配位结构,使生成物111分离气化成四氟化硅、氨气、氟化氢(图6(E))。由此,通路孔109的表面上的疑似SiO2层110被去除(图6(F))。
根据本实施方式的电子器件的制造方法,被起因于RIE处理而发生的SiO2层110所覆盖的通路孔109的表面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,而且,通路孔109的表面被加热到规定的温度。如果SiO2层110在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体气氛中,则生成基于疑似SiO2层110、氨气和氟化氢气的生成物111,如果该所生成的生成物111被加热到规定的温度,则该生成物111气化。也就是说,可以不用药液而去除通路孔109的表面处的疑似SiO2层110。此外,通过混合气体的参数可以控制生成物111的生成量。因此,可以容易地进行通路孔109的表面上的疑似SiO2层110的去除量的控制,并且可以防止电子器件中的配线可靠性的降低。
图7(A)~(J)是表示本实施方式的电子器件的制造方法的第一变形例的工序图。
在图7(A)~(J)中,首先,在由硅构成的晶片W的表而上所形成的多晶硅层112上沉积SiOC系的低介电常数层间绝缘膜材料或有机聚合物系的涂布型低介电常数层间绝缘膜材料而形成低介电常数层间绝缘膜113,在该低介电常数层间绝缘膜113上形成氧化硅膜114(其它绝缘膜)而形成层间绝缘膜115(层间绝缘膜形成步骤)(图7(A))。
接着,通过平版印刷法形成具有暴露层间绝缘膜115一部分的开口部116的图形的光致抗蚀剂层117(光致抗蚀剂层形成步骤)(图7(B)),把该所形成的光致抗蚀剂层117用作掩模,通过RIE处理而蚀刻层间绝缘膜115,在层间绝缘膜115上加工成形配线槽118(等离子体加工成形步骤)(图7(c))。此时,配线槽118的表面当中对应于低介电常数层间绝缘膜113的表面被起因于RIE处理而发生的疑似SiO2层119所覆盖。
然后,通过把晶片W收存于第二处理单元34中的腔室38中,至少使对应于低介电常数层间绝缘膜113的表面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中(配线槽表面暴露步骤),在对应于低介电常数层间绝缘膜113的表面由疑似SiO2层119、氨气和氟化氢气生成具有配位结构的生成物120(图7(D))。
接着,通过把生成物120所生成的晶片W载置于第三处理单元36的腔室50内的台加热器51上,把配线槽118的表面、进而把生成物120加热到规定的温度(配线槽表面加热步骤),分解生成物120的配位结构,使生成物120分离气化成四氟化硅、氨气、氟化氢(图7(E))。由此,配线槽118的表面上的疑似SiO2层119被去除(图7(F))。
接着,去除光致抗蚀剂层117(研磨步骤)(图7(G)),通过湿蚀刻等去除该低介电常数层间绝缘膜113的氧化硅膜114(其它绝缘膜去除步骤)(图7(H))。去除光致抗蚀剂层117之际,在该光致抗蚀剂层117由氧化硅(SiO2)构成时,也可以通过使该光致抗蚀剂层117暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中而由光致抗蚀剂层117的氧化硅、氨气和氟化氢气生成具有配位结构的生成物(COR处理),而且,加热该生成物而分解生成物的配位结构,使该生成物分离气化成四氟化硅、氨气、氟化氢(PHT处理)而去除掉。由此,可以不用药液或等离子体而去除光致抗蚀剂层117。此外,因为通过混合气体的参数可以控制光致抗蚀剂层117的去除量,故可以防止光致抗蚀剂层117的残渣的发生或氧化硅膜114的不必要的蚀刻。
而且,通过CVD法或PVD(物理蒸气沉积,Physical VaporDeposition)法在整个晶片W上形成由铜(Cu)或铝(Al)等配线材料构成的导电膜,把该配线材料导入到配线槽118中(图7(I)),由此,形成配线121(配线形成步骤)(图7(J))。
根据本实施方式的电子器件的制造方法的第一变形例,被起因于RIE处理而发生的疑似SiO2层119所覆盖的低介电常数层间绝缘膜113中的配线槽118的表面,在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,而且,配线槽118的表面被加热到规定的温度。如果疑似SiO2层119在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,则生成基于疑似SiO2层119、氨气和氟化氢气的生成物120,如果该所生成的生成物120被加热到规定的温度,则该生成物120气化。也就是说,可以不用药液而去除低介电常数层间绝缘膜113中的配线槽118的表面上的疑似SiO2层119。此外,通过混合气体的参数可以控制生成物119的生成量。因此,可以容易地进行低介电常数层间绝缘膜113中的配线槽118的表面上的疑似SiO2层119的去除量的控制,并且可以防止电子器件中的配线可靠性的降低。
图8(A)~(I)是表示本实施方式的电子器件的制造方法的第二变形例的工序图。
在图8(A)~(I)中,首先,在由硅构成的晶片W的表面上形成作为导电膜的多晶硅层122(导电膜成膜步骤),在该多晶硅层122上沉积SiOC系的低介电常数层间绝缘膜材料或有机聚合物系的涂布型低介电常数层间绝缘膜材料而形成低介电常数层间绝缘膜123(低介电常数层间绝缘膜成膜步骤)(图8(A))。
接着,通过平版印刷法形成具有暴露低介电常数层间绝缘膜123一部分的开口部124的图形的光致抗蚀剂层125(光致抗蚀剂层形成步骤)(图8(B)),把该所形成的光致抗蚀剂层125用作掩模,通过RIE处理而蚀刻低介电常数层间绝缘膜123,在低介电常数层间绝缘膜123中加工成形达到多晶硅层122的接触孔(连接孔)126(等离子体加工成形步骤)(图8(c))。此时,低介电常数层间绝缘膜123中的接触孔126的表面被起因于RIE处理而发生的疑似SiO2层127所覆盖。
然后,通过把晶片W收存于第二处理单元34中的腔室38中,使由疑似SiO2层127所覆盖接触孔126的表面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中(连接孔表面暴露步骤),在接触孔126的表面由疑似SiO2层127、氨气和氟化氢气生成具有配位结构的生成物128(图8(D))。
接着,通过把生成有生成物128的晶片W载置于第三处理单元36的腔室50内的台加热器51上,把接触孔126的表面、进而把生成物128加热到规定的温度(连接孔表面加热步骤),分解生成物128的配位结构,使生成物128分离气化成四氟化硅、氨气、氟化氢(图8(E))。由此,接触孔126的表面上的疑似SiO2层127被去除(图8(F))。
接着,去除光致抗蚀剂层125(研磨步骤)(图8(G)),通过CVD法或PVD法在整个晶片W上形成由铜或铝等配线材料构成的导电膜,把该配线材料导入到接触孔126中(图8(H)),由此,形成配线129(配线形成步骤)(图8(I))。
根据本实施方式的电子器件的制造方法的第二变形例,被起因于RIE处理而发生的SiO2层127所覆盖的接触孔126的表面,在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,而且,接触孔126的表面被加热到规定的温度。如果疑似SiO2层127在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,则生成基于疑似SiO2层127、氨气和氟化氢气的生成物128,如果该所生成的生成物128被加热到规定的温度,则该生成物128气化。也就是说,可以不用药液而去除接触孔126的表面处的疑似SiO2层127。此外,通过混合气体的参数可以控制生成物128的生成量。因此,可以容易地进行接触孔126的表面处的疑似SiO2层127的去除量的控制,并且可以防止电子器件中的配线可靠性的降低。
图9(A)~(H)是表示本实施方式的电子器件的制造方法的第三变形例的工序图。
在图9(A)~(H)中,首先,在由硅构成的晶片W的表面上,从下侧开始依次形成氧化硅膜130、多晶硅层131、作为低介电常数层间绝缘膜的TEOS(Si(OCH2CH3)4,原硅酸四乙酯,Tetra Ethyl OrthoSilicate)层132、以及由氮化物构成的作为反射防止膜的BARC(基底防反射涂敷,Bottom Anti Reflection Coating)层133,而且,通过平版印刷法在BARC层133上形成对应于所希望的栅极电极形状的图形的光致抗蚀剂层134(图9(A))。
接着,把所形成的光致抗蚀剂层134用作掩模,首先,通过蚀刻等去除未被光致抗蚀剂层134所覆盖的BARC层133(图9(B)),而且,通过RIE处理而蚀刻去除未被光致抗蚀剂层134所覆盖的TEOS层132,在光致抗蚀剂层134的正下方以外处使多晶硅层131露出(图9(c)),进而,去除光致抗蚀剂层134(图9(D))。此时,TEOS层132的露出面(侧面)被起因于RIE处理而发生的疑似SiO2层135所覆盖。
然后,通过把晶片W收存于第二处理单元34中的腔室38,使由疑似SiO2层135所覆盖TEOS层132的露出面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,在TEOS层132的露出面处,由疑似SiO2层135、氨气和氟化氢气生成具有配位结构的生成物136(图9(E))。
接着,通过把生成了生成物136的晶片W载置于第三处理单元36的腔室50内的台加热器51上,把TEOS层132的露出面、进而把生成物136加热到规定的温度,分解生成物136的配位结构,使生成物136分离气化成四氟化硅、氨气、氟化氢(图9(F))。由此,TEOS层132的露出面处的疑似SiO2层135被去除,TEOS层132被修饰(图9(G))。
接着,修饰过的TEOS层132上的BARC层133被去除,进而未被由修饰过的TEOS层132所覆盖的多晶硅层131通过蚀刻等被去除。由此,在晶片W上形成栅极电极(图9(H))。
根据本实施方式的电子器件的制造方法的第三变形例,被起因于RIE处理而发生的SiO2层135所覆盖的TEOS层132的露出面,在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,而且,TEOS层132的露出面被加热到规定的温度。如果疑似SiO2层135在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,则生成基于疑似SiO2层135、氨气和氟化氢气的生成物136,如果该所生成的生成物136被加热到规定的温度,则该生成物136气化。也就是说,可以不用药液而去除TEOS层132的露出面处的疑似SiO2层135。此外,通过混合气体的参数可以控制生成物136的生成量。因此,可以容易地进行TEOS层132的露出面处的疑似SiO2层135的去除量的控制,并且可以防止电子器件中的配线可靠性的降低。
在上述本实施方式的电子器件的制造方法和各变形例中,优选是在去除疑似SiO2层之前,把晶片W搬入到第一IMS 17,测定通路孔109、配线槽118、或者接触孔126的表面、或者TEOS层132的露出面的CD值,根据所测定的CD值,EC 89的CPU基于CD值和与疑似SiO2层的去除量相关联的处理条件参数的规定关系,决定氟化氢气相对于氨气的体积流量比和腔室38内的规定压力、载置于台加热器51上的晶片W的加热温度等目标值。由此,可以正确地进行疑似SiO2层的去除量的控制,因此可以提高电子器件的制造效率。
此外,也可以基于疑似SiO2层的去除前和去除后的通路孔109的表面等的CD值之差,决定是否再次进行疑似SiO2层的去除,而且,在再次进行疑似SiO2层的去除的场合,EC 89的CPU根据疑似SiO2层的去除后的通路孔109的表面等的CD值,基于上述规定的关系决定氟化氢气相对于氨气的体积流量比等。
适用上述本实施方式的基板处理方法的基板处理装置不限于图1中所示的包括2个相互平行地配置的处理装置的并行式基板处理装置,如图10或图11所示,也包括作为对晶片W实施规定处理的真空处理室的多个处理单元放射状地配置的基板处理装置。
图10是表示适用本实施方式的基板处理方法的基板处理装置的第一变形例的概略构成的俯视图。再者,在图10中,对与图1的基板处理装置10中的构成要素同样的构成要素赋予同一标号,省略其说明。
在图10中,基板处理装置137包括:俯视六边形的传送单元138;在该传送单元138的周围放射状地配置的4个处理单元139~142;装载单元13;以及配置于传送单元138和装载单元13之间、连接传送单元138和装载单元13的2个负载锁定单元143、144。
传送单元138和各处理单元139~142内部的压力维持成真空,传送单元138与各处理单元139~142分别经由真空闸阀145~148连接。
在基板处理装置137中,装载单元13的内部压力维持成大气压力,另一方面,传送单元138的内部压力维持成真空。因此,各负载锁定单元143、144分别在与传送单元138连接的连接部具有真空闸阀149、150,同时,在与装载单元13连接的连接部具有真空闸阀151、152,由此作为能够调整其内部压力的真空预搬送室来构成。此外,各负载锁定单元143、144具有用于暂时载置在装载单元13和传送单元138之间交接的晶片W的晶片载置台153、154。
传送单元138具有配置于其内部的屈伸和旋转自如的蛙腿式的搬送臂155,该搬送臂155在各处理单元139~142或各负载锁定单元143、144之间搬送晶片W。
各处理单元139~142分别具有载置实施处理的晶片W的载置台156~159。这里,处理单元140具有与基板处理装置10中的第一处理单元25同样的构成,处理单元141具有与第二处理单元34同样的构成,处理单元142具有与第三处理单元36同样的构成。因此,处理单元140可以对晶片W实施RIE处理,处理单元141可以对晶片W实施COR处理,处理单元142可以对晶片W实施PHT处理。
在基板处理装置137中,把具有在表面上形成疑似SiO2层的低介电常数层间绝缘膜的晶片W搬入到处理单元141而实施COR处理,进而搬入到处理单元142而实施PHT处理,由此实行上述本实施方式的基板的处理方法。
再者,基板处理装置137中的各构成要素的动作,由具有与基板处理装置10中的系统控制器同样的构成的系统控制器来控制。
图11是表示适用本实施方式的基板处理方法的基板处理装置的第二变形例的概略构成的俯视图。再者,在图11中,对与图1的基板处理装置10和图10的基板处理装置137中的构成要素同样的构成要素赋予同一标号,省略其说明。
在图11中,基板处理装置160,相对于图10的基板处理装置137,追加2个处理单元161、162,与此相对应,传送单元163的形状也与基板处理装置137中的传送单元138的形状不同。所追加的2个处理单元161、162分别通过真空闸阀164、165与传送单元163连接,并且具有晶片W的载置台166、167。
此外,传送单元163包括由2个SCARA臂式的搬送臂构成的搬送臂单元168。该搬送臂单元168沿着配置于传送单元163内的导轨169移动,在各处理单元139~142、161、162或各负载锁定单元143、144之间搬送晶片W。
在基板处理装置160中,与基板处理装置137同样,把具有在表面上形成疑似SiO2层的低介电常数层间绝缘膜的晶片W搬入到处理单元141而实施COR处理,进而搬入到处理单元142而实施PHT处理,由此实行上述本实施方式的基板的处理方法。
再者,基板处理装置160中的各构成要素的动作也是由具有与基板处理装置10中的系统控制器同样的构成的系统控制器来控制。
虽然在上述本实施方式的电子器件的制造方法和各变形例中,低介电常数层间绝缘膜的疑似SiO2层通过COR处理和PHT处理被去除,但所去除的疑似SiO2层不限于此。只要是发生疑似SiO2层的膜,就可以通过采用COR处理和PHT处理而去除该疑似SiO2层。
例如,光致抗蚀剂膜或使用氧化硅的硬掩模膜也因RIE处理而表面损伤(变质),产生疑似SiO2层,该光致抗蚀剂膜或硬掩模膜的疑似SiO2层也可以通过上述COR处理和PHT处理而去除(研磨)。
下面,对适用通过COR处理和PHT处理去除光致抗蚀剂膜的疑似SiO2层的方法的电子器件的制造方法进行说明。
首先,在晶片W的表面上形成多晶硅层,在该多晶硅层上沉积并形成绝缘膜,而且,在该绝缘膜上形成剥离层(氧化硅)。
接着,在该剥离层上形成规定图形的光致抗蚀剂膜,通过使用氟化碳(C4F8)气等的RIE处理来蚀刻绝缘膜和剥离层。此时,在光致抗蚀剂膜的表面上,形成作为变质层的疑似SiO2层,并且沉积作为残渣的疑似SiO2颗粒等。
然后,通过把晶片W收存于第二处理单元34中的腔室38,使由疑似SiO2层等所覆盖的光致抗蚀剂膜的表面在规定的压力下暴露于由氨气、氟化氢气和氩气构成的混合气体的气氛中,在光致抗蚀剂膜的表面处由疑似SiO2、氨气和氟化氢气生成具有配位结构的生成物。
接着,通过把生成了生成物的晶片W载置于第三处理单元36的腔室50内的台加热器51上,而把光致抗蚀剂膜的表面、进而把生成物加热到规定的温度,分解生成物的配位结构,把生成物分离气化成四氟化硅、氨、氟化氢。由此,有选择地去除(研磨)光致抗蚀剂膜的表面处的疑似SiO2层或疑似SiO2颗粒。
根据上述电子器件的制造方法,可以不用药液或等离子体而有选择地研磨光致抗蚀剂膜的表面处的疑似SiO2层等。此外,通过混合气体的参数可以控制生成物的生成量。因此,可以容易地进行光致抗蚀剂膜的表面处的疑似SiO2层的去除量的控制,并且可以防止电子器件中的配线可靠性的降低。
再者,在上述电子器件中,除了所谓半导体器件之外,还包括具有强电介质、高电介质等绝缘性金属氧化物,特别是由具有钙钛矿型结晶结构的物质构成的薄膜的不易失性或大容量的存储元件。所谓具有钙钛矿型结晶结构的物质,包括钛酸锆酸铅(PZT)、钛酸钡锶(BST)、以及钽酸铌锶铋(SBNT)等。
本发明的目的也可以通过把储存实现上述实施方式的功能的软件的程序码的存储媒体,提供至系统或装置(EC 89)中,EC 89的计算机(或者CPU或MPU)读出并执行储存于存储媒体的程序码来实现。
在该场合,从存储媒体所读出的程序码本身就实现上述本实施方式的功能,该程序码和储存该程序码的存储媒体就构成本发明。
此外,作为用来供给程序码的存储媒体而言,可以使用例如フロツピ一(注册商标)盘、硬盘、光磁盘、CD-ROM、CD-R、CD-RW、DVD-ROM、DVD-RAW、DVD-RW、DVD+RW等光盘、磁带、不易失性存储器卡、ROM等。此外,也可以经由网络下载程序码。
此外,不仅通过计算机执行所读出的程序码来实现上述本实施方式的功能,还包括基于该程序码的指示,在计算机上工作的OS(操作系统)等进行实际处理的一部分或全部,通过该处理实现上述本实施方式的功能的场合。
而且,还包括将从存储媒体所读出的程序码写入到插入计算机中的功能扩张板或连接于计算机的功能扩张单元中所具有的存储器后,基于该程序码的指示,该功能扩张板或扩张单元中所具有的CPU等利用该扩张功能进行实际处理的一部分或全部,通过该处理实现前述本实施方式的功能的场合。
上述程序码的形态,也可以由目标码、由编译器执行的程序码、供给到OS的脚本数据等形态构成。

Claims (11)

1.一种基板的处理方法,该基板具有含碳的低介电常数绝缘膜,该低介电常数绝缘膜具有碳浓度降低的表面损伤层,其特征在于:
该方法是将所述表面损伤层去除的方法,该方法包括:将所述表面损伤层在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的表面损伤层暴露步骤;和
将暴露于所述混合气体气氛中的表面损伤层加热到规定温度的表面损伤层加热步骤。
2.根据权利要求1所述的基板的处理方法,其特征在于:所述表面损伤层暴露步骤,对所述基板实施无等离子体蚀刻处理。
3.根据权利要求1所述的基板的处理方法,其特征在于:所述表面损伤层暴露步骤,对所述基板实施干燥清洗处理。
4.根据权利要求1所述的基板的处理方法,其特征在于:所述混合气体中的所述氟化氢相对于所述氨的体积流量比为1~1/2,所述规定的压力为6.7×10-2~4.0Pa。
5.根据权利要求1所述的基板的处理方法,其特征在于:所述规定温度为80~200℃。
6.根据权利要求1所述的基板的处理方法,其特征在于:
还包括:测定具有所述表面损伤层的低介电常数绝缘膜的形状、根据该所测定的形状来决定所述混合气体中的所述氟化氢相对于所述氨的体积流量比和所述规定压力的至少一方的生成物生成条件决定步骤。
7.一种基板的处理方法,该基板具有由使用氧化硅的硬掩模膜构成的掩模膜,该掩模膜具有表面损伤层,其特征在于:
该方法是将所述表面损伤层去除的方法,该方法包括:将所述表面损伤层在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的表面损伤层暴露步骤;和
将暴露于所述混合气体气氛中的表面损伤层加热到规定温度的表面损伤层加热步骤。
8.一种电子器件的制造方法,其特征在于:
包括:在由半导体基板上所形成的下部电极、电容绝缘膜和上部电极构成的电容器上形成含有碳的低介电常数绝缘膜的低介电常数绝缘膜成膜步骤;
在所述所形成的低介电常数绝缘膜上形成规定的图形的光致抗蚀剂层的光致抗蚀剂层形成步骤;
使用该所形成的光致抗蚀剂层并利用等离子体处理在所述低介电常数绝缘膜上加工形成达到所述上部电极的连接孔的等离子体加工成形步骤;
使所述所加工成形的连接孔的表面在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的连接孔表面暴露步骤;和
将所述暴露于混合气体气氛中的连接孔表面加热到规定温度的连接孔表面加热步骤。
9.一种电子器件的制造方法,其特征在于:
包括:在半导体基板上形成含有碳的低介电常数绝缘膜、在该低介电常数绝缘膜上形成碳浓度比所述低介电常数绝缘膜低的其它绝缘膜从而形成层间绝缘膜的层间绝缘膜形成步骤;
利用等离子体处理在所述层间绝缘膜上加工成形配线槽的等离子体加工成形步骤;
至少使所述低介电常数绝缘膜中的配线槽的表面在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的配线槽表面暴露步骤;
将所述暴露于混合气体气氛中的配线槽表面加热到规定温度的配线槽孔表面加热步骤;
去除所述其它绝缘膜的其它绝缘膜去除步骤;和
将导电材料导入到所述配线槽中从而形成配线的配线形成步骤。
10.根据权利要求9所述的电子器件的制造方法,其特征在于:
包括:在所述其它绝缘膜上形成光致抗蚀剂层的光致抗蚀剂层形成步骤;和
去除该所形成的光致抗蚀剂层的研磨步骤,
其中,在该研磨步骤中,使所述光致抗蚀剂层在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中,将暴露于所述混合气体气氛中的所述光致抗蚀剂层加热到规定温度。
11.一种电子器件的制造方法,其特征在于:
包括:在半导体基板上形成含有碳的导电膜的导电膜成膜步骤;
在该所形成的导电膜上形成含有碳的低介电常数绝缘膜的低介电常数绝缘膜成膜步骤;
在所述所形成的低介电常数绝缘膜上形成规定图形的光致抗蚀剂层的光致抗蚀剂层形成步骤;
使用该所形成的光致抗蚀剂层并利用等离子体处理在所述低介电常数绝缘膜上加工成形达到所述导电膜的连接孔的等离子体加工成形步骤;
使所述所加工成形的连接孔的表面在规定压力下暴露于含有氨和氟化氢的混合气体的气氛中的连接孔表面暴露步骤;
将暴露于所述混合气体气氛中的连接孔表面加热到规定温度的连接孔表面加热步骤;
去除所述光致抗蚀剂层的光致抗蚀剂层去除步骤;和
将导电材料导入到所述连接孔中从而形成配线的配线形成步骤。
CNB2006100074778A 2005-02-14 2006-02-14 基板的处理方法、电子器件的制造方法和程序 Active CN100517602C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005036716 2005-02-14
JP2005036716 2005-02-14
JP2005278843A JP4860219B2 (ja) 2005-02-14 2005-09-26 基板の処理方法、電子デバイスの製造方法及びプログラム
JP2005278843 2005-09-26

Publications (2)

Publication Number Publication Date
CN1822326A CN1822326A (zh) 2006-08-23
CN100517602C true CN100517602C (zh) 2009-07-22

Family

ID=36480956

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006100074778A Active CN100517602C (zh) 2005-02-14 2006-02-14 基板的处理方法、电子器件的制造方法和程序

Country Status (6)

Country Link
US (1) US7682517B2 (zh)
EP (1) EP1691408A3 (zh)
JP (1) JP4860219B2 (zh)
KR (1) KR100830736B1 (zh)
CN (1) CN100517602C (zh)
TW (1) TWI456691B (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7795148B2 (en) * 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
JP2008034736A (ja) * 2006-07-31 2008-02-14 Tokyo Electron Ltd 熱処理方法および熱処理装置
US7723237B2 (en) * 2006-12-15 2010-05-25 Tokyo Electron Limited Method for selective removal of damaged multi-stack bilayer films
JP2008192835A (ja) * 2007-02-05 2008-08-21 Tokyo Electron Ltd 成膜方法,基板処理装置,および半導体装置
KR20150038360A (ko) 2007-05-18 2015-04-08 브룩스 오토메이션 인코퍼레이티드 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP5194008B2 (ja) * 2007-06-22 2013-05-08 株式会社アルバック 半導体ウェーハの保護方法及び半導体装置の製造方法
TWI459851B (zh) * 2007-09-10 2014-11-01 Ngk Insulators Ltd heating equipment
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP5084525B2 (ja) * 2008-01-22 2012-11-28 株式会社アルバック 基板処理装置、及び基板処理方法
JP2010278040A (ja) * 2009-05-26 2010-12-09 Renesas Electronics Corp 半導体装置の製造方法および半導体装置
US8696815B2 (en) 2009-09-01 2014-04-15 Samsung Display Co., Ltd. Thin film deposition apparatus
KR101732023B1 (ko) * 2010-12-23 2017-05-02 삼성전자주식회사 반도체 장치의 형성 방법
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
KR20130004830A (ko) 2011-07-04 2013-01-14 삼성디스플레이 주식회사 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법
JP2013048127A (ja) * 2011-07-26 2013-03-07 Applied Materials Inc アッシュ後の側壁の回復
CN102931130A (zh) * 2011-08-11 2013-02-13 应用材料公司 灰化后侧壁修复
KR101707295B1 (ko) * 2012-05-23 2017-02-15 도쿄엘렉트론가부시키가이샤 산화물 에칭 방법
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill
KR102204229B1 (ko) * 2016-08-26 2021-01-15 어플라이드 머티어리얼스, 인코포레이티드 저압 리프트 핀 캐비티 하드웨어
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
JP6956551B2 (ja) * 2017-03-08 2021-11-02 東京エレクトロン株式会社 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
JP7349861B2 (ja) * 2019-09-24 2023-09-25 東京エレクトロン株式会社 エッチング方法、ダメージ層の除去方法、および記憶媒体

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0376252B1 (en) * 1988-12-27 1997-10-22 Kabushiki Kaisha Toshiba Method of removing an oxide film on a substrate
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
JP3869089B2 (ja) * 1996-11-14 2007-01-17 株式会社日立製作所 半導体集積回路装置の製造方法
US6074951A (en) * 1997-05-29 2000-06-13 International Business Machines Corporation Vapor phase etching of oxide masked by resist or masking material
JPH1174354A (ja) * 1997-06-30 1999-03-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6265320B1 (en) * 1999-12-21 2001-07-24 Novellus Systems, Inc. Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication
US6677549B2 (en) * 2000-07-24 2004-01-13 Canon Kabushiki Kaisha Plasma processing apparatus having permeable window covered with light shielding film
KR100365641B1 (ko) * 2000-07-29 2002-12-26 삼성전자 주식회사 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법
TW461051B (en) * 2000-11-10 2001-10-21 Silicon Based Tech Corp Manufacturing of shrinkable split-gate flash memory with three-sided erase electrodes
US6926843B2 (en) * 2000-11-30 2005-08-09 International Business Machines Corporation Etching of hard masks
JP2002303993A (ja) 2001-04-04 2002-10-18 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
TW504799B (en) * 2001-12-28 2002-10-01 Shr Min Copper line fabrication method
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP3606272B2 (ja) * 2002-06-26 2005-01-05 松下電器産業株式会社 配線構造の形成方法
JP3909283B2 (ja) * 2002-10-31 2007-04-25 富士通株式会社 半導体装置の製造方法
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
US6951821B2 (en) 2003-03-17 2005-10-04 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US6905941B2 (en) * 2003-06-02 2005-06-14 International Business Machines Corporation Structure and method to fabricate ultra-thin Si channel devices
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer

Also Published As

Publication number Publication date
KR100830736B1 (ko) 2008-05-20
JP4860219B2 (ja) 2012-01-25
CN1822326A (zh) 2006-08-23
US20060194435A1 (en) 2006-08-31
EP1691408A2 (en) 2006-08-16
KR20060018918A (ko) 2006-03-02
TW200636914A (en) 2006-10-16
US7682517B2 (en) 2010-03-23
TWI456691B (zh) 2014-10-11
EP1691408A3 (en) 2010-01-06
JP2006253634A (ja) 2006-09-21

Similar Documents

Publication Publication Date Title
CN100517602C (zh) 基板的处理方法、电子器件的制造方法和程序
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US20060196527A1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
CN101236893B (zh) 基板处理方法和基板处理装置
CN101055832B (zh) 半导体装置的制造方法
US7288284B2 (en) Post-cleaning chamber seasoning method
CN100395872C (zh) 基板表面的处理方法、基板的清洗方法及程序
CN100552874C (zh) 基板处理装置和基板处理方法
TW200537586A (en) Method and apparatus for in-situ film stack processing
CN100508163C (zh) 半导体装置的制造方法
CN101385128A (zh) 基板处理装置、基板处理方法以及存储介质
US20080000423A1 (en) System for improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
CN100587920C (zh) 基板处理方法和基板处理装置
JP3954833B2 (ja) バッチ式真空処理装置
CN103843110A (zh) 双重图案化蚀刻工艺
EP1696476B1 (en) Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods
US7107999B2 (en) Substrate processing apparatus for removing organic matter by removal liquid
CN100449709C (zh) 基板处理方法、清洗方法、电子设备的制造方法和程序
US10790151B2 (en) Substrate processing apparatus and substrate processing method
US20130095665A1 (en) Systems and methods for processing substrates
TWI385722B (zh) Substrate processing method, cleaning method after chemical mechanical polishing, the method and program for producing electronic device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant