CN100508163C - 半导体装置的制造方法 - Google Patents

半导体装置的制造方法 Download PDF

Info

Publication number
CN100508163C
CN100508163C CNB2007101465129A CN200710146512A CN100508163C CN 100508163 C CN100508163 C CN 100508163C CN B2007101465129 A CNB2007101465129 A CN B2007101465129A CN 200710146512 A CN200710146512 A CN 200710146512A CN 100508163 C CN100508163 C CN 100508163C
Authority
CN
China
Prior art keywords
gas
film
semiconductor device
etching
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2007101465129A
Other languages
English (en)
Other versions
CN101127321A (zh
Inventor
浅子龙一
千叶祐毅
久保田和宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101127321A publication Critical patent/CN101127321A/zh
Application granted granted Critical
Publication of CN100508163C publication Critical patent/CN100508163C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供一种半导体装置的制造方法。在利用含有F的气体对作为被蚀刻膜的含有Si的低介电常数膜进行蚀刻后、直至将蚀刻掩模除去的期间,即使含有Si的低介电常数膜的被蚀刻部分暴露于NH3系气体,也能够使损伤恢复,从而能够制造电气特性和可靠性优异的半导体装置。在形成于半导体基板上的含有Si的低介电常数膜上形成具有规定的电路图案的蚀刻掩模,利用含有F的气体通过蚀刻掩模对含有Si的低介电常数膜进行蚀刻,形成槽或孔,在蚀刻后,利用使用NH3气体的灰化将蚀刻掩模除去,并将此时生成的生成物除去,然后,通过供给规定的恢复气体,使含有Si的低介电常数膜由于直到将蚀刻掩模除去的工序为止的工序而受到的损伤恢复。

Description

半导体装置的制造方法
技术领域
本发明涉及利用例如单大马士革(Single Damascene)法或双大马士革(Dual Damascene)法形成的半导体装置的制造方法。
背景技术
在半导体器件的制造过程中,在配线槽或连接孔的形成中,大多使用双大马士革法(例如,参照专利文献1)。图13是示意性地表示以往的双大马士革法的Cu配线的形成方法的一个例子的说明图。
首先,在基板上,从下面开始依次形成例如配线层500、层间绝缘膜501、反射防止膜502,在该多层膜结构的表面上形成第一抗蚀剂膜503(图13(a))。接着,利用光刻技术,将第一抗蚀剂膜503图案化为规定的图案(图13(b))。在该图案化工序中,按规定的图案将第一抗蚀剂膜503曝光,利用显影将曝光部分选择性地除去。接着,通过以该第一抗蚀剂膜503作为掩模的蚀刻处理,对反射防止膜502和层间绝缘膜501进行蚀刻。由此形成从多层膜结构的表面与配线层500相通的连接孔504(图13(c))。
接着,例如,通过灰化处理将不需要的第一抗蚀剂膜503剥离除去(图13(d)),取而代之,形成用于形成配线槽的新的第二抗蚀剂膜505(图13(e))。利用光刻技术,将第二抗蚀剂膜505图案化(图13(f)),然后,通过以第二抗蚀剂膜505作为掩模的蚀刻处理,对反射防止膜502和层间绝缘膜501的一部分进行蚀刻。这样,形成与连接孔504连通的比连接孔504宽的配线槽506(图13(g))。将不需要的第二抗蚀剂膜505剥离除去(图13(h)),在连接孔504和配线槽506中埋入Cu材料,形成Cu配线507(图13(i))。
然而,随着半导体装置的微细化,层间绝缘膜具有的寄生电容在提高配线的性能上成为重要的因素,因此利用低介电常数材料(Low-k材料)构成层间绝缘膜本身。作为构成层间绝缘膜的低介电常数材料(Low-k材料),通常使用具有甲基等烷基作为末端基的材料。
但是,在上述的以往的大马士革工艺(Damascene process)中,在蚀刻或抗蚀剂膜除去时,由Low-k材料构成的层间绝缘膜501会受到损伤。这样的损伤会造成层间绝缘膜501的介电常数上升,损害使用Low-k材料的效果。
作为使这样的损伤恢复的技术,在专利文献2中提出了在蚀刻或抗蚀剂膜除去后进行甲硅烷基化处理。该甲硅烷基化处理是利用甲硅烷基化剂对受到损伤的部分的表面进行改性,将甲基等烷基作为末端基。
然而,作为由Low-k材料构成的层间绝缘膜(Low-k膜),大多使用在骨架中含有Si的层间绝缘膜,当对这样含有Si的Low-k膜进行蚀刻时,通常使用CF4气体等含有F的气体,但在此后将作为蚀刻掩膜的抗蚀剂膜除去时,当使用NH3系气体时,会产生即使在此后进行甲硅烷基化处理,损伤也无法恢复的新问题。即使在灰化中使用NH3系气体以外的气体的情况下,在利用含有F的气体对含有Si的Low-k膜进行蚀刻后,NH3系气体与蚀刻部分接触的情况下,也同样会产生这样的问题。
[专利文献1]特开2002-83869号公报
[专利文献2]特开2006-049798号公报
发明内容
本发明鉴于上述情况而做出,其目的是提供一种使用含有Si的低介电常数膜作为被蚀刻膜,即使在利用含有F的气体对该被蚀刻膜进行蚀刻后、直至将蚀刻掩模除去的期间,含有Si的低介电常数膜的被蚀刻部分被暴露于NH3系气体的情况下,也能够使损伤恢复,从而能够制造出电气特性和可靠性优异的半导体装置的半导体装置的制造方法和一种存储有执行这样的制造方法的控制程序的计算机可读取的存储介质。
本发明人对使用含有Si的低介电常数膜作为被蚀刻膜,在利用含有F的气体对该被蚀刻膜进行蚀刻后,含有Si的低介电常数膜的被蚀刻部分由于灰化等而被暴露于NH3系气体的情况下,即使通过以后的恢复处理,损伤也无法恢复的原因进行了研究。结果判明,含有Si的低介电常数膜中的Si、在被蚀刻部分残留的蚀刻气体中的F、和NH3系气体发生反应,在被蚀刻部分生成硅氟化铵系的物质。本发明人认为,在该状况下,在使甲硅烷基化剂那样的修复气体反应的情况下,在与膜的损伤部分反应以前,与硅氟化铵系化合物中含有的水分反应,这会妨碍使损伤恢复的恢复处理。根据以上的内容,本发明人发现,如果在恢复处理之前将这样的生成物除去,则能够有效地发挥恢复处理的效果,从而完成本发明。
即,本发明提供一种半导体装置的制造方法,其特征在于,包括:在形成于半导体基板上的作为被蚀刻膜的含有Si的低介电常数膜上形成具有规定的电路图案的蚀刻掩模的工序;通过上述蚀刻掩模,利用含有F的气体对上述含有Si的低介电常数膜进行蚀刻,由此在上述含有Si的低介电常数膜上形成槽或孔的工序;在上述蚀刻后,利用灰化将上述蚀刻掩模除去的工序;和通过供给规定的恢复气体,使含有Si的低介电常数膜由于直到上述将蚀刻掩模除去的工序为止的工序而受到的损伤恢复的工序,在从上述蚀刻工序直至上述将蚀刻掩模除去的工序结束的期间,上述含有Si的低介电常数膜的被蚀刻部分被暴露于NH3气体,还包括:在上述恢复工序之前,通过暴露于上述NH3气体,将在上述含有Si的低介电常数膜的被蚀刻部分形成的生成物除去的工序。
在上述本发明中,上述将蚀刻掩膜除去的工序能够通过利用包括NH3气体的气体进行的灰化来进行,由此将上述含有Si的低介电常数膜的被蚀刻部分暴露于NH3气体。另外,上述将生成物除去的工序能够利用等离子体处理进行。在该情况下,上述等离子体处理能够通过在真空中将Ar气或H2气或He气等离子体化而进行。在这样通过等离子体处理将生成物除去时,上述将生成物除去的工序和上述将蚀刻掩模除去的工序能够在同一处理室内进行,另外,上述将生成物除去的工序、上述将蚀刻掩模除去的工序、和上述恢复工序也能够在同一处理室内进行。
上述将生成物除去的工序也能够利用热处理进行。在该情况下,上述热处理优选在150~350℃的范围内进行。
在上述除去处理为等离子体处理或热处理的情况下,上述蚀刻工序、上述将蚀刻掩模除去的工序、上述将生成物除去的工序、和上述恢复工序能够利用组群化的处理系统进行,该组群化的处理系统包括:在真空气氛中进行各工序的多个处理室;和不破坏真空、在各处理室间搬送半导体基板的搬送机构。
在本发明中,上述将生成物除去的工序也能够通过洗净液的洗净来进行。
上述使损伤恢复的工序能够通过使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理而进行。在该情况下,甲硅烷基化处理能够使用在分子内具有硅氮烷键(Si-N)的化合物作为恢复气体而进行,作为上述在分子内具有硅氮烷键的化合物,能够举出:TMDS(1,1,3,3-Tetramethyldisilazane:1,1,3,3-四甲基二硅氮烷)、TMSDMA(Dimethylaminotrimethylsilane:二甲基氨基三甲基硅烷)、DMSDMA(Dimethylsilyldimethylamine:二甲基甲硅烷基二甲胺)、TMSPyrole(1-Trimethylsilylpyrole:1-三甲基甲硅烷基吡咯)、BSTFA(N,O-Bis(trimethylsilyl)trifluoroacetamide:N,O-双(三甲基甲硅烷基)三氟乙酰胺)、BDMADMS(Bis(dimethylamino)dimethylsilane:双(二甲基氨基)二甲基硅烷)。
另外,本发明还提供一种计算机可读取的存储介质,其存储有在计算机上运行的控制程序,其特征在于:上述控制程序在执行时,使计算机控制制造系统,进行上述制造方法。
根据本发明,在使在直至利用灰化进行的蚀刻掩模除去处理为止的工序中产生的损伤恢复的处理之前,将硅氟化铵系的生成物除去,因此,能够不妨碍损伤的恢复处理而制造电气特性和可靠性优异的半导体装置。
附图说明
图1为表示在本发明的一个实施方式的半导体装置的制造过程中使用的半导体装置制造系统的大致结构的说明图。
图2为表示在图1的半导体装置制造系统中使用的蚀刻、灰化、生成物除去、恢复处理系统的大致结构的平面图。
图3为表示在蚀刻、灰化、生成物除去、恢复处理系统中搭载的蚀刻单元的大致截面图。
图4为表示在蚀刻、灰化、生成物除去、恢复处理系统中搭载的灰化单元的大致截面图。
图5为表示在蚀刻、灰化、生成物除去、恢复处理系统中搭载的生成物除去单元的大致截面图。
图6为表示在蚀刻、灰化、生成物除去、恢复处理系统中搭载的甲硅烷基化处理单元的大致截面图。
图7为表示使用图1的半导体装置制造系统的单大马士革法的半导体装置的制造过程的一个例子的流程图。
图8为图7所示的流程的工序截面图。
图9为表示使用图1的半导体装置制造系统的双大马士革法的半导体装置的制造过程的一个例子的流程图。
图10为图9所示的流程的工序截面图。
图11为表示在生成物除去处理中使用的烘焙处理单元的截面图。
图12为表示在生成物除去处理中使用的洗净处理单元的截面图。
图13为表示以往的双大马士革法的半导体装置的制造工序的工序截面图。
符号说明
100      处理部
101      SOD装置
102      抗蚀剂涂敷/显影装置
103      曝光装置
104      蚀刻、灰化、生成物除去、恢复处理系统
105      洗净处理装置
106      溅射装置
107      电镀装置
108      CMP装置
110      主控制部
111      过程控制器(process controller)
112       用户接口(user interface)
113       存储部
120       绝缘膜
122       下部配线
123       停止膜
124       含有Si的Low-k膜
125a      反射防止膜
125b      抗蚀剂膜
128a      通孔(via)
128b      沟道(trench)
129a、129b       损伤部
130a、130b       生成物
131      保护膜
151      蚀刻单元
152      灰化单元
153      生成物除去单元
154      甲硅烷基化处理单元
153a     烘焙处理单元
153b     洗净处理单元
W        晶片(基板)
具体实施方式
以下,参照附图,详细地说明本发明的实施方式。在此,对在利用单大马士革(Single Damascene)法和双大马士革(Dual Damascene)法制造半导体装置时应用本发明的例子进行说明。
图1为表示在本发明的一个实施方式的半导体装置的制造过程中使用的半导体装置制造系统的大致结构的说明图。该半导体装置制造系统包括处理部100和对处理部的各构成要素进行控制的主控制部110。处理部100包括:SOD(Spin On Dielectric)装置101;抗蚀剂涂敷、显影装置102;曝光装置103;进行干蚀刻、干灰化、生成物除去处理和恢复处理的蚀刻、灰化、生成物除去、恢复处理系统104;洗净处理装置105;作为PVD装置之一的溅射装置106;电镀装置107;和作为研磨装置的CMP装置108。另外,主控制部110包括过程控制器111、用户接口112、和存储部113。在此,处理部100的SOD装置101、溅射装置106和电镀装置107为成膜装置。此外,作为在处理部100的装置间搬送晶片W的方法,使用由操作者进行搬送的搬送方法或由未图示的搬送装置进行搬送的搬送方法。
主控制部110的过程控制器111包括微处理器,处理部100的各构成要素与该过程控制器111连接并受其控制。用户接口112和存储部113与过程控制器111连接。该用户接口112由工序管理者为了对处理部100的各装置进行管理而进行指令的输入操作等的键盘、将处理部100的各装置的运转状况可视化并显示的显示器等构成。另外,存储部113存储有记录有用于在过程控制器111的控制下实现由处理部100执行的各种处理的控制程序、和处理条件数据等的方案。根据需要,接受来自用户接口112的指示等,从存储部113调出任意的方案,由过程控制器111执行,由此,在过程控制器111的控制下,在处理部100中进行期望的各种处理。另外,上述方案可以为存储在例如CD-ROM、硬盘、软盘、非易失性存储器等可读出的存储介质中的状态,另外,也能够在处理部100的各装置间、或者从外部装置,通过例如专用线路随时传送、在线利用。
此外,可以利用主控制部110进行全部的控制,但也可以使主控制部110只进行整体的控制,对各装置的每一个或每一个规定的装置组设置下位的控制部来进行控制。
上述SOD装置101用于在晶片W上涂敷药液、并利用旋涂法形成作为层间绝缘膜的含有Si的Low-k膜、蚀刻停止膜等。SOD装置101的详细结构未图示,但SOD装置101包括旋转涂敷器单元和对形成有涂敷膜的晶片W进行热处理的热处理单元。在晶片处理系统中,也可以使用利用化学气相蒸镀法(CVD、chemical vapor deposition:化学气相沉积)在晶片W上形成绝缘膜等的CVD装置代替SOD装置101。
上述抗蚀剂涂敷、显影装置102用于形成作为蚀刻掩模使用的抗蚀剂膜、反射防止膜等。抗蚀剂涂敷、显影装置102的详细结构未图示,但抗蚀剂涂敷、显影装置102包括:在晶片W上涂敷抗蚀剂液等,进行抗蚀剂膜等的旋涂成膜的抗蚀剂涂敷处理单元;在晶片W上涂敷反射防止膜(BARC)的BARC涂敷处理单元;在晶片W上涂敷牺牲膜的牺牲膜涂敷处理单元;对在曝光装置103中以规定的图案曝光后的抗蚀剂膜进行显影处理的显影处理单元;和分别对形成有抗蚀剂膜的晶片W、曝光处理后的晶片W、实施显影处理后的晶片W进行热处理的热处理单元等。曝光装置103用于在形成有抗蚀剂膜的晶片W上曝光规定的电路图案。
蚀刻、灰化、生成物除去、恢复处理系统104,如以下所述,进行用于在层间绝缘膜(Low-k膜)上形成规定图案的通孔或沟道的干蚀刻、用于将抗蚀剂膜除去的干灰化、和使层间绝缘膜的损伤恢复的恢复处理,利用在真空中的干处理(dry process)连续地进行这些处理。
洗净处理装置105利用处理液对晶片W进行洗净,包括后述的洗净处理单元、在洗净后进行加热干燥的加热单元、和在单元间搬送晶片W的搬送机构。
溅射装置106用于形成例如扩散防止膜、Cu晶种。电镀装置107用于在形成有Cu晶种的配线槽等中埋入Cu,CMP装置108用于进行埋入Cu后的配线等的表面的平坦化处理。
接着,详细地说明对于本实施方式起到重要作用的蚀刻、灰化、生成物除去、恢复处理系统104。图2为表示这样的蚀刻、灰化、生成物除去、恢复处理系统104的大致结构的平面图。蚀刻、灰化、生成物除去、恢复处理系统104包括用于进行等离子体蚀刻的蚀刻单元151、用于进行等离子体灰化的灰化单元152、用于利用等离子体除去生成物的生成物除去单元153、和甲硅烷基化处理单元(SCH)154,这些各单元151~154分别与形成为六角形的晶片搬送室155的4条边对应而设置。另外,在晶片搬送室155的其它2条边上分别设置有负载锁定室156、157。在这些负载锁定室156、157的与晶片搬送室155相反的一侧上设置有晶片搬入搬出室158,在晶片搬入搬出室158的与负载锁定室156、157相反的一侧上设置有安装能够收容晶片W的3个载体(carrier)C的端口159、160、161。
蚀刻单元151、灰化单元152、生成物除去单元153和甲硅烷基化处理单元(SCH)154、以及负载锁定室156、157,如同图所示,通过闸阀G与晶片搬送室155的各边连接,通过开放对应的闸阀G,将它们与晶片搬送室155连通,通过关闭对应的闸阀G,将它们与晶片搬送室155遮断。另外,在负载锁定室156、157的与晶片搬入搬出室158连接的部分也设置有闸阀G,负载锁定室156、157通过将对应的闸阀G开放而与晶片搬入搬出室158连通、通过将对应的闸阀G关闭而与晶片搬入搬出室158遮断。
在晶片搬送室155内设置有相对于蚀刻单元151、灰化单元152、生成物除去单元153、甲硅烷基化处理单元(SCH)154、负载锁定室156、157进行晶片W的搬入搬出的晶片搬送装置162。该晶片搬送装置162配设在晶片搬送室155的大致中央,在能够旋转和伸缩的旋转伸缩部163的前端具有保持晶片W的2个叶片(blade)164a、164b,这2个叶片164a、164b朝向相互相反的方向而安装在旋转伸缩部163上。此外,该晶片搬送室155内被保持为规定的真空度。
在晶片搬入搬出室158的载体C安装用的3个端口159、160、161上,分别设置有未图示的闸门(shutter),将收容有晶片W的或空的载体C直接安装在这些端口159、160、161上,在安装时,闸门落下以防止外部空气的侵入,同时与晶片搬入搬出室158连通。另外,在晶片搬入搬出室158的侧面设置有对准腔室(alignment chamber)165,在此进行晶片W的对准。
在晶片搬入搬出室158内设置有相对于载体C进行晶片W的搬入搬出和相对于负载锁定室156、157进行晶片W的搬入搬出的晶片搬送装置166。该晶片搬送装置166具有多关节臂结构,能够沿着载体C的排列方向在轨道168上移动,将晶片W放置在其前端的手167上进行搬送。晶片搬送装置162、166的动作等系统整体的控制由控制部169进行。
接着,对各单元进行说明。
首先,对蚀刻单元151进行说明。
该蚀刻单元151对作为层间绝缘膜形成的含有Si的低介电常数膜(以下称为含有Si的Low-k膜)进行等离子体蚀刻,如图3所示,具有形成为大致圆筒状的处理腔室211,在处理腔室内部的底部,通过绝缘板213配置有基座支承台214,在该基座支承台上配置有基座215。基座215兼作下部电极,在其上面,通过静电吸盘220载置晶片W。符号216为高通滤波器(HPF)。
在基座支承台214的内部设置有温度调节介质进行循环的温度调节介质室217,由此将基座215调整至期望的温度。导入管218和排出管219与温度调节介质室217连接。
静电吸盘220为在绝缘材料221中间配置有电极222的结构,通过从直流电源223向电极222施加直流电压,将晶片W静电吸附在静电吸盘220上。通过气体通路224向晶片W的背面供给由He气构成的传热气体,通过该传热气体将晶片W温度调节至规定温度。在基座215的上端周边部配置有环状的聚焦环225,以包围被载置在静电吸盘220上的晶片W的周围。
在基座215的上方,与基座215相对,以通过绝缘件232被支承在等离子体处理腔室211内部的状态,设置有上部电极231。上部电极231由具有多个喷出口233的电极板234和支承该电极板234的电极支承体235构成,形成喷淋器(shower)状。
在电极支承体235的中央设置有气体导入口236,气体供给管237与其连接。气体供给管237通过阀238和质量流量控制器239与供给蚀刻用的处理气体的处理气体供给源240连接。处理气体供给源240向腔室211内供给含有F的气体。在此,例示了使用CF4气体作为含有F的气体的情况。具体地说,处理气体供给源240包括CF4气体供给源241和Ar气体供给源242,CF4气体配管243和Ar气体配管244与它们连接。在CF4气体配管243和Ar气体配管244上分别设置有阀245、246。
排气管247与处理腔室211的底部连接,排气装置248与该排气管247连接。排气装置248包括涡轮分子泵等真空泵,能够将处理腔室211内设定为规定的减压气氛。在处理腔室211的侧壁部分上形成有搬入搬出口249,能够利用上述的闸阀G进行开闭。
供给等离子体生成用的高频电力的第一高频电源250,通过第一匹配器251与上部电极231连接。另外,低通滤波器(LPF)252与上部电极231连接。用于引入等离子体中的离子的第二高频电源260,通过第二匹配器261与作为下部电极的基座215连接。
在这样构成的蚀刻单元151中,从处理气体供给源240将CF4气体和Ar气体作为蚀刻用的处理气体导入腔室211内,利用来自第一高频电源250的高频电力将CF4气体和Ar气体等离子体化,利用该等离子体对含有Si的Low-k膜进行蚀刻,形成槽或孔。此时,通过从第二高频电源260向基座215施加高频电力,引入离子,进行各向异性蚀刻。
接着,参照图4所示的大致截面图,对灰化单元152进行说明。该灰化单元152,除了气体供给系统与蚀刻单元151不同以外,与蚀刻单元151大致同样地构成,因此,与图3相同的部件用相同的符号表示,省略说明。
在该灰化单元152中,作为灰化气体的NH3气体供给源270与气体供给管237连接,向处理腔室211内导入NH3气体。
在该灰化单元152中,从NH3气体供给源270将作为灰化气体的NH3气体导入腔室211内,利用来自第一高频电源250的高频电力将NH3气体等离子体化,利用该等离子体,将蚀刻后的抗蚀剂膜等灰化并除去。此时,通过从第二高频电源260向基座215施加高频电力,引入离子,辅助灰化。
接着,参照图5所示的大致截面图,对生成物除去单元153进行说明。在该生成物除去单元153中,如后所述,含有Si的Low-k膜中的Si、蚀刻气体中的F、和灰化气体中的NH3发生反应,将作为在含有Si的Low-k膜的被蚀刻部分生成的生成物的硅氟化铵除去,除了气体供给系统与蚀刻单元151不同以外,与蚀刻单元151大致同样地构成,因此,与图3相同的部件用相同的符号表示,省略说明。
在该生成物除去单元153中,等离子体生成气体供给源280与气体供给管237连接,将等离子体生成气体导入处理腔室211内。作为等离子体生成气体,能够举出H2气、Ar气、He气。
在该生成物除去单元153中,从等离子体生成气体供给源280将例如H2气、Ar气或He气作为等离子体生成气体导入腔室211内,利用来自第一高频电源250的高频电力,将该等离子体生成气体等离子体化,利用该等离子体,将作为在含有Si的Low-k膜的被蚀刻部分生成的生成物的硅氟化铵蚀刻除去。此时,与等离子体生成气体相应,调整来自第二高频电源260的高频电力。例如,在使用原子数小的H2气的情况下,不需要离子引入,但在原子数大的Ar气的情况下,通过从第二高频电源260向基座215施加高频电力,能够可靠地除去生成物。
接着,参照图6所示的大致截面图,详细地说明甲硅烷基化处理单元(SCH)154。甲硅烷基化处理单元(SCH)154具有收容晶片W的腔室301,在腔室301的下部设置有晶片载置台302。在晶片载置台302中埋设有加热器303,能够将载置在其上的晶片W加热至期望的温度。在晶片载置台302中,能够突出和没入地设置有晶片升降销304,在晶片W的搬入搬出时等,能够使晶片W位于从晶片载置台302向上方离开的规定位置。
在腔室301内设置有内部容器305,分隔出包含晶片W的狭小的处理空间S,向该处理空间S供给甲硅烷基化剂(甲硅烷基化气体)。在该内部容器305的中央形成有铅垂地延伸的气体导入路306。
气体供给配管307与该气体导入路306的上部连接,从供给DMSDMA(Dimethylsilyldimethylamine:二甲基甲硅烷基二甲胺)等甲硅烷基化剂的甲硅烷基化剂供给源308延伸的配管309和从供给由Ar、N2气等构成的载气的载气供给源310延伸的配管311,与该气体供给管307连接。在配管309上,从甲硅烷基化剂供给源308侧开始依次设置有使甲硅烷基化剂气化的气化器312、质量流量控制器313和开关阀314。另一方面,在配管311上,从载气供给源310侧开始依次设置有质量流量控制器315和开关阀316。于是,由气化器312气化后的甲硅烷基化剂由载气运载,通过气体供给配管307和气体导入路306,被导入由内部容器305围绕的处理空间S内。在处理时,利用加热器303将晶片W加热至规定温度。在该情况下,晶片温度能够控制为室温~300℃。
以从腔室301外的大气气氛延伸至腔室301内的内部容器305内的方式设置有大气导入配管317。在该大气导入配管317上设置有阀318,通过打开阀318,将大气导入腔室301内的由内部容器305围绕的处理空间S,由此供给水分。蚀刻、灰化、生成物除去、恢复处理装置104连续地在真空气氛内进行蚀刻、灰化、除去处理、恢复处理,因此,在这种状态下,在晶片W的存在空间中几乎不存在水分,甲硅烷基化反应有可能难以进行,但优选:在导入甲硅烷基化剂之前,利用控制部169(参照图2)将大气导入配管317的阀318打开,导入大气,使晶片W吸附水分,以促进甲硅烷基化反应。在该情况下,从对甲硅烷基化反应进行适当的水分供给的观点出发,优选进行控制,使得在吸附水分后,利用加热器303对晶片载置台302上的晶片W进行加热以进行水分调整,然后导入甲硅烷基化剂。此时的加热温度优选为50~200℃。另外,从促进甲硅烷基化反应的观点出发,也可以进行控制,使得在甲硅烷基化剂的导入开始后,也对晶片W进行加热。
在腔室301的侧壁上设置有闸阀G,通过打开该闸阀G,进行晶片W的搬入搬出。在腔室301的底部的周边部,设置有排气管320,能够利用未图示的真空泵,通过排气管320对腔室301内进行排气,将其控制为例如10Torr(266Pa)以下。在排气管320上设置有冷阱321。另外,在晶片载置台302的上部与腔室壁之间的部分设置有挡板322。
接着,说明使用上述图1的半导体制造系统的单大马士革法的半导体装置的制造过程。图7为表示这样的制造过程的流程图,图8为表示图7的流程的工序截面图。
首先,在Si基板(未图示)上形成绝缘膜120,在其中的上部,通过阻挡金属层121形成下部铜配线122,准备在绝缘膜120和下部铜配线122上形成有停止膜(例如SiN膜或SiC膜)123的晶片,将该晶片W搬入SOD装置101中,在停止膜123上形成含有Si的Low-k膜124(步骤1)。由此,形成图8(a)的状态。
接着,将形成有含有Si的Low-k膜124的晶片W搬入抗蚀剂涂敷、显影装置102中,在含有Si的Low-k膜124上依次形成反射防止膜125a和抗蚀剂膜125b,接着,将晶片W搬送至曝光装置103中,按规定的图案进行曝光处理,再将晶片W返回到抗蚀剂涂敷、显影装置102中,通过在显影处理单元中对抗蚀剂膜125b进行显影处理,在抗蚀剂膜125b上形成规定的电路图案(步骤2)。由此,形成图8(b)的状态。
接着,将晶片W搬送至蚀刻、灰化、生成物除去、恢复处理系统104中。首先,将晶片W搬送至蚀刻单元151中,进行含有Si的Low-k膜124的等离子体蚀刻处理(步骤3)。由此,在含有Si的Low-k膜124上形成到达停止膜123的通孔128a(图8(c))。此时的蚀刻使用作为含有F的气体的CF4气体和Ar气体。但是,只要是含有F的气体即可,并不限于此。
将蚀刻处理结束后的晶片W搬送至灰化单元152中,利用等离子体灰化处理将反射防止膜125a和抗蚀剂膜125b除去(步骤4,图8(d))。此时的灰化处理使用NH3气体进行。
在这样利用等离子体灰化将反射防止膜125a和抗蚀剂膜125b除去后的含有Si的Low-k膜124中形成的通孔128a的侧壁上,产生蚀刻和灰化时的损伤,形成图8(d)所示的损伤部129a。在图8(d)中,示意性地表示损伤部129a,但实际上,损伤部129a与未受损伤的部分的边界并不像图示那样明确。在通孔128a的侧壁上形成有这样的损伤部129a的状态下,当此后用金属材料填埋通孔128a以形成连接孔时,配线间的寄生电容增大,因此会产生信号延迟、配线间的绝缘性降低等问题。
因此,为了使这样的将抗蚀剂膜等除去后的含有Si的Low-k膜124的损伤恢复,将晶片W搬入甲硅烷基化处理单元154中进行甲硅烷基化处理,但在如本实施方式那样,用含有F的气体对含有Si的Low-k膜124进行蚀刻后,用NH3气体进行灰化的情况下,即使直接进行甲硅烷基化处理,也不能使损伤恢复。对其原因进行了研究,结果判明,是由于在作为被蚀刻部分的通孔128a的内壁上,Si、F、NH3发生反应,生成硅氟化铵系的生成物130a。即,如图8(d)所示,在损伤部129a的表面上形成这样的生成物130a,因此,它与甲硅烷基化剂的副反应进行,显著妨碍甲硅烷基化剂的甲硅烷基化反应(修复作用),因此,在损伤部129a中,损伤的恢复不充分。
因此,在本实施方式中,在甲硅烷基化处理前,在生成物除去单元153中,通过等离子体处理将上述生成物蚀刻除去(步骤5,图8的(e))。
在生成物除去单元153中,从等离子体生成气体供给源280,通过上部电极231,将等离子体生成气体导入腔室211内,利用来自第一高频电源250的高频电力,将该等离子体生成气体等离子体化,利用该等离子体,将在作为含有Si的Low-k膜124的被蚀刻部分的通孔128a的内壁上生成的由硅氟化铵构成的生成物130a蚀刻除去。作为此时的等离子体生成气体,能够适当使用H2气、Ar气、He气。在该情况下,腔室211内的压力优选为10~20Pa左右,作为等离子体生成气体的流量,优选为300~500mL/min(sccm)左右。另外,作为施加的高频电力,优选使用例如频率60MHz、功率300W左右。在使用原子数大的Ar气作为等离子体生成气体的情况下,从使等离子体有效地作用于生成物的观点出发,从第二高频电源260向作为下部电极的基座215施加高频电力,以引入等离子体中的离子。作为来自该第二高频电源260的高频电力,优选使用例如频率2MHz、功率300W左右。
在这样的处理后,导入甲硅烷基化剂,进行甲硅烷基化处理(步骤6,图8(f))。由此,促进含有Si的Low-k膜124的损伤的恢复,即使在除去抗蚀剂膜125b等时进行等离子体灰化那样的损伤大的处理后,也能够使含有Si的Low-k膜124的介电常数恢复至接近初始的值。
在甲硅烷基化处理单元154中,首先,打开闸阀G,将晶片W导入腔室301内并载置在晶片载置台302上,利用加热器303将其加热至规定温度,并且,在将腔室301内减压至规定压力后的状态下,利用载气运载由气化器气化后的状态的甲硅烷基化剂,并将其供给至晶片W,由此进行甲硅烷基化处理。关于甲硅烷基化处理单元154中的甲硅烷基化处理的条件,可以根据甲硅烷基化剂(甲硅烷基化气体)的种类而适当选择,例如,能够从气化器312的温度为室温~200℃、甲硅烷基化剂流量为700sccm(mL/min)以下、处理压力为10mTorr~100Torr(1.33~13330Pa)、载置台302的温度为室温~200℃等的范围适当设定。
在使用DMSDMA作为甲硅烷基化剂的情况下,例如可举出如下的方法:利用加热器303使载置台302的温度成为规定的温度,使腔室301内减压至650~700Pa左右的压力,然后利用载气运载DMSDMA的蒸气并进行供给直至腔室301内压力为6500~7500Pa左右,一边维持该压力,一边保持例如3分钟,进行处理。使用DMSDMA的甲硅烷基化反应用下述化学式1表示。
[化学式1]
Figure C200710146512D00201
作为甲硅烷基化剂,不限于以上的DMSDMA,只要是发生甲硅烷基化反应的物质,就能够没有特别限制地使用,但优选在分子内具有硅氮烷键(Si-N键)的化合物组中的具有比较小的分子结构的化合物、例如分子量260以下的化合物,更优选分子量170以下的化合物。具体地说,例如,除了上述的DMSDMA、HMDS以外,还能够使用TMSDMA(Dimethylaminotrimethylsilane:二甲基氨基三甲基硅烷)、TMDS(1,1,3,3-Tetramethyldisilazane:1,1,3,3-四甲基二硅氮烷)、TMSPyrole(1-Trimethylsilylpyrole:1-三甲基甲硅烷基吡咯)、BSTFA(N,O-Bis(trimethylsilyl)trifluoroacetamide:N,O-双(三甲基甲硅烷基)三氟乙酰胺)、BDMADMS(Bis(dimethylamino)dimethylsilane:双(二甲基氨基)二甲基硅烷)等。将它们的化学结构表示如下。
[化学式2]
Figure C200710146512D00211
在上述化合物中,作为介电常数的恢复效果和漏电流的降低效果高的化合物,优选使用TMSDMA和TMDS。另外,从甲硅烷基化后的稳定性的观点出发,优选构成硅氮烷键的Si与3个烷基(例如甲基)结合的结构的化合物(例如TMSDMA、HMDS等)。
此外,如上所述,从促进甲硅烷基化反应的观点出发,优选进行控制,使得:在导入甲硅烷基化剂之前,将大气导入配管317的阀318打开,导入大气,使晶片W吸附水分后,利用加热器303对晶片载置台302上的晶片W进行加热,以进行水分调整,然后导入甲硅烷基化剂。此时的加热温度优选为50~200℃。在甲硅烷基化剂的导入开始后,从促进反应的观点出发,优选也利用加热器303对晶片W进行加热。此时,为了发挥适度的反应促进效果,晶片温度优选为50~200℃。
这样的甲硅烷基化处理结束后的晶片W,进行用于除去停止膜123的蚀刻处理(步骤7,图8(g))。此时的蚀刻可以利用系统外的其它蚀刻装置进行,也可以利用上述蚀刻单元151进行。在利用蚀刻单元151进行的情况下,使处理气体供给源240中流动适用于停止膜123的蚀刻的处理气体。
接着,将晶片W搬送至洗净处理装置105,进行洗净处理(步骤8)。也存在含有Si的Low-k膜124由于这样的蚀刻处理或洗净处理而受到损伤的情况,在该情况下,可以与上述同样地进行甲硅烷基化处理。
然后,将晶片W搬送至溅射装置106,在通孔128a的内壁上形成阻挡金属膜和Cu籽晶层,接着,将晶片W搬送至电镀装置107,通过电镀,在通孔128a中埋入铜126作为配线金属(步骤9,图8(h))。然后,通过对晶片W进行热处理,进行已埋入通孔128a中的铜126的退火处理(退火装置在图1中没有表示),再将晶片W搬送至CMP装置108,利用CMP法进行平坦化处理(步骤10)。由此,制造出期望的半导体装置。
这样的半导体装置的制造方法,在将在作为蚀刻对象的含有Si的Low-k膜的被蚀刻部分生成的生成物除去后,进行甲硅烷基化处理作为使损伤恢复的处理,因此,能够有效地发挥恢复处理的效果,即使在利用灰化处理那样的损伤大的处理将抗蚀剂膜等除去的情况下,也能够使介电常数充分地恢复,从而能够得到电气特性优异的半导体装置。因此,能够提高半导体装置的可靠性。
接着,说明使用上述图1的半导体装置制造系统的双大马士革法的半导体装置的制造过程。图9为表示这样的制造过程的流程图,图10为表示图9的流程的工序截面图。在此,各工序中使用的装置从先前的说明可以了解,因此省略装置的说明。
首先,与上述使用单大马士革法的例子同样,在Si基板(未图示)上形成绝缘膜120,在其中的上部,通过阻挡金属层121形成下部铜配线122,准备在绝缘膜120和下部铜配线122上形成有停止膜(例如SiN膜或SiC膜)123的晶片,在该晶片W的停止膜123上形成含有Si的Low-k膜124(步骤101,图10(a))。
接着,在含有Si的Low-k膜124上依次形成反射防止膜125a和抗蚀剂膜125b,接着,按规定的图案进行曝光处理,再对抗蚀剂膜125b进行显影处理,由此在抗蚀剂膜125b上形成规定的电路图案(步骤102)。接着,以抗蚀剂膜125b作为蚀刻掩模,利用CF4气体等含有F的气体的等离子体进行蚀刻处理,形成到达停止膜123的通孔128a(步骤103),成为图10(b)的状态。
接着,通过使用NH3气体的等离子体的灰化,将反射防止膜125a和抗蚀剂膜125b灰化除去(步骤104,图10(c))。
在这样利用等离子体灰化将反射防止膜125a和抗蚀剂膜125b除去后的含有Si的Low-k膜124中形成的通孔128a的侧壁上,与上述例子同样,会产生蚀刻和灰化时的损伤,形成图10(c)所示的损伤部129a。因此,为了使将抗蚀剂膜等除去后的含有Si的Low-k膜124的损伤恢复,与上述例子同样,对晶片W进行甲硅烷基化处理作为恢复处理,但是,在作为灰化结束后的被蚀刻部分的通孔128a的内壁上,含有Si的Low-k膜124中的Si、蚀刻气体的F、灰化气体的NH3发生反应,生成硅氟化铵系的生成物130a。
因此,与上述的图8所示的过程同样,在作为恢复处理的甲硅烷基化处理之前,进行生成物除去处理(步骤105,图10(d))。生成物除去处理,能够利用上述的等离子体处理,在同样的条件下进行。
在这样除去生成物后,进行甲硅烷基化处理,使损伤恢复(步骤106,图10(e))。此时的条件,与上述的条件相同。
接着,在含有Si的Low-k膜124的表面上形成保护膜(牺牲膜)131(步骤107),在该保护膜131上依次形成反射防止膜132a和抗蚀剂膜132b,按规定图案对抗蚀剂膜132b进行曝光、显影,在抗蚀剂膜132b上形成电路图案(步骤108),接着,以抗蚀剂膜132b作为蚀刻掩模,利用CF4气体等含有F的气体的等离子体进行蚀刻处理,在含有Si的Low-k膜124上形成沟道128b(步骤109),成为图10(f)所示的状态。
保护膜131能够通过在SOD装置101中旋涂规定的药液而形成。另外,保护膜131不一定必要,也可以在含有Si的Low-k膜124上直接形成反射防止膜132a和抗蚀剂膜132b。
接着,通过使用NH3气体的等离子体的灰化,将反射防止膜132a和抗蚀剂膜132b、以及保护膜131灰化除去(步骤110,图10(g))。
在这样利用等离子体灰化将反射防止膜132a和抗蚀剂膜132b、以及保护膜131除去后的含有Si的Low-k膜124上形成的沟道128b的侧壁上,与上述例子同样,会产生蚀刻和灰化时的损伤,形成图10(g)所示的损伤部129b。进行甲硅烷基化处理作为将这样的损伤恢复的处理,但在作为灰化结束后的被蚀刻部分的沟道128b的内壁上,与通孔128a的情况同样,含有Si的Low-k膜124中的Si、蚀刻气体的F、灰化气体的NH3发生反应,生成硅氟化铵作为生成物130b。
因此,与通孔的情况同样,在作为恢复处理的甲硅烷基化处理之前,进行生成物除去处理(步骤111,图10(h))。生成物除去处理能够利用上述的等离子体处理,在同样的条件下进行。
在这样除去生成物后,进行甲硅烷基化处理,使损伤恢复(步骤112,图10(i))。此时的条件与上述的条件相同。
这样的甲硅烷基化处理结束后的晶片W,进行用于除去停止膜123的蚀刻处理(步骤113,图10(j)),接着,进行洗净处理(步骤114)。也存在含有Si的Low-k膜124由于这样的蚀刻处理或洗净处理而受到损伤的情况,在该情况下,可以与上述同样地进行甲硅烷基化处理。
然后,在沟道128b和通孔128a的内壁上形成阻挡金属膜和Cu籽晶层(即电镀籽晶层),接着,利用电镀,在沟道128b和通孔128a中埋入铜126作为配线金属(步骤115,图10(k))。然后,通过对晶片W进行热处理,进行已埋入通孔128a、沟道128b中的铜126的退火处理(退火装置在图1中没有示出),再将晶片W搬送至CMP装置108,利用CMP法进行平坦化处理(步骤116)。由此,制造出期望的半导体装置。
在这样利用双大马士革法制造半导体装置的情况下,与单大马士革法的情况同样,在将在作为蚀刻对象的含有Si的Low-k膜的被蚀刻部分生成的生成物除去后,进行甲硅烷基化处理作为使损伤恢复的处理,因此,能够有效地发挥恢复处理的效果,能够使介电常数充分地恢复,从而能够得到电气特性优异的半导体装置。因此,能够提高半导体装置的可靠性。
在本实施方式中,表示了在蚀刻、灰化、生成物除去、恢复处理系统104中,单独设置有蚀刻单元151、灰化单元152、生成物除去单元153、用于恢复处理的甲硅烷基化处理单元154的例子,但是,在灰化单元152中,也可以进行除去处理,也能够进行除去处理和甲硅烷基化处理。即,如果处理气体供给源240能够供给作为灰化气体的NH3气体和用于生成物除去的等离子体生成气体,则能够首先利用NH3气体进行灰化,接着切换为用于生成物除去的气体,进行生成物除去处理。另外,作为处理气体供给源240,如果使用能够供给作为灰化气体的NH3气体、用于除去生成物的等离子体生成气体、和用于甲硅烷基化处理的甲硅烷基化剂的处理气体供给源,则能够首先利用NH3气体进行灰化,接着切换为用于除去生成物的气体,进行生成物除去处理,然后,切换为甲硅烷基化剂,进行甲硅烷基化处理。
此外,表示了使用生成物除去单元153、利用等离子体处理进行生成物除去处理的例子,但并不限于此,能够采用其它的方法。例如,也可以代替上述生成物除去单元153而使用图11所示的烘焙处理单元153a作为生成物除去单元,将含有Si的Low-k膜124的生成物加热除去。
该烘焙处理单元153a具有形成为大致圆筒状的处理腔室331,在其内部的底部设置有晶片载置台332。在晶片载置台332中埋设有加热器333,利用其对晶片载置台332上的晶片W进行退火处理。加热器电源334与加热器333连接。在晶片载置台333中能够突出和没入地设置有未图示的晶片升降销,在晶片W的搬入搬出时等,使晶片W位于晶片载置台332上方的规定位置。
气体供给配管335与腔室331的侧壁上部连接,从气体供给机构336通过气体供给配管335向处理腔室331内导入规定的气氛气体、例如Ar气体。排气管337与处理腔室331的底部连接,排气装置338与该排气管337连接。排气装置338包括涡轮分子泵等真空泵,能够将处理腔室331内设定为规定的减压气氛。在处理腔室331的侧壁部分上,形成有搬入搬出口339,能够利用闸阀G进行开闭。
在这样的烘焙处理单元153a中,以规定流量从气体供给机构336供给规定的气氛气体、例如Ar气,同时将处理腔室331内保持为例如1000~1500Pa,在150~350℃、例如200℃下,对晶片W进行100~200sec、例如150sec的烘焙处理。由此,能够将由硅氟化铵构成的生成物加热并分解除去。
可以代替另外设置烘焙处理单元作为这样除去生成物的单元,而在灰化单元152的基座215上设置加热器,利用灰化单元152进行烘焙处理,也可以在甲硅烷基化处理单元154的晶片载置台302中,利用加热器303进行用于除去生成物的烘焙处理。
作为进行生成物除去的装置,也能够使用采用其它方法的装置。例如,也能够使用在蚀刻、灰化、生成物除去、恢复处理单元104的外部设置的图12所示的洗净处理单元153b。作为该洗净处理单元153b,可以使用搭载在上述洗净处理装置105中的洗净处理单元,也可以使用搭载在另外的洗净处理装置上的洗净处理单元。
在该洗净处理单元153b的中央部配置有环状的杯(cup)(CP),在杯(CP)的内侧配置有旋转夹头(spin chuck)371。旋转夹头371在利用真空吸附将晶片W固定保持的状态下由驱动电动机372旋转驱动。在杯(CP)的底部设置有排出洗净液和纯水的排放配管373。
驱动电动机372能够升降移动地配置在设置于单元底板374上的开口374a中,通过盖状的法兰部件375,与例如由气缸构成的升降驱动机构376和升降导轨377结合。在驱动电动机372的侧面上安装有筒状的冷却套管378,法兰部件375以覆盖该冷却套管378的上半部的方式安装。
在杯(CP)的上方,设置有洗净液供给机构380,用于向生成有由上述硅氟化铵构成的生成物的晶片W的表面供给溶解该生成物的规定的洗净液。
洗净液供给机构380包括:向由旋转夹头371保持的晶片W的表面喷出洗净液的洗净液喷出喷嘴381;向洗净液喷出喷嘴381输送规定的洗净液的洗净液供给部383;保持洗净液喷出喷嘴381,在Y方向上进退自由的扫描臂382;支承扫描臂382的垂直支承部件385;和安装于在单元底板374上、在X轴方向上敷设的导轨384上,使垂直支承部件385向X轴方向移动的X轴驱动机构396。扫描臂382能够利用Z轴驱动机构397在上下方向(Z方向)移动,由此,能够使洗净液喷出喷嘴381移动至晶片W上的任意位置,并且能够使其退避至杯(CP)外的规定位置。
作为洗净液,只要能够将作为生成物的硅氟化铵溶解除去,就没有特别的限定,例如能够使用有机溶剂系的药液。
在这样的洗净处理单元153b中,将在灰化后在含有Si的Low-k膜上生成有硅氟化铵那样的生成物的晶片W真空吸附在旋转夹头371上,一边利用驱动电动机372使晶片W与旋转夹头371一起旋转,一边从洗净液供给机构380的洗净液喷出喷嘴381喷出规定的洗净液,使洗净液在晶片W的整个表面上扩展,将生成物溶解除去。
在这样利用洗净处理单元153b用湿的方法进行生成物的除去处理的情况下,也可以将甲硅烷基化处理单元搭载在组装有洗净处理单元153b的洗净处理装置中,进行甲硅烷基化处理。
接着,对把握本发明的半导体装置的制造方法的效果的实验结果进行说明。首先,利用SOD在硅晶片上形成MSQ的β(ベタ)膜,作为含有Si的Low-k膜,制作出进行蚀刻处理和灰化处理后的样品。
此时的蚀刻条件如下。
腔室内压力:10Pa(75mTorr)
上部高频电力(60MHz):1500W
下部高频电力(2MHz):100W
蚀刻气体:
CF4气体=80mL/min(sccm)
Ar气体=160mL/min(sccm)
蚀刻时间:10sec
另外,灰化进行O2灰化和NH3灰化两者。它们的条件如下。
·O2灰化:
腔室内压力:1.3Pa(10mTorr)
上部高频电力(60MHz):300W
下部高频电力(2MHz):300W
灰化气体:
O2气=300mL/min(sccm)
灰化时间:26sec
·NH3灰化:
腔室内压力:40Pa(300mTorr)
上部高频电力(60MHz):0W
下部高频电力(2MHz):300W
灰化气体:
NH3气体=700mL/min(sccm)
灰化时间:100sec
此外,为了比较,也准备了即不进行蚀刻又不进行灰化的样品(参照(reference):样品No.1)、和只进行了蚀刻的样品(只有蚀刻损伤:样品No.2)。
在进行了O2灰化的样品(样品No.3~5)中,No.3为在O2灰化后未进行处理的样品,No.4为在O2灰化后进行了甲硅烷基化处理的样品,No.5为在O2灰化后进行Ar等离子体处理、然后进行甲硅烷基化处理后的样品。另外,在进行了NH3灰化的样品(样品No.6~10)中,No.6为在NH3灰化后未进行处理的样品,No.7为在NH3灰化后进行了甲硅烷基化处理的样品,No.8为在NH3灰化后进行原地(in-situ)烘焙处理、然后进行甲硅烷基化处理后的样品,No.9为在NH3灰化后进行H2等离子体处理、然后进行甲硅烷基化处理后的样品,No.10为在NH3灰化后进行Ar等离子体处理、然后进行甲硅烷基化处理后的样品。
此时的各处理的条件如下。
·烘焙处理:
腔室内压力:1333Pa(10Torr)
气氛气体:
Ar气=2000mL/min(sccm)
晶片载置台温度:200℃
处理时间:150sec
·H2等离子体处理:
腔室内压力:13.3Pa(100mTorr)
上部高频电力(60MHz):300W
下部高频电力(2MHz):0W(没有偏压)
等离子体气体:
H2气=400mL/min(sccm)
处理时间:15sec
·Ar等离子体处理:
腔室内压力:13.3Pa(100mTorr)
上部高频电力(60MHz):300W
下部高频电力(2MHz):300W(有偏压)
等离子体气体:
Ar气=400mL/min(sccm)
处理时间:15sec
·甲硅烷基化处理:
甲硅烷基化剂:TMSDMA
腔室内压力:6650Pa(50Torr)
晶片载置台温度:150℃
处理时间:15sec
对这些在室温和200℃下测定了介电常数(k值)。将上述条件和k值以及恢复率汇总示于表1。
从表1可看出,在进行了O2灰化的情况下,只通过在此后进行甲硅烷基化处理,k值就充分恢复(No.4),而在进行了NH3灰化的情况下,即使直接进行甲硅烷基化处理,k值的恢复程度也小(No.7)。另外,确认:在进行了NH3灰化的情况下,通过在甲硅烷基化处理之前进行烘焙处理或等离子体处理,k值的恢复率上升(No.8、9、10)。此外,在进行了O2灰化的情况下,通过在甲硅烷基化之前进行等离子体处理,k值的恢复率反而降低(No.5)。
Figure C200710146512D00301
此外,本发明并不限定于上述实施方式,能够进行各种变形。例如,作为恢复处理,表示了甲硅烷基化处理,但也可以是利用其它的恢复气体进行的恢复处理。另外,在本发明中,作为用作被蚀刻膜的含有Si的Low-k膜,除了用SOD装置形成的MSQ(methyl-hydrogen-SilsesQuioxane)(多孔质或致密质)以外,还能够应用作为由CVD形成的无机绝缘膜之一的SiOC系膜(在以往的SiO2膜的Si-O键中导入甲基(-CH3))从而混合有Si-CH3键的膜,BlackDiamond(Applied Material公司)、Coral(Novellus公司)、Aurora(ASM公司)等与此相当,存在致密质的膜和多孔质的膜两者)等。
另外,在上述实施方式中,在灰化中应用了NH3气体,但本发明并不限于NH3气体本身,也可以是其它的NH3系气体,另外,即使灰化使用其它气体,在对含有Si的Low-k膜进行蚀刻后、NH3系气体与被蚀刻部分接触的情况下,例如在利用由含有F的气体进行的蚀刻和由NH3系气体进行的蚀刻,分2阶段对Low-k膜进行处理的情况下,也能够应用本发明。
另外,在上述实施方式中,表示了在包含单大马士革法、双大马士革法的铜配线的半导体装置的制造过程中应用本发明的例子,但并不限于此,在存在将被蚀刻膜上的蚀刻掩模除去的工序的半导体装置的全部制造过程中都能够应用。

Claims (14)

1.一种半导体装置的制造方法,其特征在于,包括:
在形成于半导体基板上的作为被蚀刻膜的含有Si的低介电常数膜上形成具有规定的电路图案的蚀刻掩模的工序;
通过所述蚀刻掩模,利用含有F的气体对所述含有Si的低介电常数膜进行蚀刻,由此在所述含有Si的低介电常数膜上形成槽或孔的工序;
在所述蚀刻后,利用灰化将所述蚀刻掩模除去的工序;和
通过供给规定的恢复气体,使含有Si的低介电常数膜由于直到所述将蚀刻掩模除去的工序为止的工序而受到的损伤恢复的工序,
在从所述蚀刻工序直至所述将蚀刻掩模除去的工序结束的期间,所述含有Si的低介电常数膜的被蚀刻部分被暴露于NH3气体,
所述规定的恢复气体为甲硅烷基化气体
还包括:在所述恢复工序之前,通过暴露于所述NH3气体,将在所述含有Si的低介电常数膜的被蚀刻部分形成的生成物除去的工序。
2.如权利要求1所述的半导体装置的制造方法,其特征在于:
所述将蚀刻掩膜除去的工序通过利用包括NH3气体的气体进行的灰化来进行,由此将所述含有Si的低介电常数膜的被蚀刻部分暴露于NH3气体。
3.如权利要求1或2所述的半导体装置的制造方法,其特征在于:
所述将生成物除去的工序利用等离子体处理进行。
4.如权利要求3所述的半导体装置的制造方法,其特征在于:
所述等离子体处理通过在真空中将Ar气或H2气或He气等离子体化而进行。
5.如权利要求3所述的半导体装置的制造方法,其特征在于:
所述将生成物除去的工序和所述将蚀刻掩模除去的工序在同一处理室内进行。
6.如权利要求3所述的半导体装置的制造方法,其特征在于:
所述将生成物除去的工序、所述将蚀刻掩模除去的工序、和所述恢复工序在同一处理室内进行。
7.如权利要求1或2所述的半导体装置的制造方法,其特征在于:
所述将生成物除去的工序利用热处理进行。
8.如权利要求7所述的半导体装置的制造方法,其特征在于:
所述热处理在150~350℃的范围内进行。
9.如权利要求1所述的半导体装置的制造方法,其特征在于:
所述蚀刻工序、所述将蚀刻掩模除去的工序、所述将生成物除去的工序、和所述恢复工序利用组群化的处理系统进行,该组群化的处理系统包括:在真空气氛中进行各工序的多个处理室;和不破坏真空、在各处理室间搬送半导体基板的搬送机构。
10.如权利要求1或2所述的半导体装置的制造方法,其特征在于:
所述将生成物除去的工序通过洗净液的洗净来进行。
11.如权利要求1所述的半导体装置的制造方法,其特征在于:
所述使损伤恢复的工序通过使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理而进行。
12.如权利要求11所述的半导体装置的制造方法,其特征在于:
所述甲硅烷基化处理使用在分子内具有硅氮烷键(Si-N)的化合物作为恢复气体而进行。
13.如权利要求12所述的半导体装置的制造方法,其特征在于:
所述在分子内具有硅氮烷键的化合物为1,1,3,3-四甲基二硅氮烷、二甲基氨基三甲基硅烷、二甲基甲硅烷基二甲胺、1-三甲基甲硅烷基吡咯、N,O-双(三甲基甲硅烷基)三氟乙酰胺、双(二甲基氨基)二甲基硅烷。
14.一种计算机可读取的存储介质,存储有在计算机上运行的控制程序,其特征在于:
所述控制程序在执行时,使计算机控制制造系统,进行权利要求1~13中任一项所述的制造方法。
CNB2007101465129A 2006-08-18 2007-08-17 半导体装置的制造方法 Expired - Fee Related CN100508163C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006223530A JP5100057B2 (ja) 2006-08-18 2006-08-18 半導体装置の製造方法
JP2006223530 2006-08-18

Publications (2)

Publication Number Publication Date
CN101127321A CN101127321A (zh) 2008-02-20
CN100508163C true CN100508163C (zh) 2009-07-01

Family

ID=39095302

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101465129A Expired - Fee Related CN100508163C (zh) 2006-08-18 2007-08-17 半导体装置的制造方法

Country Status (5)

Country Link
US (1) US20080045025A1 (zh)
JP (1) JP5100057B2 (zh)
KR (1) KR100904105B1 (zh)
CN (1) CN100508163C (zh)
TW (1) TW200822221A (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5342811B2 (ja) * 2008-06-09 2013-11-13 東京エレクトロン株式会社 半導体装置の製造方法
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
JP5544893B2 (ja) * 2010-01-20 2014-07-09 東京エレクトロン株式会社 基板処理方法及び記憶媒体
JP5374462B2 (ja) * 2010-08-23 2013-12-25 東京エレクトロン株式会社 剥離システム、剥離方法、プログラム及びコンピュータ記憶媒体
CN102412136B (zh) * 2011-05-13 2014-03-12 上海华力微电子有限公司 一种消除金属表面突起物的化学机械抛光装置及方法
KR102110247B1 (ko) 2013-11-29 2020-05-13 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
JP6239365B2 (ja) * 2013-12-11 2017-11-29 東京エレクトロン株式会社 シリコン層をエッチングする方法
CN106463397A (zh) * 2014-05-12 2017-02-22 东京毅力科创株式会社 用于改善柔性纳米结构的干燥的方法和系统
JP6537473B2 (ja) * 2015-10-06 2019-07-03 東京エレクトロン株式会社 被処理体を処理する方法
JP6236105B2 (ja) * 2016-03-04 2017-11-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10170300B1 (en) * 2017-11-30 2019-01-01 Tokyo Electron Limited Protective film forming method
JP6960839B2 (ja) * 2017-12-13 2021-11-05 東京エレクトロン株式会社 半導体装置の製造方法
CN111900429B (zh) * 2020-07-24 2021-11-05 浙江泓林新能源科技有限公司 一种燃料电池金属双极板及其加工方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06310497A (ja) * 1993-04-27 1994-11-04 Oki Electric Ind Co Ltd 半導体素子の製造方法
JP3585384B2 (ja) * 1998-12-22 2004-11-04 松下電器産業株式会社 半導体装置の製造方法
US6162733A (en) * 1999-01-15 2000-12-19 Lucent Technologies Inc. Method for removing contaminants from integrated circuits
WO2002023625A2 (en) * 2000-09-11 2002-03-21 Tokyo Electron Limited Semiconductor device and fabrication method therefor
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
US7079760B2 (en) * 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2005217292A (ja) * 2004-01-30 2005-08-11 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2006086411A (ja) * 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch

Also Published As

Publication number Publication date
JP5100057B2 (ja) 2012-12-19
KR20080016485A (ko) 2008-02-21
JP2008047779A (ja) 2008-02-28
US20080045025A1 (en) 2008-02-21
CN101127321A (zh) 2008-02-20
TWI350566B (zh) 2011-10-11
TW200822221A (en) 2008-05-16
KR100904105B1 (ko) 2009-06-24

Similar Documents

Publication Publication Date Title
CN100508163C (zh) 半导体装置的制造方法
CN1976003B (zh) 半导体装置的制造方法及基板处理系统
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
CN100517603C (zh) 基板处理方法
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
JP5057647B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
US7795148B2 (en) Method for removing damaged dielectric material
US7632756B2 (en) Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20060194435A1 (en) Method of processing substrate, and method of and program for manufacturing electronic device
US20110003402A1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2011151141A (ja) 基板処理方法及び記憶媒体
US20050274393A1 (en) Wafer clean process
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
JP2007123836A (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090701

Termination date: 20150817

EXPY Termination of patent right or utility model