CN1976003B - 半导体装置的制造方法及基板处理系统 - Google Patents

半导体装置的制造方法及基板处理系统 Download PDF

Info

Publication number
CN1976003B
CN1976003B CN2006101630009A CN200610163000A CN1976003B CN 1976003 B CN1976003 B CN 1976003B CN 2006101630009 A CN2006101630009 A CN 2006101630009A CN 200610163000 A CN200610163000 A CN 200610163000A CN 1976003 B CN1976003 B CN 1976003B
Authority
CN
China
Prior art keywords
gas
wafer
film
recovery
etching mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006101630009A
Other languages
English (en)
Other versions
CN1976003A (zh
Inventor
浅子竜一
前川薰
藤井康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1976003A publication Critical patent/CN1976003A/zh
Application granted granted Critical
Publication of CN1976003B publication Critical patent/CN1976003B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

本发明提供能够制造电气特性和可靠性优良的半导体装置的半导体装置的制造方法。半导体装置的制造方法包括:在形成于半导体基板上的被蚀刻膜的表面,形成具有规定的电路图案的蚀刻掩模的工序;经由所述蚀刻掩模蚀刻所述被蚀刻膜,在所述蚀刻膜上形成槽或孔的工序;至少包含利用含有臭氧的气体进行的处理,除去所述蚀刻掩模的工序;以及通过供给规定的恢复气体,使到所述除去工序为止的工序对所述被蚀刻膜所带来的损伤进行恢复的工序。

Description

半导体装置的制造方法及基板处理系统
技术领域
本发明涉及如由单镶嵌法(single damascene)或双镶嵌法(doubledamascene)形成的半导体装置的制造方法和制造半导体装置时使用的基板处理系统。
背景技术
在半导体器件的制造工艺中,在配线槽或连接孔的形成中,较多使用双镶嵌法(如参考专利文献1)。图21为模式地表示现有的由双镶嵌法形成Cu配线的形成方法的一例的说明图。
首先,在基板上从下方开始依次形成如:配线层500、层间绝缘膜501、反射防止膜502,在该多层膜构造的表面形成第一抗蚀剂膜503(图21(a))。接着,由光刻技术在第一抗蚀剂膜503上绘制规定的图案(图21(b))。在该图案形成工序中,第一抗蚀剂膜503按规定的图案被曝光,其曝光部利用显影而被有选择地除去。随后,将该第一抗蚀剂膜503作为掩模由蚀刻处理来蚀刻反射防止膜502和层间绝缘膜501。依此,形成连通多层膜构造的表面和配线层500的连接孔504(图21(c))。
其次,例如,利用灰化处理剥离除去不需要的第一抗蚀剂膜503(图21(d)),而形成用于形成配线槽的新的第二抗蚀剂膜505(图21(e))。由光刻技术在第二抗蚀剂膜505上绘制图案(图21(f)),随后,利用将此第二抗蚀剂膜505作为掩模的蚀刻处理,来蚀刻反射防止膜502和层间绝缘膜501的一部分。这样,就形成了连通到连接孔504并比连接孔504宽的配线槽506(图21(g))。剥离除去不需要的第二抗蚀剂膜505(图21(h)),在连接孔504与配线槽506中填进Cu材料,形成Cu配线507(图21(i))。
可是,随着半导体装置的微细化,层间绝缘膜携带的寄生电容在提高配线的性能方面成为重要的因素,由低电介质材料(Low-k材料)构成层间绝缘膜本身。作为构成层间绝缘膜的低电介质材料(Low-k材料),一般应用具有甲基等的烷基作为末端基的物质。
但是,在如上所述的现有的镶嵌工艺中,在抗蚀剂膜剥离时,Low-k材料构成的层间绝缘膜501会受到损伤。这样的损坏会导致层间绝缘膜501的介电常数的上升,有损使用Low-k材料的效果。
应该极力减小这样的损伤,虽然在抗蚀剂剥离中使用He气以及H2气的高温灰化的方案被提案(非专利文献1),但是,在此技术中,损坏的抑制效果并不完全,不只如此,还存在抗蚀剂剥离性低以及不实用的问题。
【专利文献1】日本特开2002-83869号公报
【非专利文献1】A.Matsushita et al.“Low damage ashing usingH2/He plasma for porousultra Low-k”Proceeding IITC’03 pp 147-149
发明内容
本发明是鉴于这样的情况而提出的,其目的在于提供一种能够制造具有良好的电气特性以及可靠性的半导体装置的半导体装置的制造方法和用于实现此制造方法的基板处理系统、以及实行此制造方法的控制程序和计算机可读取的存储介质。
在本发明的第一方面中提供有一种半导体装置的制造方法,其特征在于,包括:在形成于半导体基板上的被蚀刻膜的表面上形成具有规定的电路图案的蚀刻掩模的工序;经由上述蚀刻掩模蚀刻上述被蚀刻膜,在上述蚀刻膜上形成槽或孔的工序;至少包含利用含有臭氧的气体进行的处理以除去上述蚀刻掩模的工序;以及通过供给规定的恢复气体,使到上述除去工序为止的工序对上述被蚀刻膜所带来的损伤进行恢复的工序。
在上述第一方面中,除去上述蚀刻掩模的工序为:在应用臭氧和水蒸气作为上述处理气体使上述蚀刻掩模改性之后,由纯水或药液进行处理,或者,也可以为在应用臭氧作为上述处理气体使上述蚀刻掩模改性之后,由纯水或药液进行处理。另外,除去上述蚀刻掩模的工序之后,在恢复上述损伤的工序之前,还有洗净上述半导体基板的工序。再者,恢复上述损伤的工序由使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理来进行。
在本发明的第二方面中提供有一种半导体装置的制造方法,其特征在于,包括:在形成于半导体基板上的被蚀刻膜的表面上形成具有规定的电路图案的蚀刻掩模的工序;经由上述蚀刻掩模蚀刻上述被蚀刻膜,在上述蚀刻膜上形成槽或孔的工序;除去上述蚀刻掩模的工序;以及通过供给规定的恢复气体,使到上述除去工序为止的工序对上述被蚀刻膜所带来的损伤进行恢复的工序,其中,恢复上述损伤的工序为在供给上述恢复气体之前和/或供给开始后加热半导体基板。
在上述第二方面中,恢复上述损伤的工序通过使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理来进行。这时,供给上述恢复气体之前的加热和/或供给开始后的加热,优选在50~200℃内进行。接下来,在进行供给上述恢复气体之前的加热和供给开始后的加热的两方面的加热时,优选供给上述恢复气体之前的加热按第一温度进行,上述供给开始后的加热按比第一温度高的第二温度进行。
在本发明的第三方面中提供有一种半导体装置的制造方法,其特征在于,包括:在形成于半导体基板上的被蚀刻膜的表面上形成具有规定的电路图案的蚀刻掩模的工序;经由上述蚀刻掩模通过干蚀刻来蚀刻上述被蚀刻膜,在上述蚀刻膜上形成槽或孔的工序;在上述蚀刻之后由干式处理除去蚀刻掩模的工序;在除去上述蚀刻掩模的工序之后向半导体基板存在的环境中导入水分,对半导体基板供给水分的工序;加热吸附水分后的半导体基板的工序;以及在上述加热工序后,通过供给规定的恢复气体,使到上述除去工序为止的工序对上述被蚀刻膜所带来的损伤进行恢复的工序。
根据上述第三方面,由上述干蚀刻进行蚀刻在上述被蚀刻膜上形成槽或孔的工序,除去上述蚀刻掩模的工序以及上述损伤恢复工序能够在同一单元中进行。另外,除去上述蚀刻掩模的工序,能够利用应用氧等离子体的干灰化处理来进行,也能够利用应用氧自由基的干灰化处理来进行。再者,恢复上述损伤的工序,可以在上述恢复气体的供给开始后加热基板。这时,优选加热上述吸附水分后的半导体基板的工序在第一温度下进行,上述恢复气体供给开始后的加热在比第一温度高的第二温度下进行。再者,恢复上述损伤的工序由应用甲硅烷基化气体作为恢复气体的甲硅烷基化处理来进行。这时,在供给作为上述恢复气体的甲硅烷基化气体之前进行的半导体基板的加热的温度,优选50~200℃。再者,向上述半导体基板供给水分的工序,能够利用向半导体基板存在的环境中导入大气来进行。
在上述第一~第三方面中,进行甲硅烷基化处理作为上述恢复处理的时候,作为恢复气体,优选使用在分子内具有硅氮烷结合(Si-N)的化合物来进行,上述分子内具有硅氮烷结合的化合物优选:TMDS(1,1,3,3-Tetramethyldisilazane)、TMSDMA(Dimethylaminotrimethylsilane)、DMSDMA(Dimethylsilyldimethylamine)、TMSPyrole(1-Trimethylsilylpylole)、BSTFA(N,0-Bis(trimethylsilyl)trifluoroacetamide)、BDMADMS(Bis(dimethylamino)dimethylsilane)。
在本发明的第四方面中,提供有一种基板处理系统,其特征在于:为经由形成有规定图案的蚀刻掩模,利用蚀刻装置蚀刻半导体基板上的被蚀刻层,在被蚀刻膜上形成槽或孔后,处理半导体基板的基板处理系统,包括:利用含有臭氧的处理气体使上述蚀刻掩模改性的装置、通过纯水或药液除去改性后的蚀刻掩模的洗净装置、供给规定的恢复气体,利用恢复处理来恢复带给上述被蚀刻膜的损伤的恢复处理装置、以及控制这些装置的控制部,其中,上述控制部控制将除去上述蚀刻掩模之后的半导体基板导入到上述恢复处理装置中进行恢复处理。
在上述第四方面中,利用含有臭氧的上述处理气体使上述蚀刻掩模改性的装置,能够应用臭氧与水蒸气的混合气体或臭氧单质作为处理气体。另外,可以为将使上述蚀刻掩模改性的装置、上述洗净装置和上述恢复处理装置配置在同一单元内的结构。另外,上述控制部也能够进行控制,使得在上述恢复处理装置中,在供给上述恢复气体之前和/或供给开始之后,加热半导体基板。另外,上述控制部能够进行控制,使得在上述恢复处理装置中,在供给上述恢复气体之前,将半导体基板加热到第一温度,在供给开始之后,将半导体基板加热到比第一温度高的第二温度。另外,上述恢复处理装置,可以为应用甲硅烷基化气体作为恢复气体来进行甲硅烷基化处理的结构,此时,优选上述控制部控制供给上述恢复气体之前的加热和/或供给开始后的加热的温度在50~200℃之间。
在本发明的第五方面中,提供有一种基板处理系统,其特征在于,包括:经由形成有规定图案的蚀刻掩模,干蚀刻半导体基板上的被蚀刻层,在被蚀刻膜上形成槽或孔的干蚀刻装置;由干灰化除去上述蚀刻掩模的干灰化装置;供给规定的恢复气体,由恢复处理恢复带给上述被蚀刻膜的损伤的恢复处理装置;加热半导体基板的加热机构;向半导体基板供给水分的机构;以及控制这些装置和机构的控制部。上述干蚀刻装置、上述干灰化装置以及上述恢复处理装置可以被整体地设置在同一处理单元内,在真空环境下进行处理。上述控制部进行如下控制:利用上述供给水分的机构向由上述干灰化装置除去蚀刻掩模的半导体基板供给水分,随后,由上述加热机构加热半导体基板,接着,在上述恢复处理装置中进行上述恢复处理。
在上述第五方面中,上述控制部能够进行控制,使得在上述恢复装置中,在上述恢复气体的供给开始之后加热半导体基板。此时,优选上述控制部,在上述恢复处理装置中,在供给上述恢复气体之前,将半导体基板加热到第一温度,在供给开始之后,将半导体基板加热到比第一温度高的第二温度。另外,上述恢复处理装置,可以应用甲硅烷基化气体作为恢复气体来进行甲硅烷基化处理,此时,优选上述控制部控制在供给作为上述恢复气体的甲硅烷基化气体之前进行的半导体基板的加热的温度在50~200℃之间。再者,优选上述控制部控制,在供给作为上述恢复气体的甲硅烷基化气体开始之后,在上述恢复处理装置中,加热半导体基板到50~200℃之间。此时,优选上述控制部,在上述恢复处理装置中,在供给上述甲硅烷基化气体之前,将半导体基板加热到第一温度,在供给开始之后,将半导体基板加热到比第一温度高的第二温度。另外,向上述半导体基板导入水分的机构,可以为具有设置在上述处理单元中的大气导入部的结构。
在本发明的第六方面中,提供有一种控制程序。其特征在于:在计算机上进行动作,并在计算机中对制造系统进行控制,使得在运行时可以执行上述第一~第三方面中的任一制造方法,。
在本发明的第七方面中,提供有一种计算机可读取的存储媒介,其特征在于:是存储在计算机上的动作的控制程序的计算机可读取的存储媒介,上述控制程序,在计算机中控制制造系统,使得在运行时可以执行上述第一~第三方面中的任一制造方法。
根据本发明,在半导体基板上的被蚀刻膜上,经由蚀刻掩模利用蚀刻形成配线槽或连接孔之后,在除去蚀刻掩模时,利用含有臭氧的处理气体进行的处理,具体地说,采用应用臭氧和水蒸气作为处理气体使蚀刻掩模改性后,利用纯水或药液进行处理的方法,或应用臭氧作为处理气体使蚀刻掩模改性后,利用纯水或药液进行处理的方法,随后,进行利用甲硅烷基化处理那样的处理气体的恢复处理,由此,在按实用的速度除去蚀刻掩模的同时,可以完全恢复此时的被蚀刻膜的损伤。因此,使得电气特性得到改善,能够制造可靠性优异的半导体装置。
另外,根据本发明,在半导体基板上的被蚀刻膜上,经由蚀刻掩模由蚀刻形成配线槽或连接孔之后,在除去蚀刻掩模后,进行利用甲硅烷基化处理那样的恢复气体的恢复处理时,在供给上述恢复气体之前和/或供给开始之后加热半导体基板,由此,可以提高恢复处理的效果,可以完全恢复除去蚀刻掩模时的被蚀刻膜的损伤。因此,使得电气特性得到改善,能够制造可靠性优异的半导体装置。
附图说明
图1是表示在本发明的第一实施方式中的半导体装置制造工艺中使用的半导体装置制造系统的简要结构的说明图。
图2是表示在图1的半导体装置制造系统中使用的改性·洗净·恢复处理装置的简要结构的平面图。
图3是表示图2的改性·洗净·恢复处理装置的简要结构的正面图。
图4是表示图2的改性·洗净·恢复处理装置的简要结构的背面图。
图5是表示在改性·洗净·恢复处理装置上搭载的改性处理单元的简要截面图。
图6是表示在改性·洗净·恢复处理装置上搭载的甲硅烷基化单元的简要截面图。
图7是表示在改性·洗净·恢复处理装置上搭载的洗净单元的简要截面图。
图8是表示使用了图1的半导体装置制造系统的单镶嵌法的半导体装置制造工艺的一例的流程图。
图9是图8所示流程的工序截面图。
图10是用于说明Low-k膜的损伤及由甲硅烷基化产生的恢复的原始值的图。
图11是表示使用了图1的半导体装置制造系统的双镶嵌法的半导体装置制造工艺的一例的流程图。
图12是图11所示流程的工序截面图。
图13是表示用于确认第一实施方式的效果的样品的截面图。
图14是表示在本发明的第二实施方式中的半导体装置制造工艺中使用的半导体装置制造系统的简要结构的说明图。
图15是表示在图14的半导体装置制造系统中使用的蚀刻·灰化·恢复处理装置的简要结构的平面图。
图16是表示在蚀刻·灰化·恢复处理装置上搭载的灰化单元的简要截面图。
图17是表示在蚀刻·灰化·恢复处理装置上搭载的甲硅烷基化处理单元的简要截面图。
图18是表示使用了图14的半导体装置制造系统的单镶嵌法的半导体装置制造工艺的一例的流程图。
图19是图18所示流程的工序截面图。
图20是用于说明在甲硅烷基化处理时连续进行预加热和甲硅烷基化剂导入开始后的加热的方法的图。
图21是表示利用以往的双镶嵌法的半导体装置的制造过程的工序截面图。
符号说明:
11a、11b:甲硅烷基化处理单元(SCH)
12a~12d:洗净单元(CNU)
15a~15f:改性处理单元(VOS)
100,100`:处理部
101:SOD装置
102:抗蚀剂涂敷/显影装置
103:曝光装置
104:改性·洗净·恢复处理装置
105:蚀刻装置
106:喷镀装置
107:电解电镀装置
108:蚀刻·灰化·恢复处理装置
109:CMP装置
110:主控制器
111:过程控制器
112:用户接口
113:存储部
120:绝缘膜
122:下部配线
123:阻挡膜
124:层间绝缘膜
125a:反射防止膜
125b:抗蚀剂膜
128a:通路
128b:沟槽
129a、129b:损伤部
131:保护膜
W:晶片(基板)
具体实施方式
以下,参照附图详细说明本发明的实施方式。在此,说明在由单镶嵌法以及双镶嵌法制造半导体装置时本发明适用的例子。
图1是表示在本发明的第一实施方式的半导体装置的制造工艺中应用的半导体装置制造系统的简要结构的说明图。该半导体装置制造系统具有处理部100与主控制部110,其中,该处理部100包括:SOD(Spin On Dielectric)装置101、抗蚀剂涂敷·显影装置102、曝光装置103、进行抗蚀剂的改性、洗净及恢复处理的改性·洗净·恢复处理装置104、蚀刻装置105、作为PVD装置之一的喷镀装置106、电解电镀装置107、以及作为研磨装置的CMP装置109,其主控制部110包括:过程控制器111、用户接口112以及存储部113。在此,处理部100的SOD装置101与喷镀装置106与电解电镀装置107为成膜装置。再者,作为在处理部100的装置间搬送晶片W的方法,使用依靠操作人员的搬送方法以及依靠未图示的搬送装置的搬送方法。
处理部100的各装置的结构为连接到具备CPU的过程控制器111上而接受控制。在过程控制器上111连接着用户接口112与存储部113,其中,用户接口112由工序管理者为了管理处理部100的各装置而进行指令的输入操作等的键盘及可视化地表示处理部100的各装置的工作状况的显示器等组成,存储部113是存储记录用于在过程控制器111的控制中实现在处理器100实行的各种处理的控制程序以及处理条件数据等的方法的装置。
这样,根据需要,接受来自用户接口112的指示等,从存储部113取出任意的方法,使其在过程控制器111中实行,在过程控制器111的控制下在处理部100中进行所希望的各种处理。另外,对于所述方法,即使为存储在如CD-ROM、硬盘、软盘、非易失性存储器等的可读取存储介质的状态下的方法也可以,再者,在处理部100的各装置间或者说从外部的装置经由例如专用电路,也可以使其即时传送并在线利用。
再者,虽然也可以由主控制部110进行所有的控制,但是优选主控制部110只进行全体的控制,为每个装置或每个规定的装置群设置下位的控制部来进行控制。
为了使用旋镀法在晶片W上涂敷药液以形成Low-k膜等的层间绝缘膜或蚀刻终止膜,而使用所述SOD装置101。SOD装置101的详细的结构虽未图示,但是,SOD装置101具备旋镀单元和热处理已形成涂敷膜的晶片W的热处理单元。在晶片处理系统中,也可以使用由化学气相沉积法(CVD:chemical vapor deposition)在晶片W上形成绝缘膜的CVD装置来代替SOD装置101。
为了形成作为蚀刻掩模使用的抗蚀剂膜以及反射防止膜而应用所述抗蚀剂涂敷·显影装置102。抗蚀剂涂敷·显影装置102的详细结构虽未图示,但是,抗蚀剂涂敷·显影装置102具有在晶片W上涂敷抗蚀剂等旋镀成膜抗蚀剂膜等的抗蚀剂涂敷处理单元、在晶片W上涂敷反射防止膜(BARC)的BARC涂敷处理单元、在晶片W上涂敷牺牲膜的牺牲膜涂敷处理单元、显影处理在曝光装置103中按规定的图案曝光的抗蚀剂膜的显影处理单元、以及与分别热处理已成膜抗蚀剂膜的晶片W、已曝光处理的晶片W或已进行显影处理的晶片W的热处理单元等。为了在形成抗蚀剂膜的晶片W上曝光规定的电路图案而使用曝光装置103。
如后面的详细说明,改性·洗净·恢复处理装置104是进行蚀刻处理后的抗蚀剂膜等的改性处理、应用纯水或药液对改性处理后的抗蚀剂膜等的洗净除去处理、以及恢复抗蚀剂膜除去时对层间绝缘膜的损伤的恢复处理的装置。
蚀刻装置105是用于对在晶片W上形成的层间绝缘膜等实施蚀刻处理的装置。蚀刻处理既可以利用等离子体,也可以使用药液。
为了形成如扩散防止膜以及Cu种子(seed)层而使用喷镀装置106。在电解电镀装置107中,向形成有Cu种子层的配线槽等中填进Cu,CMP装置109是用于进行填进有Cu的配线等的表面的平坦化处理的装置。
接下来,对在本实施方式中起重要作用的改性·洗净·恢复处理装置104进行详细说明。图2为改性·洗净·恢复处理装置104的简要平面图,图3为其简要正面图,图4为其简要背面图。改性·洗净·恢复处理装置104包括:从其他的处理装置等顺次地搬入收容有晶片W的载体,相反,用于将收容有完成改性·洗净·恢复处理装置104的处理之后的晶片W的载体搬出到进行下次处理的处理装置等的载体站4;设置有分别进行洗净处理、改性处理以及恢复处理的多个处理单元的处理站2;在处理站2与载体站4之间进行晶体W的搬送的搬送站3;以及制造、调制、蓄积在处理站2使用的药液以及纯水、气体等的化学处理站5。此外,还设置有用于控制改性·洗净·恢复处理装置104的各构成部的控制部26。
在载体C的内部,在铅直方向(Z方向)上以大至水平的姿势按一定的间隔收容晶片W。对于这样的载体C的晶片W的搬入搬出是在载体C的一侧面进行,此侧面依靠盖体10a(在图2中未图示,在图3以及图4中表示取出盖体10a的状态)而开闭自如。
如图2所示,载体站4具有可以在沿图中Y方向的三个位置载置载体C的载置台6。使设置有盖体10a的侧面朝向载体站4与搬送站3之间的界线壁8a一侧,使载体C载置在载置台6上。在界线壁8a上的与载体C的载置点相对应的位置上形成有窗部9a,在各窗部9a的搬送站3的一侧设置有开闭窗部9a的闸板10。该闸板10具有把持载体C的盖体10a的把持部件(未图示),如图3以及图4所示,在把持盖体10a的状态中,在搬送站3的一侧,可以使盖体10a退避。
设置在搬送站3上的晶片搬送装置7具有可以保持晶片W的晶片搬送拾取器7a。晶片搬送装置7可以沿在搬送站3的底盘上沿Y方向延伸设置的导向件7b(参照图3以及图4)在Y方向上移动。另外,晶片搬送拾取器7a可以在X方向上自由滑动,可以在Z方向上自由升降,且可以在X-Y平面内自由旋转(θ旋转)。
由此结构,经由窗部9a连通载体C的内部与搬送站3,使闸板10退避,在此状态下,晶片搬送拾取器7a可以存取载置在载置台6上的所有载体C,可以从载体C搬出载体C内任意高度位置上的晶片W,相反,也可以将晶片W搬入到载体C的任意位置上。
处理站2在搬送站3的一侧具有两台晶片载置单元(TRS)13a、13b。例如,在从搬送站3接受晶片W时,为了载置晶片W而应用晶片载置单元(TRS)13b,将在处理站2完成规定处理后的晶片W送回搬送站3时,为了载置晶片W而应用晶片载置单元(TRS)13a。
在处理站2的背面配置有改性处理单元(VOS)15a~15f。该改性处理单元(VOS)利用含有臭氧(O3)的处理气体,例如臭氧与水蒸气的混合气体或臭氧单质,使蚀刻处理后的反射防止膜以及抗蚀剂掩模改性,可溶于纯水或者规定的药液。在该改性处理单元(VOS)15a~15f中,维持蚀刻处理后的抗蚀剂膜的形状不变,只是使抗蚀剂膜的化学性质变化为可溶于纯水或规定的药液。
在改性处理单元(VOS)15a、15d上设置有进行甲硅烷基化处理的甲硅烷基化处理单元(SCH)11a、11b,所述甲硅烷基化处理作为用于恢复因改性处理以及洗净处理进行抗蚀剂除去而导致的层间绝缘膜受到的损伤的恢复处理。
在处理站2的正面配置有洗净单元(CNU)12a~12d。在洗净单元(CNU)12a~12d中,对完成改性处理单元(VOS)15a~15f的处理之后的晶片W施加药液处理或者水洗处理,除去改性后的抗蚀剂膜,或者说进行除去后的洗净处理。
在处理站2上,夹持主晶片搬送装置14,在与晶片载置单元(TRS)13a、13b相对的位置上,重叠设置有四段加热干燥已完成在洗净处理单元(CNU)12a~12d的处理的晶片W的加热板单元(HP)19a~19d。再者,在晶片载置单元(TRS)13a的上侧,重叠有冷却经过加热干燥处理的晶片W的冷却板单元(COL)21a、21b。此外,晶片载置单元(TRS)13b也可以作为冷却板单元使用。在处理站2的上部设置有向处理站2的内部输送清新空气的风机滤网单元(FFU)25。
在处理站2的大致中央部设置有在处理站2的内部搬送晶片W的主晶片搬送装置14。主晶片搬送装置14具有搬送晶片W的晶片搬送臂14a。主晶片搬送装置14可以绕Z轴自由旋转。此外,晶片搬送臂14a可以在水平方向上自由进退,且可以在Z方向上自由升降。由此结构,主晶片搬送装置14自身不需要沿X方向移动即可向设置在处理工序2上的各单元进行存取操作,使得可以在各单元间搬送晶片W。
化学处理站5包括:向设置在处理站2上的改性处理单元(VOS)15a~15f供给作为处理气体用的臭氧以及水蒸气等的处理气供给部16;向洗净单元(CNU)12a~12d供给洗净液的洗净液供给部17以及向甲硅烷基化处理单元(SCH)11a、11b供给甲硅烷基化剂以及载体气体等的甲硅烷基化剂供给部18。
接着,参照图5所示的简要截面图,详细说明改性处理单元(VOS)15a的构造。该改性处理单元(VOS)15a具有收容晶片W的密闭式箱体30,箱体30由固定的下部容器41a与覆盖下部容器41a上面的盖体41b构成,盖体41b利用固定在膜改性处理单元(VOS)15a的框架42上的缸体43自由升降。图5表示出盖体41b与下部容器41a紧密接触的状态以及盖体41b退让到下部容器41a的上方的状态。
在下部容器41a的周边立起部的上面配置有O形密封环51,若驱动缸体43时盖体41b下降,则在盖体41b的背面周边接触下部容器41a的周边立起部的上表面的同时,O形密封圈51被压缩,在箱体30内形成密闭的处理空间。
在下部容器41a中设置有载置晶片W的台33,在该台33的表面上,设置有多处支撑晶体W的临近销(proximity pin)44。
在台33的内部以及盖体41b的内部分别埋设有加热丝45a和45b,使得可以将台33以及盖体41b分别保持在规定的温度。由此,可以保持晶片W的温度一定。
在盖体41b的背面,设置有保持晶片W的爪部件46,例如3处(图5只示两处)。晶片搬送臂14a与该爪部件46进行晶片W的交接。若在爪部件46保持着晶片W的状态下使盖体41b下降,则在该下降途中将晶片W交接给设置在台33上的临近销44。
在箱体30中,将处理气体导入内部的气体导入口34a以及将处理气体排到外部的气体排出口34b被设置在下部容器41a上。处理气体供给部16连接着气体导入口34a,气体排出口34b则连接着排气装置32。使得从处理气体供给部16停止供给臭氧与水蒸气的混合气体以及水蒸气,而供给臭氧单质气体。此外,也可以从处理气体供给部16供给作为稀释气体的N2气体。
就晶片W的利用处理气进行的处理而言,在保持箱体30的内部为一定正压的情况下进行比较好。为此,不仅仅由缸体43来推压下部容器41a与盖体41b,还由锁紧机构35夹紧设置在这些端面上的突起部47a、47b。
该锁紧机构35包括:支撑轴52、利用旋转装置54来自由旋转的旋转筒55、固定在旋转筒55上的圆板56、以及设置在圆板56周围边缘的夹持部件57。夹持部件57包括:推压辊59a、59b以及保持旋转轴58的辊保持部件48。
突起部47a、47b被等间隔设置在四处,在这些突起部之间形成有间隙部49。突起部47a、47b分别被配置在相叠的位置上。在该间隙部49的位置处配置有夹持部件57,以此状态,而可以自由地进行盖体41b的升降。
若使旋转筒55与圆板56同时按规定的角度旋转,则推压辊59b静止在突起部47b的上面,推压辊59a静止在突起部47a的下侧。此外,其他的改性处理单元也具有几乎一样的结构。
另外,参照图6所示的简要截面图,详细说明甲硅烷基化处理单元(SCH)11a。甲硅烷基化处理单元(SCH)11a具有收容晶片W的箱体61,箱体61由固定的下部容器61a以及覆盖下部容器61a的的盖体61b构成,盖体61b利用未图示的升降装置自由地升降。在下部容器61a内设置有加热板62,从加热板62的周围向箱体61内供给甲硅烷基化剂、如DMSDMA(Dimethylsilyldimethylamine)的蒸气。DMSDMA由气化器63气化而变为蒸气状,被N2气体携带而供给至箱体61。
在加热板62内埋设有加热丝62a,由此加热丝62a可以在如室温~200℃的范围内进行温度调节。在其表面设置有支撑晶片W的销钉64。不将晶片W直接载置在加热板62上,以防止污染晶片W的背面。在下部容器61a的外围部的上面设置有第一密封圈65,在盖体61b的外围部下面设置有当将盖体61b压到下部容器61a上时与第一密封圈65接触的第二密封圈66。在内侧和外侧设置有两组该第一以及第二密封圈65、66的组合,其间的空间可以减压,利用使此空间减压而确保箱体61的气密性。在盖体61b的大致中心部,设置有排气口67,其用于排放包含供给箱体61的DMSDMA的N2气体,该排气口67经由压力调整装置68而连接到真空泵69。
如后所述,在本实施方式中,优选在将甲硅烷基化剂导入到箱体61之前及/或导入开始之后,由控制部26控制加热丝62a对晶片W进行加热。此时最适合的加热温度为50~150℃。
此外,图6中的结构为由气化器63气化液体的DMSDMA,使得可以由N2气体携带而供给至箱体61,也可以为只将DMSDMA气化后的气体(即DMSDMA蒸气)供给至箱体61的结构。因为在向箱体61供给DMSDMA时,保持箱体61内为规定的真空度,利用气化器63与箱体61的压力差,可以轻易将DMASDMA气体导入到箱体61中。此外,甲硅烷基化处理单元(SCH)11b与甲硅烷基化处理单元(SCH)11a具有完全相同的结构。
随后,参照图7所示的简要截面图,详细说明洗净单元12a。对于该洗净单元12a,在其中央部配置有环状的罩(CP),在罩(CP)的内侧配置有旋转夹盘71。旋转夹盘71在真空吸附固定保持晶片W的状态下,被驱动发动机72所旋转驱动。在罩(CP)的底部设置有排出洗净液以及纯水的排放口73。
驱动发动机72被配置在单元底板74上的开口74a中,可升降地移动,经由盖状的法兰部件75与由缸体构成的升降驱动机构76以及升降导向件77相结合。在驱动发动机72的侧面安装有筒状的冷却罩78,安装法兰部件75使其得以覆盖住该冷却罩78的上半部。
当向晶片W供给药液等时,法兰部件75的下端75a在开口74a的周边附近与单元底板74紧密接触,依此,密闭单元内部。当在旋转夹盘71与晶片搬送臂14a之间进行晶片W的交接时,升降驱动机构76向上方举起驱动发动机72以及旋转夹盘71,以此,使得法兰部件75的下端75a从单元底板74浮起。
在罩(CP)的上方具有洗净液供给机构80,该洗净液供给机构80向存在已改性的抗蚀剂膜的晶片W的表面供给溶解该改性物质的规定的洗净液,所述抗蚀剂膜在改性处理单元(VOS)15a~15f的任意一个中被改性。
洗净液供给机构80包括:洗净液吐出喷嘴81,向保持在旋转夹盘71上的晶片W的表面吐出洗净液;洗净液供给部17,向洗净液吐出喷嘴81输送规定的洗净液,前面已有叙述;扫描臂82,保持洗净液吐出喷嘴81并可在Y方向上自由地进退;垂直支撑部件85,支撑扫描臂82;以及X轴驱动机构96,在单元底板74的上面沿X方向铺设有导轨84,在导轨84上安装X轴驱动机构96,使垂直驱动机构85沿X轴方向移动。扫描臂82利用Z轴驱动机构97可以在上下方向(Z方向)上移动,依此,可以使洗净液吐出喷嘴81移动到晶片W上的任意位置,此外,也可以退避到罩(CP)外的规定位置。
洗净液供给部17可以向洗净液吐出喷嘴81有选择地供给溶解除去液以及纯水,溶解除去液用于溶解在任意一个改性处理单元(VOS)15a~15f中改性的牺牲膜等的改性物质,如稀氟酸、胺类药业等。纯水被作为漂洗液使用。此外,洗净处理单元(CNU)12b~12d也有与洗净处理单元(CNU)12a同样的结构。
下面,说明利用单镶嵌法的半导体装置制造工艺的一例,该双镶嵌法使用所述图1的半导体装置制造系统。图8为表示该制造工艺的工艺流程图,图9为表示图8流程的工序截面图。
首先,在Si基板(未图示)上形成绝缘膜120,在绝缘膜120中间的上部经由屏障(barrier)金属层121形成下部铜配线122,在绝缘膜120以及下部铜配线122的上边形成阻挡层(如SiN膜或者SiC膜)123,准备好经过该处理的晶片,将该晶片W搬入SOD装置101中,在此,在阻挡膜123上形成由低电介质材料(Low-K材料)构成的层间绝缘膜(以下记为Low-K膜)124(步骤1)。依此,形成图9(a)的状态。
随后,将形成有Low-K膜124的晶片W搬入抗蚀剂涂敷·显影装置102,在此,在Low-K膜124上依次形成反射防止膜125a与抗蚀剂膜125b,接着,将晶片W搬入曝光装置103,在此,按规定的图案进行曝光处理,随后,将晶片W送回抗蚀剂涂敷·显影装置102,利用在显影处理单元中显影处理抗蚀剂膜125b,而在抗蚀剂膜125b上形成规定的电路图案(步骤2)。由此,形成图9(b)的状态。
随后,将晶片W搬送至蚀刻装置105,在此,进行蚀刻处理(步骤3)。由此,在Low-K膜124上形成达到阻挡膜123的通路128a(图9(c))。
完成蚀刻处理的晶片W被搬送至改性·洗净·恢复处理处理装置104,最初,在任一改性处理单元(VOS)15a~15f中,利用含有臭氧的气体,如臭氧与水蒸气的混合气体或臭氧单质,将反射防止膜125a以及抗蚀剂膜125b改性为水溶性或者可以溶解于规定的药液,使其成为改性膜125a`、125b`(步骤4、图9(d))。
具体地说,首先,将载体C的规定位置上的一枚晶片W搬送至晶片载置单元(TRS)13b,载置在晶片载置单元(TRS)13b中的晶片由晶片搬送臂14a搬入改性处理单元(VOS)15a~15f中的任意一个(例如15a)。在使盖体41b退避在下部容器41a的上方的状态下,使晶片W进入到比设置在盖体41b的爪部件46的保持晶片W的部分(在水平方向上突出的部分)稍高的位置,将其交接给爪部件46。于是,使盖体41b下降,使其与下部容器41a紧密接触,再者,使锁紧机构35动作,使箱体30处于密闭状态。在使盖体41b下降的途中,将晶片W从爪部件46交接到临近销(proximity pin)44,由加热丝45a、45b将台33保持在规定的温度。此时的晶片温度优选为100~150℃,典型的基板温度为105℃。
将台33以及盖体41b保持在规定的温度,并且,若晶片W的温度分布大致一定,最初,只将臭氧/氮气混合气体(如臭氧含量为9%,流量为4L/min)从处理气体供给部16供给到箱体30内,使箱体30内部充满臭氧/氮气混合气体,并且调节到规定的压力。此时的臭氧浓度优选为1~20%,典型为9%,浓度为9%时,臭氧的流量优选为1~10L/min,典型为4L/min。箱体30内的压力优选为200kPa以下,可以将其调节为规定的正压。随后,处理气体供给部16将在臭氧/氮气混合气体中混合进了水蒸气的处理气体供给箱体30内。此时的水蒸气流量优选为0~10ml/min,典型为5ml/min。当水蒸气流量为0ml/min时,为只有臭氧/氮气混合气体的处理。由该处理气体使在晶片W上形成的反射防止膜125a以及抗蚀剂膜125b改性为水溶性或易溶解于特定的药液的性质。此时的处理时间为如30~600sec,典型为300sec。
晶片W完成利用处理气体的处理之后,停止处理气体的供给,从处理气体供给部16向箱体30内供给氮气气体,用氮气气体净化箱体30内。在该净化处理时,为了在随后打开箱体30的时候,防止臭氧/氮气混合气体从排气装置32逆流而从箱体30排出臭氧/氮气混合气体,排气装置32内的臭氧/氮气混合气体也要完全排出。
这样的改性处理后的晶片W被搬送至洗净单元(CNU)12a~12d的任意一个中,进行溶解除去改性后的反射防止膜以及抗蚀剂膜即改性膜125a`、125b`的处理(步骤5、图9(e))。此时,反射防止膜125a和抗蚀剂膜125b若变为水溶性,可以通过供给纯水将其溶解除去,若变为可溶解于其他的规定的药液的性质,则可以通过供给那样的药液将其溶解。
这样,在除去反射防止膜125a和抗蚀剂膜125b时,在Low-k膜124上形成的通路128a的侧壁受到损伤、形成如图9(e)所示的损伤部129a。具体地说,如图10所示,末端基为甲基(Me)且具有疏水性的Low-k膜124在改性处理时与水分反应,通路128a侧壁近旁的甲基减少,羟基增加,进入到损伤状态,介电常数上升。
此外,在图9(e)中虽然模式化地表示出损伤部129a,但实际上,损伤部129a与未被损伤的部分之间的界限并不像图式地那样明确。
在通路128a的侧壁形成这样的损伤部129a的状态下,用金属材料填埋通路128a形成连接孔,因为配线间的寄生电容会增大,所以会出现信号延迟和配线间的绝缘性下降的问题。
因此,为了恢复除去抗蚀剂膜等之后的Low-k膜124的损伤,将晶片W搬入甲硅烷基化处理单元(SCH)11a~11b的任意一个之中,在此,进行作为损伤部的恢复处理的甲硅烷基化处理(步骤6、图9(f))。通过这样,损伤部得到恢复,使Low-k膜124的相对介电常数恢复到接近原始的值。甲硅烷基化处理的条件最好根据甲硅烷基化剂(甲硅烷基化气体)的种类来选择,例如:气化器63的温度在室温~50℃,甲硅烷基化剂流量在0.1~1.0g/min,N2气(清洗气体)的流量在1~10L/min,处理压力在666~96000Pa(5~720Torr)、加热板62的温度在室温~200℃等的范围内适当地设定。
这时,优选在甲硅烷基化剂的导入之前由加热丝62a进行加热(预烘焙)晶片W。由该加热除去残存在晶片W上的水分来调节水分量。若在残存在晶片W上的水分过多的状态下导入甲硅烷基化剂,则甲硅烷基化剂与H2O反应而出现颗粒,可能导致处理不良,通过这样进行晶片W的预烘焙,可以回避处理不良。但是,若预烘焙的温度过高,则在由抗蚀剂除去等而受到损伤的Low-k膜上发生以下的脱水缩合,随后即使导入甲硅烷基化气体也会阻碍甲硅烷基化反应。
-Si-OH+OH-Si-→-Si-O-Si-+H2O
此外,若在晶片W变为比规定的温度还高的温度的状态下导入甲硅烷基化剂(甲硅烷基化气体),则只在晶片W的表面附近进行反应,而若在晶片W的温度为较低的合适的温度下导入甲硅烷基化剂,则特别是在介电常数低的多孔Low-k膜中,甲硅烷基化剂进入Low-k膜的细孔中,在膜内部发生甲硅烷基化反应,因此,更加促进损伤的恢复。
由这样的观点,在进行所述预烘焙时,晶片W的温度为在生成所述效果的50℃以上,和不生成所述不良情况的200℃以下,即,优选50~200℃的范围。
甲硅烷基化剂导入开始之后,由促进反映的观点出发,优选加热晶片W。这时,为了发挥出适度的反应促进效果,优选晶片温度为50~150℃。
甲硅烷基化剂导入前的加热(预热)和甲硅烷基化剂导入后的加热,在任何一方加热都有效果,但是,由两方加热可以得到更大的效果。在这样的情况下,优选甲硅烷基化剂导入后的加热温度比甲硅烷基化剂导入前的加热温度高。为了实现这样的两阶段的加热,可以采用如下的方法:由加热丝62a将加热板62加热到与甲硅烷基化剂导入开始后的温度相对应的第二温度,在使图6中未图示的升降销上升的状态下,支撑晶片W,将晶片W加热到比第二温度低的第一温度,甲硅烷基化剂的供给开始后,使晶片W下降,使其升温到第二温度。另外,设置电子管作为加热装置,在将晶片载置在载置台上的状态下,按第一温度加热,进行预烘焙,甲硅烷基化剂的供给开始后,提高电子管的输出,再将晶片加热到第二温度的方法也可以采用。
在将DMSDMA作为甲硅烷基化剂使用时,可以应用以下方法:例如,将加热板62的温度加热到规定的温度,将箱体61内的压力减压到5Torr(=666Pa),随后,将DMSDMA的蒸气携带在氮气中向箱体61供给,直至箱体61内压力达到55Torr,在维持该压力的同时,如保持3分钟,进行处理。使用DMSDMA的甲硅烷基化反应,表示为下述化学式1。
【化学式1】
Figure S061G3000920061206D000191
作为甲硅烷基化剂,并不只限于以上的DMSDMA,只要是发生甲硅烷基化反应的物质就可以没有特别限制的使用,但是,在分子内具有硅氮烷结合(Si-N结合)的化合物群中,拥有比较小的分子结构的物质,例如分子量为260以下的物质比较好,分子量为170以下的物质更加好。具体地说,例如,除所述DMSDMA、HMDS之外,也可以使用TMSDMA(Dimethylaminotrimethylsilane:二甲氨基三甲基硅氮烷)、TMDS(1,1,3,3-Tetramethyldisilazane:1,1,3,3-四甲基二硅氮烷)、TMSPyrole(1-Trimethylsilylpylole:1-三甲基甲硅烷基吡咯)、BSTFA(N,0-Bis(trimethylsilyl)trifluoroacetamide:N,0-双(三甲基甲硅烷基)三氟乙酰胺)、BDMADMS(Bis(dimethylamino)dimethylsilane:双(二甲氨基)二甲基硅烷)等。在以下表示这些的化学结构。
【化学式2】
Figure S061G3000920061206D000201
在所述化合物中,作为介电常数的恢复效果和漏泄电流的减低效果较高的物质,优选使用TMSDMA和TMDS。另外,从甲硅烷基化后的稳定性的观点出发,优选具有构成硅氮烷结合的Si与三个羟基(如甲基)结合的结构的物质(如TMSDMA、HMD等)。
此外,从进一步提高甲硅烷基化处理的恢复效果的观点出发,优选除去抗蚀剂膜等之后,由碱性药液等的药液进行洗净处理,随后进行甲硅烷基化处理的方法。
完成这样的甲硅烷基化处理的晶片W,被搬送到蚀刻处理装置105中,进行用于除去阻挡膜123的蚀刻处理(步骤7、图9(g))。接着,将晶片W搬送到改性·洗净·恢复处理装置104中,在洗净单元(CNU)12a~12d的任意一个中进行洗净处理(步骤8)。即使是这样的蚀刻处理和洗净处理有时也会使Low-k膜124受到损伤,在这种情况下,也可以实施与所述一样的甲硅烷基化处理。
随后,将晶片W搬送至喷镀装置106,在通路128a的内壁形成屏障金属膜和Cu种子层(即、电镀种子层),接着,将晶片W搬送至电解电镀装置107,在此,利用电解电镀将铜126填埋进通路128a作为配线金属(步骤9、图9(h))。之后,热处理晶片W,由此进行填埋进通路128a的铜126的退火处理(图1未图示退火装置),接着,将晶片W搬送至CMP装置109,在此,进行利用CMP法的平坦化处理(步骤10)。由此,制造出所希望的半导体装置。
这样,在制造半导体装置中,通过利用含有臭氧的处理气体的改性处理和洗净处理,可以使除去抗蚀剂膜等时对Low-k膜的损伤比灰化时少,同时,可以提高甲硅烷基化处理的损伤恢复效果,并使相对介电常数完全恢复,能够得到电气特性优异的半导体装置。因此,可以提高半导体装置的可靠性。
下面,说明利用双镶嵌法的半导体装置制造工艺,该双镶嵌法使用所述图1的半导体装置制造系统。图11为表示该制造工艺的流程图,图12为表示图11的流程的工序截面图。因为在先前的说明中已经很清楚地说明了各工序中使用的装置,所以这里省略装置的说明。
首先,与使用所述单镶嵌法的例子相同,在Si基板(未图示)上形成绝缘膜120,在绝缘膜120中间的上部经由屏障金属层121形成下部铜配线122,在绝缘膜120以及下部铜配线122的上边形成阻挡层(如SiN膜或SiC膜)123,准备好经过此处理的晶片,在此晶片W的阻挡膜123上形成由低电介质材料(Low-k材料)构成的Low-k膜124(步骤101、图12(a))。
随后,在Low-k膜124上依次形成反射防止膜125a和抗蚀剂膜125b,接着,按规定的图案进行曝光处理,再者,通过显影处理抗蚀剂膜125b,在抗蚀剂膜125b上形成规定的电路图案(步骤102、图12(b))。
随后,将抗蚀剂膜125b作为蚀刻掩模进行蚀刻处理,形成达到阻挡膜123的通路128a(步骤103、图12(c))。
随后,由含有臭氧的气体,如臭氧与水蒸气的混合气体或臭氧单质,将反射防止膜125a以及抗蚀剂膜125b改性为水溶性或可以溶解于规定的药液(步骤104),之后,由纯水或规定的药液溶解除去改性后的反射防止膜和抗蚀剂膜(步骤105),成为图12(d)的状态。
这样,在除去反射防止膜125a和抗蚀剂膜125b时,与所述例一样,在Low-k膜124上形成的通路128a的侧壁受到损伤、形成如图12(d)所示的损伤部129a。在此,为了恢复除去抗蚀剂膜等之后的Low-k膜124的损伤,与所述例一样,对晶片W进行作为恢复处理的甲硅烷基化处理,使损伤恢复(步骤106、图12(e))。
随后,在Low-k膜124的表面形成保护膜(牺牲膜)131(步骤107),在该保护膜131上依次形成反射防止膜132a和抗蚀剂膜132b,按规定的图案对抗蚀剂膜132b曝光、显影,在抗蚀剂膜132b上形成电路图案(步骤108、图12(f))。另外,利用旋镀规定的药液可以在SOD装置101中形成保护膜131。此外,保护膜131未必是必要的,也可以在Low-k膜124上直接形成反射防止膜132a和抗蚀剂膜132b。
随后,将抗蚀剂膜132b作为蚀刻掩模进行蚀刻处理,由此,在Low-k膜124上形成沟槽128b(步骤109、图12(g))。
之后,由含有臭氧的气体,如臭氧与水蒸气的混合气体或者臭氧单质,将反射防止膜132a、抗蚀剂膜132b以及保护膜131改性为水溶性或者可以溶解于规定的药液(步骤110),之后,由纯水或规定的药液溶解除去改性后的反射防止膜、抗蚀剂膜和保护膜(步骤111),成为图12(h)的状态。
这样,在除去反射防止膜132a、抗蚀剂膜132b和保护膜131时,在Low-k膜124上形成的沟槽128b的侧壁和通路128a的侧壁受到损伤、形成如图12(h)所示的损伤部129b。在此,为了恢复除去抗蚀剂膜等之后的Low-k膜124的损伤,与所述步骤106一样,对晶片W进行作为恢复处理的甲硅烷基化处理,以使损伤恢复(步骤112、图12(i))。
完成这样的甲硅烷基化处理的晶片W,进行用于除去阻挡膜123的蚀刻处理(步骤113、图12(j)),接着,进行洗净处理(步骤114)。即使是这样的蚀刻处理和洗净处理有时也会使Low-k膜124受到损伤,在这种情况下,也可以实施与所述一样的甲硅烷基化处理。
随后,在沟槽128b和通路128a的内壁形成屏障金属膜和Cu种子层(即、电镀种子层),接着,由电解电镀将铜126填埋进沟槽128b和通路128a作为配线金属(步骤115、图12(k))。之后,热处理晶片W,由此进行填埋进通路128a和沟槽128b的铜126的退火处理(图1中未图示退火装置),接着,将晶片W搬送至CMP装置109,在此进行利用CMP法的平坦化处理(步骤116)。由此,制造出所希望的半导体装置。
利用这样的双镶嵌法制造半导体装置的情况与单镶嵌法的情况一样,通过利用含有臭氧的处理气体的改性处理和洗净处理,可以减少除去抗蚀剂膜等的时候对Low-k膜的损伤,比灰化时还少,同时,可以提高利用甲硅烷基化处理的损伤恢复效果,并使相对介电常数完全恢复,能够得到电气特性优异的半导体装置。因此,可以提高半导体装置的可靠性。
下面,就掌握第一实施方式的效果的实验结果进行说明。
首先,如图13(a)所示,在低电阻的Si基板140上,准备成膜Low-k膜141的样品。然后,将未处理的样品作为基准(reference)(样品1),对于由臭氧和蒸气处理的样品(样品2),在由臭氧和蒸气处理之后进行甲硅烷基化处理的样品(样品3、4),在由臭氧和蒸气处理之后由碱性类药液(胆碱)处理,随后进行甲硅烷基化处理的样品(样品5)来说,如图13(b)所示,在Low-k膜141上形成Al喷射电极142,如图13(c)所示,在Al喷射电极142与Si基板140之间施加电压,测定Low-k膜141的相对介电常数和漏泄电流值。
此外,将SOD膜或CVD膜作为Low-k膜使用,利用臭氧和蒸气的处理的条件为105℃、75kPa。另外,就甲硅烷基化处理的条件来说,样品3的条件为150℃(条件1),样品4的条件为180℃(条件2),样品5的条件为所述条件1。
表1所示结果。如表1所示,确认了,利用臭氧与蒸气的处理,相对介电常数和漏泄电流值有所提高,进行甲硅烷基化处理作为恢复处理,由此,可以得到与基准大体上相同的相对介电常数和漏泄电流值。另外,对在由碱性类药液洗净之后进行甲硅烷基化处理的样品5,相对介电常数再次降低。此外,作为基准的样品1,因为在其表层残留有若干变质层,相对介电常数变为比材料本来的值稍高一些的值。
【表1】
样品No.   条件   相对介电常数(k值) 漏电电流值(A/cm<sup>2</sup>)
  1   基准   2.55   1.9×10<sup>-10</sup>
  2   臭氧和蒸气处理   4.51   9.5×10<sup>-5</sup>
  3   臭氧和蒸气处理+甲硅烷基化处理(条件1)   2.69   4.3×10<sup>-10</sup>
  4   臭氧和蒸气处理+甲硅烷基化处理(条件2)   2.67   3.7×10<sup>-10</sup>
  5   臭氧和蒸气处理+甲硅烷基化处理+洗净处理   2.35   7.8×10<sup>-10</sup>
接下来,进行确认在臭氧处理中蒸气的有无的影响的实验。在此,准备图13(a)中所示构造的样品,将未处理的样品作为基准(样品6),对于在所述条件1下由臭氧和蒸气进行处理后的样品(样品7),再对样品7实施甲硅烷基化处理得到的样品(样品8),在样品7的条件下只除去水蒸气的后进行处理得到的样品(样品9),再对样品9实施甲硅烷基化处理得到的样品(样品10),蚀刻后进行O2灰化的作为比较例的样品(样品11),再对样品11进行甲硅烷基化处理得到的样品(样品12)来说,同样,形成Al喷射电极,测定Low-k膜的相对介电常数和漏泄电流值。另外,Low-k膜的材料和甲硅烷基化处理的条件与所述样品相同。
表2所示结果。如表2所示,确认出不用蒸气只用臭氧处理时与用臭氧和蒸气处理时相同,相对介电常数和漏泄电流值有所提高,但是不用蒸气的情况下提高的程度较小,不用蒸气而只用臭氧时损伤较小。另外,由甲硅烷基化处理恢复后的相对介电常数和泄漏电流值,被恢复到与基准大致相同的程度。另一方面,得到蚀刻后进行O2灰化的样品,由甲硅烷基化处理恢复的程度比由臭氧处理恢复的程度要低的结果。
【表2】
样品No. 除去处理条件 有无甲硅烷基化处理 相对介电常数(k值) 漏电电流值(A/cm<sup>2</sup>)
6 基准 2.45  4.83×10<sup>-9</sup>
7 臭氧+蒸气 3.55  5.03×10<sup>-7</sup>
8 臭氧+蒸气 2.79  2.05×10<sup>-9</sup>
9 只有臭氧 3.19  8.22×10<sup>-8</sup>
10 只有臭氧 2.73  4.43×10<sup>-9</sup>
11 蚀刻+O<sub>2</sub>灰化 3.40  3.10×10<sup>-5</sup>
12 蚀刻+O<sub>2</sub>灰化 2.95  7.60×10<sup>-8</sup>
下面,说明第二实施方式。
图14为表示在本发明的第二实施方式的半导体装置的制造工艺中使用的半导体装置制造系统的简要结构的说明图。在图14中,对与图1相同的装置附上相同的符号,省略说明。该半导体装置制造系统具有处理部100`和与图1相同结构的主控制部110。该处理部100`包括:与第一实施方式相同的SOD(Spin On Dielectric)装置101、抗蚀剂涂敷·显影装置102、曝光装置103、喷镀装置106、电解电镀装置107、作为研磨装置的CMP装置109、还有,进行干蚀刻、干灰化及恢复处理的蚀刻·灰化·恢复处理装置108以及洗净处理装置104`。
即,本实施方式的半导体装置制造系统,取代了图1的蚀刻装置105和改性·洗净·恢复处理装置104,设置有蚀刻·灰化·恢复处理装置108和洗净处理装置104`,在这一点上与第一实施方式的半导体装置制造系统不同。
洗净处理装置104`由图7表示的洗净处理单元、加热机构及搬送系统构成,是对晶片W进行洗净处理的装置。
如以下说明,蚀刻·灰化·恢复处理装置108,是进行用于在层间绝缘膜(Low-k膜)上形成规定图案的通路或沟槽的干蚀刻,用于除去抗蚀剂膜的干灰化,及恢复层间绝缘膜的损伤的恢复处理的装置,也是由真空中的干式工艺连续地进行这些处理的装置。
图15是表示该蚀刻·灰化·恢复处理装置108的简要结构的平面图。蚀刻·灰化·恢复处理装置108包括:用于进行干蚀刻(等离子体蚀刻)的蚀刻单元151、152,用于进行干灰化(等离子体灰化)的灰化单元153以及甲硅烷基化处理单元(SCH)154,这些单元151~154被分别对应设置在呈六角形的晶片搬送室155的四个边上。另外,在晶片搬送室155的另外两个边上分别设置有负载锁定室156、157。在这些负载锁定室156、157的与晶片搬送室155相对的一侧设置有晶片搬入搬出室158,在晶片搬入搬出室158的与负载锁定室156、157相对的一侧,设置有安装三个可以收容晶片W的载体C的孔159、160、161。
如图15所示。蚀刻单元151、152、灰化单元153、甲硅烷基化处理单元(SCH)154、以及负载锁定室156、157经由门阀G与晶片搬送室155的各边连接,这些单元通过开放与其对应的门阀G与晶片搬送室155连通,通过关闭与其对应的门阀G被从晶片搬送室155遮断。另外,在负载锁定室156、157的与晶片搬入搬出室158连接的部分也设置有门阀G。负载锁定室156、157,通过开放对应的门阀G与晶片搬出搬入室158连通,通过关闭对应的门阀G被从晶片搬出搬入室158遮断。
在晶片搬送室155内,设置有对于蚀刻单元151、152、灰化单元153、甲硅烷基化处理单元(SCH)154以及负载锁定室156、157进行晶片W的搬入搬出的晶片搬送装置162。该晶片搬送装置162被配设在晶片搬送室155的大致中央,在可以旋转和伸缩的旋转·伸缩部163的前端,有保持晶片W的两个叶片164a、164b,这两个叶片164a、164b被安装在旋转·伸缩部163上,相互之间朝向相反方向。此外,保持该晶片搬送室155内在规定的真空度。
在晶片搬入搬出室158的天井部设置有HEPA过滤器,通过该HEPA过滤器的清新空气在下向流动的状态下被供给晶片搬入搬出室158内,在大气压的清新空气中,进行晶片W的搬入搬出。在晶片搬入搬出室158的安装载体C用的三个孔159、160、161上分别设置有未图示的闸板,在这些孔159、160、161上直接安装收容了晶片W的或空的载体C,安装时闸板偏移,防止外气侵入的同时与搬入搬出室158连通。另外,在晶片搬入搬出室158的侧面设置有定位箱165,在那里进行晶片W的定位。
在晶片搬入搬出室158内,设置有对载体C进行晶片W的搬入搬出和对负载锁定室156、157进行晶片W的搬入搬出的晶片搬送装置166。该晶片搬送装置166具有多关节手臂结构,可以沿载体C的排列方向在轨道168上移动,将晶片W载置在其前端的手柄167上,进行搬送。晶片搬送装置162、166的动作等系统全体的控制都由控制部169进行。
下面,就各单元进行说明。
首先,说明灰化单元153。另外,因为蚀刻单元151、152只是处理气体不同而大致结构与灰化单元相同,所以省略其说明。
如图6所示大致结构,该灰化单元153是进行等离子体灰化的装置,具备形成大致圆筒状的处理腔211,在其底部经由绝缘板213配置有基座支撑台214,在此之上,配置有基座215。基座215也兼作下部电极,在其上面经由静电卡盘220而载置晶片W。符号216是高通滤波器(HPF)。
在基座支撑台214的内部设置有温度调节介质循环的温度调节介质室217,由此,将基座215调整至所希望的温度。在温度调节介质室217上连接着导入管218和排出管219。
静电卡盘220是在绝缘材料221之间配置电极222的结构,直流电源223向电极222施加直流电压,由此,晶片W被静电吸附在静电卡盘220上。向晶片W的背面经由气体通路224供给由He气构成的传热气体,经由该传热气体将晶片W温度调节到规定的温度。在基座215的上端边缘部上,为了围住载置在静电卡盘220上的晶片W的周围,配置有环状的聚焦环225。
在基座215的上方,设置有与基座215相对,经由绝缘材料232在等离子体处理腔211的内部处于被支撑状态的上部电极231。上部电极231由具有多个吐出口233的电极板234和支撑该电极板234的电极支撑体235构成,成喷头状。
在电极支撑体235的中央设置有气体导入口236,连接到气体供给管237。气体供给管237经由阀238和质量流量控制器239连接到供给用于灰化的处理气体的处理气体供给源240。由处理气体供给源240向处理腔211内供给如O2气体、NH3气体、CO2气体等,作为灰化气体。
处理腔211的底部与排气管241连接,该排气管241上连接着排气装置245。排气装置245具有涡轮分子泵等的真空泵,可以将处理腔211内设定为规定的减压氛围。在处理腔211的侧壁部分设置有门阀242。
在上部电极231上,经由第一匹配器251连接着供给等离子体生成用的高频电力的第一高频电源250。另外,低通滤波器(LPF)252连接在上部电极231上。,经由第二匹配器261,吸引等离子体中的离子,在作为下部电极的基座215上连接着用于进行灰化的第二高频电源260。
在这样结构的灰化单元153中,由处理气体供给源240将规定的灰化气体导入腔211内,利用第一高频电源250的高频电力将其等离子体化,再由此等离子体灰化除去晶片W的抗蚀剂膜。
下面,参照图17表示的简要截面图详细说明甲硅烷基化处理单元(SCH)154。甲硅烷基化处理单元(SCH)154具有收容晶片W的腔301,在腔301的下部设置有设置有晶片载置台302。在晶片载置台302中埋设有加热丝303,可以将载置在其上的晶片W加热到所希望的温度。在晶片载置台302上设置了可突可隐的晶片升降销304,在晶片W的搬入搬出时等,可以将晶片W放置在晶片载置台302上方的,与晶片载置台隔离的规定位置上。
在腔301内,设置有区划出包含晶片W的狭小处理空间S的内部容器305,向该处理空间S供给甲硅烷基化剂(甲硅烷基化气体)。在该内部容器305的中央形成垂直延伸的气体导入路306。
在该气体导入路306的上部连接着气体供给配管307,在该气体供给配管307上,连接着从供给DMSDMA(Dimethylsilyldimethylamine)等的甲硅烷基化剂的甲硅烷基化剂供给源308延伸出来的配管309和从供给由Ar和N2气等构成的载体气体的载体气供给源310延伸出来的配管311。在配管309上,从甲硅烷基化剂供给源308的一侧开始,依次设置着使甲硅烷基化剂气化的气化器312、质量流量控制器313及开关阀314。另一方面,在配管311上,从载体气供给源310的一侧开始,依次设置着质量流量控制器315及开关阀316。于是,由气化器312气化的甲硅烷基化剂,由载体气携带通过气体供给配管307和气体导入路306,被导入到内部容器305围绕的处理空间S内。处理时由加热丝303将晶片W加热到规定的温度。这时,晶片温度可以控制在如室温~300℃范围内。
设置有大气导入配管317使其从腔301外的大气环境延伸到腔301内的内部容器305内。在该大气导入配管317上设置有阀318,开启阀318则将大气导入到由腔301内的内部容器305围绕的处理空间S中。由此,向晶片W供给规定的水分。
在腔301的侧壁上设置有门阀319,开启该门阀319则可以进行晶片W的搬入搬出。在腔301的底部的周边部设置有排气管320,利用未图示的真空泵经由排气管320为腔301内排气,可控制其气压在如10Torr(266Pa)以下。在排气管320上设置有冷阱321。另外,在晶片载置台302的上部与腔壁之间的部分上设置有挡板322。
蚀刻·灰化·恢复处理装置108在真空环境下连续进行蚀刻、灰化、恢复处理,因为照原样的话在晶片W的存在空间中就几乎不再存在水分,所以有时在甲硅烷基化处理单元(SCH)153中难以生成所述的甲硅烷基化反应,难以得到完全的恢复效果。这里,如后面的详细说明,由控制部169进行如下控制:在甲硅烷基化剂的导入之前,开启大气导入配管317的阀318导入大气,为晶片W吸附水分,随后,由加热丝303为晶片载置台302上的晶片W加热,进行水分调整,之后,导入甲硅烷基化剂。这时的加热温度最好为50~200℃。另外,从促进甲硅烷基化反应的观点,也可以在导入甲硅烷基化剂开始之后,再控制加热晶片W。
下面,说明利用单镶嵌法的半导体装置制造工艺,该单镶嵌法使用了所述图14的半导体装置制造系统。图18为表示该制造工艺的流程图,图19为表示图18的流程的工序截面图。因为制造此时的半导体装置时的膜的结构与图9相同,所以对相同的膜使用相同的符号表示。
首先,在Si基板(未图示)上形成绝缘膜120,在绝缘膜120中间的上部经由屏障金属层121形成下部铜配线122,在绝缘膜120及下部铜配线122的上边形成阻挡层(如SiN膜或者SiC膜)123,准备好经过该处理的晶片,将该晶片W搬入SOD装置101中,在此,在阻挡膜123上形成由低电介质材料(Low-K材料)构成的层间绝缘膜(以下记为Low-K膜)124(步骤201)。依此,形成图19(a)的状态。
随后,将形成Low-K膜124的晶片W搬入抗蚀剂涂敷·显影装置102,在此,在Low-K膜124上依次形成反射防止膜125a与抗蚀剂膜125b,接着,将晶片W搬入曝光装置103,在此按规定的图案进行曝光处理,随后,将晶片W送回抗蚀剂涂敷·显影装置102,利用在显影处理单元中显影处理抗蚀剂膜125b,在抗蚀剂膜125b上形成规定的电路图案(步骤202)。由此,形成图19(b)的状态。
随后,将晶片W搬送知蚀刻·灰化·恢复处理装置108中,在此,由真空中的干式工艺连续地进行蚀刻、灰化、恢复处理。具体地说,首先,将晶片W搬送到蚀刻单元151,进行等离子体蚀刻(步骤203)。由此,在Low-k膜124上形成到达阻挡膜123的通路128a(图19(c))。
将完成蚀刻处理的晶片W搬送至灰化单元153,利用等离子体灰化处理除去反射防止膜125a和抗蚀剂膜125b(步骤204、图19(d))。
这样,在由等离子体灰化处理除去反射防止膜125a和抗蚀剂膜125b时,与第一实施方式的情况相同,在Low-k膜124上形成的通路128a的侧壁受到损伤,形成图19(d)所示的损伤部129a。
于是,为了恢复除去抗蚀剂膜等之后的Low-k膜124的损伤,将晶片W搬入甲硅烷基化处理单元(SCH)154中进行甲硅烷基化处理,这时,因为等离子体灰化处理之后Low-k膜124受到的损伤,比所述的由含有臭氧的气体进行的改性处理的损伤要大,所以有必要进行更有效的恢复处理。但是,蚀刻·灰化·恢复处理装置108在真空中连续地进行蚀刻、灰化、恢复处理,因此,装置内几乎不存在水分,照这样的话难以生成甲硅烷基化反应,也难以进行有效的恢复处理。
为此,在本实施方式中,将晶片W搬入甲硅烷基化处理单元(SCH)154之后,为了在甲硅烷基化处理之前向晶片W供给水分,开启阀318从大气导入配管317导入大气(步骤205)。
这样,由导入大气向晶片W供给水分,但若在残存水分量太多的状态下导入甲硅烷基化剂,则甲硅烷基化剂与H2O反应出现颗粒,可能会出现处理不良。为此,大气导入后,在甲硅烷基化剂导入之前进行加热处理(预烘焙),调整水分量(步骤206)。这时,若预烘焙的温度过高,如上所述,在由抗蚀剂除去等受到损伤的Low-k膜上,产生以下脱水缩合,随后即使导入甲硅烷基化气体,也会阻碍甲硅烷基化反应。另外,如上所述,若晶片W的温度过高,在导入甲硅烷基化剂(甲硅烷基化气体)时只在晶片表面附近进行反应,与此相比,在适当的温度下加热晶片W,并为多孔Low-k膜时,因为使甲硅烷基化剂进入Low-k膜的细孔中在膜内部发生甲硅烷基化反应,可以进一步促进损伤的恢复。由上,优选预烘焙在50~200℃的温度下进行。
这样的处理之后,导入甲硅烷基化剂进行甲硅烷基化处理(步骤207、图19(e))。这样,利用在调整晶片W的水分之后进行甲硅烷基化处理,促进Low-k膜124的损伤的恢复,即使是在除去抗蚀剂膜125b等时进行了像等离子体灰化一样的损伤的大处理后,也可以使Low-k膜124的相对介电常数恢复到接近原始的值。
甲硅烷基化处理如下进行:在甲硅烷基化处理单元(SCH)154中,首先,开启门阀319将晶片W导入腔301内,载置在晶片载置台302上,在将腔301内减压到规定的压力的状态下,向晶片W供给由载体气携带的处于被气化器气化的状态的甲硅烷基化剂。在甲硅烷基化处理单元(SCH)154中的甲硅烷基化处理的条件,最好根据甲硅烷基化剂(甲硅烷基化气体)的种类来选择,如可以在以下范围适当设定,气化器312的温度为室温~200℃、甲硅烷基化剂的流量为700sccm(mL/min)以下,处理压力为10mTorr~100Torr(1.33~13330Pa)、载置台302的温度为室温~200℃。
从促进反应的观点,在导入甲硅烷基化剂开始之后,也优选由加热丝303对晶片W加热。这时,为了发挥适度的反应促进效果,优选晶片温度为50~200℃。
这种情况下,优选甲硅烷基化剂导入后的加热的温度比甲硅烷基化剂导入前的加热的温度高。为了实现这样的两个阶段的加热,可以采用如下方法:利用加热丝303根据甲硅烷基化剂导入开始后的温度,将晶片载置台302加热到第二温度,如图20(a)所示,使升降销304处于上升的状态,将晶片W与晶片载置台302隔离,使晶片W的温度处于比第二温度稍低的第一温度,在这样的状态下如图20(b)所示,开始甲硅烷基化剂的供给,随后,如图20(c)所示,使升降销304下降,将晶片W载置在晶片载置台302上,使其升温到第二温度。另外,如上所述,设置电子管作为加热装置,在将晶片载置在载置台上的状态下,按第一温度加热,进行预烘焙,甲硅烷基化剂的供给开始后,提高电子管的输出,再将晶片加热到第二温度的方法也可以采用。
完成这样的甲硅烷基化处理的晶片W,被搬送到蚀刻单元152中,进行用于除去阻挡膜123的蚀刻处理(步骤208、图19(f))。接着,将晶片W搬送到洗净处理装置104`中,进行洗净处理(步骤209)。即使是利用这样的蚀刻处理和洗净处理,有时也会使Low-k膜124受到损伤,在这种情况下,也可以实施与上面所述一样的甲硅烷基化处理。
随后,与所述实施方式一样,将晶片W搬送至喷镀装置106,在通路128a的内壁形成屏障金属膜和Cu种子层,接着,将晶片W搬送至电解电镀装置107,在此,利用电解电镀将铜126填埋进通路128a作为配线金属(步骤210、图19(g))。之后,热处理晶片W,由此进行填埋进通路128a的铜126的退火处理(图1未图示退火装置),接着,将晶片W搬送至CMP装置109,在此,进行利用CMP法的平坦化处理(步骤211)。由此,制造出所希望的半导体装置。
这样,在制造半导体装置中,可以有效地进行恢复处理,即使是在由灰化处理那样的损伤的大的处理除去抗蚀剂膜的情况下,也可以使相对介电常数完全恢复,能够得到电气特性优异的半导体装置。因此,可以提高半导体装置的可靠性。另外,关于利用双镶嵌法的半导体装置的制造,可以按图18、19的步骤进行。
下面,就掌握第二实施方式的效果的实验结果进行说明。
准备如上面所述的图13(a)中所示的样品,对于成膜后的样品(样品21)、将其暴露在蚀刻工艺条件下,再暴露在作为抗蚀剂膜除去处理的灰化条件下后形成的样品(样品22)、在进行大气导入和预加热之后供给甲硅烷基化剂进行甲硅烷基化处理的样品(样品23)、及在预加热和甲硅烷基化剂导入开始后进行加热处理的样品(样品24)来说,形成如所述图13(b)所示的Al喷射电极142,在Al喷射电极142与Si基板140之间施加电压,测定Low-k膜141的相对介电常数。
此外,将SOD膜作为Low-k膜使用。再者,在蚀刻工艺中使用CF4/Ar类气体,在灰化工艺中使用O2类气体。预加热温度约为100℃,甲硅烷基化剂导入开始后的加热温度为150℃。
表3表示结果。如表3所示,在蚀刻工艺暴露和灰化工艺暴露之后,相对介电常数从成膜的状态开始上升,对于在进行大气导入和预加热之后进行恢复处理的样品23来说,相对介电常数恢复了50%,对于在甲硅烷基化剂导入开始后进行加热的样品24来说,相对介电常数恢复了80%。
【表3】
样品No. 处理条件 相对介电常数 恢复率(%)
 21 Low-k膜成膜后 2.40  -
 22 灰化后 2.66  -
 23 预加热+甲硅烷基化处理 2.53  50.0
 24 预加热+甲硅烷基化处理+气体导入开始后的加热 2.45  80.8
在所述第二实施方式中,虽然利用使用O2类气体的等离子体的灰化处理灰化除去抗蚀剂膜,主要也可以使用等离子体中的氧自由基除去抗蚀剂膜。
在通常的灰化处理中,由第二高频电源260吸引等离子体中的氧离子,由此促进灰化。这时,氧离子给Low-k膜损伤,Low-k膜表面向致密的结构变化。若Low-k膜致密,则用于损伤恢复处理的甲硅烷基化剂不能进入到Low-k膜的内部,损伤恢复的程度则被限定。
与此相比,在同样的工艺条件下,如果不施加第二高频电源260产生的高频,则等离子体中的氧自由基对抗蚀剂膜的作用成为可支配的,降低氧离子对Low-k膜的损伤,从而可以抑制Low-k膜表面的结构变致密。另一方面,在Low-k膜不变致密的部分,氧自由基对Low-k膜内部的影响很大,则在深入部分的损伤很有可能变大。但是,因为甲硅烷基化剂可以浸入到Low-k膜内部很深的部分,所以可以恢复其损伤。
表4为使用氧自由基除去抗蚀剂膜时的实验结果。表4中样品31为基准。样品32为对基准进行灰化处理后的样品。此时的条件为,灰化处理时除去没有施加第二高频电源260的高频的点,与表3表示的样品21相同。另外,样品33为灰化处理后由甲硅烷基化剂进行恢复处理的样品,样品34为在氧自由基的抗蚀剂膜除去处理之后,进行洗净处理,再由甲硅烷基化剂进行恢复处理的样品。
如表4所示,在氧自由基除去抗蚀剂膜的阶段,相对介电常数上升,利用由甲硅烷基化剂进行的恢复处理使相对介电常数大幅恢复。另外,抗蚀剂除去后进行洗净处理,随后利用甲硅烷基化剂进行恢复处理,由此,使相对介电常数恢复到与基准样品31大致相同的。发明人认为这是因为,经过洗净处理为甲硅烷基化反应提供了必要的水分促进了恢复处理。另外,发明人认为所述的灰化处理时可能生成的Low-k膜表面的致密的一层,在蚀刻处理时也可能生成,进行洗净处理可以除去该致密结构的一层,从而促进了恢复处理。
【表4】
样品No. 处理条件 相对介电常数 恢复率(%)
 31 基准 2.45  -
 32 利用氧自由基进行抗蚀剂膜的除去 3.35  -
 33 利用氧自由基进行抗蚀剂膜的除去+甲硅烷基化 2.69  74
 34 利用氧自由基进行抗蚀剂膜的除去+洗净处理+甲硅烷基化 2.54  97
另外,在使用氧自由基除去抗蚀剂膜时,与所述的使用了O2类气体的等离子体的灰化处理的实施方式相同,在甲硅烷基化处理之前向腔301内导入大气,进行预烘焙,能够调节用于促进甲硅烷基化反应的水分量。再者,导入甲硅烷基化剂之后,将晶片加热到比预烘焙的温度还高的温度,也能够促进甲硅烷基化反应。
另外,在第二实施方式中,作为灰化单元153表示的图16的装置,,可以作为进行蚀刻处理、灰化处理和恢复处理中的任意两个、或全部这些处理的装置发挥机能。即,只要使用能够供给蚀刻处理用的气体和灰化处理用的气体的装置作为处理气体供给源240,就能够在最初由蚀刻处理用的气体进行蚀刻,随后切换为灰化处理用的气体进行灰化处理。再者,只要使用能够供给蚀刻处理用的气体、灰化处理用的气体和甲硅烷基化剂的装置作为处理气体供给源240,就能够在最初由蚀刻处理用的气体进行蚀刻,随后切换为灰化处理用的气体进行灰化处理,再切换为甲硅烷基化剂进行甲硅烷基化处理。但是,在进行甲硅烷基化处理时,有必要设置向晶片W供给水分的装置。
另外,在所述蚀刻·灰化·恢复处理装置108中,虽然在甲硅烷基化处理之前向甲硅烷基化处理单元(SCH)154中导入大气,但是也可以向其他的单元,如晶片搬送室155中导入大气向晶片供给水分。再者,作为供给水分的装置,也可以为供给大气以外的物质、例如精制的水蒸气的结构。
另外,本发明并不限定于所述实施方式,而是有多种变形可能。如作为恢复处理,虽然就甲硅烷基化处理进行了说明,但是也可以为利用其他的恢复气体的恢复处理。另外,作为适用于本发明的被蚀刻膜,优选所述的Low-k膜,作为Low-k膜,除了由SOD装置形成的多孔MSQ(Porous methylhydrogen-SilsesQuioxane),由CVD形成的无机绝缘膜之一的SiOC类膜(将甲基(-CH3)导入现有的SiO2膜的Si-O结合中,使Si-CH3结合与之混合,如Black Diamond(Applied Materials公司)、Coral(Novellus公司)、Arora(ASM公司)等与之相符,致密性质的物质和多孔的(多孔性质)物质都存在)等也能够适用,Low-k膜不被限定。
再者,在本实施方式中,在利用单镶嵌法、双镶嵌法的,含有铜配线的半导体装置的制造工艺中,就本发明适用的例子进行了说明,不仅限于此,存在除去被蚀刻膜上的蚀刻掩模的工序的半导体装置的制造工艺全都可以适用。

Claims (10)

1.一种半导体装置的制造方法,其特征在于,包括:
在形成于半导体基板上的被蚀刻膜的表面上形成具有规定的电路图案的蚀刻掩模的工序;
经由所述蚀刻掩模蚀刻所述被蚀刻膜,在所述被蚀刻膜上形成槽或孔的工序;
至少包含利用含有臭氧的气体进行的处理除去所述蚀刻掩模的除去工序;以及
通过供给规定的恢复气体,使因实施至所述除去工序为止的工序而对所述被蚀刻膜带来的损伤进行恢复的工序,
所述蚀刻掩模的除去工序通过如下方式进行:在按照能够溶解于纯水或者药液的方式利用含有臭氧的处理气体使所述蚀刻掩模改性后,利用所述纯水或者所述药液使已被改性的所述蚀刻掩模溶解,从而完成所述蚀刻掩模的除去,
所述恢复损伤的工序由使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理来进行,
在供给所述甲硅烷基化气体之前,还包括:将所述半导体基板加热到第一温度使残存于所述半导体基板上的水分去除的工序,
所述甲硅烷基化处理,使用在分子内具有硅氮烷结合Si-N的化合物作为恢复气体来进行,
所述在分子内具有硅氮烷结合Si-N的化合物为TMSDMA。
2.根据权利要求1所述的半导体装置的制造方法,其特征在于:
作为所述处理气体使用臭氧和水蒸气的混合气体或者臭氧单体。
3.根据权利要求1所述的半导体装置的制造方法,其特征在于:
所述第一温度为50~200℃。
4.根据权利要求1至3中任一项所述的半导体装置的制造方法,其特征在于:
除去所述蚀刻掩模的工序之后,在恢复所述损伤的工序之前,还包括洗净所述半导体基板的工序。
5.一种基板处理系统,其特征在于:
该基板处理系统为经由形成有规定图案的蚀刻掩模,利用蚀刻装置蚀刻半导体基板上的被蚀刻层,在被蚀刻膜上形成槽或孔后,处理半导体基板的基板处理系统,该基板处理系统包括:
利用含有臭氧的处理气体使所述蚀刻掩模改性的装置;
用纯水或药液除去改性后的蚀刻掩模的洗净装置;
供给规定的恢复气体,利用恢复处理恢复带给所述被蚀刻膜的损伤的恢复处理装置;以及
控制这些装置的控制部,其中,
所述控制部,控制将除去所述蚀刻掩模之后的半导体基板导入到所述恢复处理装置中进行恢复处理,
所述控制部按照在所述恢复处理装置中在供给所述恢复气体前加热所述半导体基板的方式进行控制,
所述恢复处理由使用甲硅烷基化气体作为恢复气体的甲硅烷基化处理来进行,
所述甲硅烷基化处理,使用在分子内具有硅氮烷结合Si-N的化合物作为恢复气体来进行,
所述在分子内具有硅氮烷结合Si-N的化合物为TMSDMA。
6.根据权利要求5所述的基板处理系统,其特征在于:
通过含有臭氧的所述处理气体使所述蚀刻掩模改性的装置,应用臭氧与水蒸气的混合气体或臭氧单质作为处理气体。
7.根据权利要求5或者权利要求6所述的基板处理系统,其特征在于:
将使所述蚀刻掩模改性的装置、所述洗净装置和所述恢复处理装置配置在同一单元内。
8.根据权利要求5所述的基板处理系统,其特征在于:
所述控制部能够进行控制,使得在所述恢复处理装置中,在供给所述恢复气体的供给开始之后,加热半导体基板。
9.根据权利要求8所述的基板处理系统,其特征在于:
所述控制部能够进行控制,使得在所述恢复处理装置中,在供给所述恢复气体之前,将半导体基板加热到第一温度,在供给开始之后,将半导体基板加热到比第一温度高的第二温度。
10.根据权利要求8或者权利要求9所述的基板处理系统,其特征在于:
所述控制部控制供给所述恢复气体之前的加热和/或供给开始后的加热的温度在50~200℃之间。
CN2006101630009A 2005-11-30 2006-11-30 半导体装置的制造方法及基板处理系统 Expired - Fee Related CN1976003B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005-346854 2005-11-30
JP2005346854A JP5019741B2 (ja) 2005-11-30 2005-11-30 半導体装置の製造方法および基板処理システム
JP2005346854 2005-11-30

Publications (2)

Publication Number Publication Date
CN1976003A CN1976003A (zh) 2007-06-06
CN1976003B true CN1976003B (zh) 2010-12-15

Family

ID=38121907

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101630009A Expired - Fee Related CN1976003B (zh) 2005-11-30 2006-11-30 半导体装置的制造方法及基板处理系统

Country Status (5)

Country Link
US (2) US7902077B2 (zh)
JP (1) JP5019741B2 (zh)
KR (1) KR100810163B1 (zh)
CN (1) CN1976003B (zh)
TW (1) TW200735214A (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP4578507B2 (ja) * 2007-07-02 2010-11-10 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
JP5304033B2 (ja) * 2007-08-31 2013-10-02 富士通株式会社 半導体装置の製造方法
JP2009065000A (ja) * 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板の処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JP5299605B2 (ja) * 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US8282984B2 (en) * 2007-12-03 2012-10-09 Tokyo Electron Limited Processing condition inspection and optimization method of damage recovery process, damage recovering system and storage medium
JP5173396B2 (ja) * 2007-12-25 2013-04-03 大陽日酸株式会社 絶縁膜のダメージ回復処理方法
JP5425404B2 (ja) * 2008-01-18 2014-02-26 東京エレクトロン株式会社 アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
KR101293896B1 (ko) * 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US8133664B2 (en) * 2009-03-03 2012-03-13 Micron Technology, Inc. Methods of forming patterns
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP2010248032A (ja) * 2009-04-16 2010-11-04 Shin-Etsu Chemical Co Ltd 合成石英ガラス基板の微細加工方法
JP5261291B2 (ja) * 2009-06-01 2013-08-14 東京エレクトロン株式会社 処理方法および記憶媒体
JP5592083B2 (ja) 2009-06-12 2014-09-17 アイメック 基板処理方法およびそれを用いた半導体装置の製造方法
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
JP5782279B2 (ja) * 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP2012222329A (ja) * 2011-04-14 2012-11-12 Tokyo Electron Ltd 液処理方法及び液処理装置
US8962469B2 (en) 2012-02-16 2015-02-24 Infineon Technologies Ag Methods of stripping resist after metal deposition
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20170178758A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Uniform wafer temperature achievement in unsymmetric chamber environment
US10903083B2 (en) * 2016-01-13 2021-01-26 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and substrate processing system
JP6236105B2 (ja) * 2016-03-04 2017-11-22 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
JP6754257B2 (ja) * 2016-09-26 2020-09-09 株式会社Screenホールディングス 基板処理方法
JP2018163980A (ja) * 2017-03-24 2018-10-18 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP6906439B2 (ja) * 2017-12-21 2021-07-21 東京エレクトロン株式会社 成膜方法
KR20220103330A (ko) 2021-01-15 2022-07-22 삼성전자주식회사 웨이퍼 클리닝 장치 및 방법
US11862461B2 (en) * 2021-12-28 2024-01-02 Changxin Memory Technologies, Inc. Method of forming oxide layer on a doped substrate using nitridation and oxidation process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1166798A (zh) * 1994-11-22 1997-12-03 配合液系统公司 用于微电子的无胺光刻胶粘接促进剂
CN1404624A (zh) * 2000-12-22 2003-03-19 精工爱普生株式会社 图案形成方法、装置及半导体器件、电路、显示体模件和发光元件
CN1405859A (zh) * 2001-09-20 2003-03-26 联华电子股份有限公司 一种修复低介电常数材料层的方法
CN1502122A (zh) * 2000-06-23 2004-06-02 ����Τ�����ʹ�˾ 恢复电介质膜及电介质材料中疏水性的方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3159257B2 (ja) * 1998-12-07 2001-04-23 日本電気株式会社 半導体装置の製造方法
JP2000183040A (ja) * 1998-12-15 2000-06-30 Canon Inc 有機層間絶縁膜エッチング後のレジストアッシング方法
EP1320884A2 (en) * 2000-09-11 2003-06-25 Tokyo Electron Limited Semiconductor device and fabrication method therefor
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
JP2002353308A (ja) * 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
JP4038557B2 (ja) * 2002-04-16 2008-01-30 リアライズ・アドバンストテクノロジ株式会社 レジスト除去装置及びレジスト除去方法
US20060102210A1 (en) * 2002-07-25 2006-05-18 Yasuhiro Chouno Substrate processing container
JP4080272B2 (ja) * 2002-08-01 2008-04-23 東京エレクトロン株式会社 基板処理方法
JP2003151964A (ja) * 2002-08-02 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US20080166870A1 (en) * 2004-06-04 2008-07-10 International Business Machines Corporation Fabrication of Interconnect Structures
JP2006086411A (ja) * 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
US7482281B2 (en) * 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US7387968B2 (en) * 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1166798A (zh) * 1994-11-22 1997-12-03 配合液系统公司 用于微电子的无胺光刻胶粘接促进剂
CN1502122A (zh) * 2000-06-23 2004-06-02 ����Τ�����ʹ�˾ 恢复电介质膜及电介质材料中疏水性的方法
CN1404624A (zh) * 2000-12-22 2003-03-19 精工爱普生株式会社 图案形成方法、装置及半导体器件、电路、显示体模件和发光元件
CN1405859A (zh) * 2001-09-20 2003-03-26 联华电子股份有限公司 一种修复低介电常数材料层的方法

Also Published As

Publication number Publication date
CN1976003A (zh) 2007-06-06
KR20070057057A (ko) 2007-06-04
US7902077B2 (en) 2011-03-08
US20110120650A1 (en) 2011-05-26
JP2007157768A (ja) 2007-06-21
TW200735214A (en) 2007-09-16
TWI375271B (zh) 2012-10-21
KR100810163B1 (ko) 2008-03-06
US20070122752A1 (en) 2007-05-31
JP5019741B2 (ja) 2012-09-05

Similar Documents

Publication Publication Date Title
CN1976003B (zh) 半导体装置的制造方法及基板处理系统
CN100517603C (zh) 基板处理方法
JP5057647B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
CN100508163C (zh) 半导体装置的制造方法
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
KR102637397B1 (ko) 기판 처리 방법, 기판 처리 장치, 프로그램 및 반도체 장치의 제조 방법
JPWO2013065771A1 (ja) 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP2009010043A (ja) 基板処理方法,基板処理装置,記録媒体
TW201724242A (zh) 基板處理方法及基板處理裝置
JP2010027786A (ja) 基板処理方法、基板処理装置および記憶媒体
JP2012044144A (ja) 基板処理方法および基板処理装置
JP2012195613A (ja) 基板処理方法および記憶媒体
JP5247999B2 (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
JP2017112391A (ja) 基板処理方法および基板処理装置
CN1981375A (zh) 半导体器件的制造方法
JP4433570B2 (ja) 基板処理装置及び基板処理方法
CN109661717B (zh) 基板处理方法
JP2005353978A (ja) シリル化処理装置およびシリル化処理方法
JP2006049408A (ja) 基板洗浄装置
JP2005175053A (ja) 基板処理装置
JP2004252054A (ja) 基板処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101215

Termination date: 20141130

EXPY Termination of patent right or utility model