CN1502122A - 恢复电介质膜及电介质材料中疏水性的方法 - Google Patents

恢复电介质膜及电介质材料中疏水性的方法 Download PDF

Info

Publication number
CN1502122A
CN1502122A CNA018145507A CN01814550A CN1502122A CN 1502122 A CN1502122 A CN 1502122A CN A018145507 A CNA018145507 A CN A018145507A CN 01814550 A CN01814550 A CN 01814550A CN 1502122 A CN1502122 A CN 1502122A
Authority
CN
China
Prior art keywords
dielectric film
silicon dioxide
surface modification
methyl
mixture
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018145507A
Other languages
English (en)
Other versions
CN1279588C (zh
Inventor
N��P��������
N·P·哈克尔
M·托马斯
J·S·德拉格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN1502122A publication Critical patent/CN1502122A/zh
Application granted granted Critical
Publication of CN1279588C publication Critical patent/CN1279588C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Compounds (AREA)

Abstract

二氧化硅电介质膜,不管是纳米孔泡沫二氧化硅电介质还是无孔二氧化硅电介质都易于受到制造方法和能减少或除去电介质表面疏水性的试剂的损伤。本发明提供了使这些基材上受损二氧化硅电介质膜具有疏水性能的方法。本发明还提供了使新的或受损的二氧化硅电介质膜具有疏水性的等离子体方法。还提供了通过本发明工艺制备的半导体设备。

Description

恢复电介质膜及电介质材料中疏水性的方法
                       发明领域
本发明提供了恢复二氧化硅电介质膜表面疏水性的方法和组合物。这些膜用作半导体设备如集成电路(“IC”)制造中的绝缘材料,来保证膜内低且稳定的介电性能。
                       发明背景
由于集成电路中的特征尺寸接近0.25μm或以下,解决与互连RC延迟、能量消耗和信号串扰有关的问题已变得日益困难。相信用于interlevel电介质(ILD)和金属间电介质(IMD)的低介电常数材料的集成有助于解决这些问题。虽然先前已努力将低介电常数材料用于集成电路,但本领域内仍长时间需要进一步改进处理方法和最优化这些用于集成电路的材料的介电及机械性能。
二氧化硅电介质膜
一种低介电常数材料为二氧化硅。特别地,二氧化硅可用作泡沫电介质材料。为达到可能最低介电常数值,将空气引入二氧化硅电介质材料中。空气的介电常数为1,当空气引入到纳米孔或纳米级空隙或孔结构形式的二氧化硅电介质材料中时,就得到了相对低的介电常数(“K”)。
纳米孔二氧化硅是吸引人的,因为它使用的前体,包括有机取代硅烷,例如,四甲氧基硅烷(“TMOS”)和/或四乙氧基硅烷(“TEOS”),与目前用于。旋装玻璃(“SOG)和化学气相沉积(“CVD”)二氧化硅SiO2的前体相似。
纳米孔二氧化硅膜以前通过许多方法制造。简单地通过,例如,合适的硅基前体组合物以及通过除去溶剂形成纳米孔二氧化硅电介质膜的方法,例如描述于下述共同未决美国专利申请中:1998年4月3日提交的09/054,262、1998年7月7日提交的09/111,083、1998年8月27日提交的60/098,068、1998年8月31日提交的60/098,515、1998年3月20日提交的09/044,831、1998年3月20日提交的09/044,798以及1999年6月9日提交的09/328,648,在此全部引作参考。
概括地,将具有例如旋装玻璃组合物形式,含有一种或多种可除去的溶剂的前体涂于基材上,然后聚合并用形成含纳米级空隙电介质膜的方法除去溶剂。
在形成此纳米孔膜,例如,其中前体通过旋转涂布涂于基材上的膜时,在初始加热步骤中,膜涂层典型地用酸或碱催化剂和水来催化,引发聚合/凝胶化(陈化)。然后,通过将膜施以一步或几步较高温加热步骤,根据所需除去任何残留溶剂并完成聚合过程来固化膜。其它固化方法包括将膜置于放射能,例如,紫外、电子束、微波能等等中。
1999年4月14日提交的共同未决申请序列号09/291,510和09/291,511,在此引作参考,提供了硅基前体组合物和通过降解或蒸发前体组合物中一种或几种聚合物或低聚物来形成纳米孔二氧化硅电介质膜的方法。2000年5月5日提交的共同未决申请序列号09/566,287,提供了硅基前体组合物和通过降解或蒸发前体组合物中一种或几种化合物或聚合物来形成纳米孔二氧化硅电介质膜的方法。美国专利No.5,895,263描述了在基材例如晶片上形成纳米孔二氧化硅电介质膜,是通过涂布含有可分解聚合物和有机聚二氧化硅即包括缩合或聚合硅聚合物的组合物,加热组合物以进一步缩合聚二氧化硅并分解可分解聚合物,来形成多孔电介质层。
将前体涂布到基材上、陈化、固化、平整并使膜具有疏水性的方法描述在例如,1999年9月9日提交的共同未决美国申请序列号09/392,413中,在1998年4月3日提交的09/054,262中,此外,在1998年8月27日提交的09/140,855中。
半导体制造工艺除去疏水基团
当二氧化硅-基材料,如这里提到的纳米孔二氧化硅电介质膜,在表面,包括含硅烷醇基的多孔结构表面上形成纳米孔膜时,产生了不合需要的性质。硅烷醇和从空气中吸收的水在电场中是高度可极化的,因而会提高膜的介电常数。
为了使纳米孔膜基本上脱去硅烷醇和水,采用两策略之一。
(A)在一种方法中,有机试剂,即,表面改性试剂,如六甲基二硅烷或甲基三乙酰氧基硅烷,被任选引入到膜孔中以增加有机疏水封端基团,例如,三甲基甲基硅烷基团。
(B)膜由前体组合物生成,前体组合物包含启动试剂或利于不经过进一步处理而生成疏水二氧化硅电介质膜的前体。
这些工艺描述于,例如,1999年8月23日提交的共同未决美国申请09/378,705,1998年8月27日提交的09/140,855,1999年1月21日提交的09/234,609和09/235,186,它们公开在此引作参考。
蚀刻和等离子体除去疏水官能团
半导体制造过程中的纳米孔二氧化硅电介质膜的损坏是由向电介质膜内施用腐蚀性等离子体和/或蚀刻剂蚀刻沟槽和孔产生的。等离子体也用于在半导体设备(以后通常称为集成电路或“IC”)的制造中除去光刻胶膜。所用等离子体典型地含有元素氧、氟、氢或氮(以游离原子、离子和/或自由基的形式)。
在蚀刻沟槽、孔、蚀刻和/或除去光刻胶材料的过程中暴露在等离子体下的电介质膜很容易降解或受损。多孔电介质膜具有很高的表面积因而特别容易受到等离子体的攻击。特别是,具有有机内含物(如与硅原子键合的甲基基团)的二氧化硅-基电介质膜容易被氧等离子体降解。有机基团氧化为CO2,硅烷醇或Si-OH基团在电介质膜表面有机基团先前存在的位置上保留下来。多孔二氧化硅膜依赖这样的有机基团(在孔表面上)来保持疏水性。疏水性的损失使介电常数提高(这些膜的低介电常数是这些材料所期望的关键性质)。
湿式化学处理也用在IC制造中以除去蚀刻沟槽或孔后的残余物。所用化学药品通常有很强的腐蚀性以致于会攻击和除去二氧化硅-基电介质膜,尤其是多孔二氧化硅膜中的有机基团。此外,这种损伤会使膜失去疏水性。湿式化学蚀刻剂包括,例如,酰胺化合物,如N-甲基吡咯烷二酮、二甲基甲酰胺、二甲基乙酰胺;醇如乙醇和2-丙醇;醇胺如乙醇胺;胺如三乙胺;二胺如乙二胺和N,N-二乙基乙二胺;三胺如二亚乙基三胺;二胺酸如乙二胺四乙酸“EDTA”;有机酸如乙酸和甲酸;有机酸的铵盐如四甲基铵乙酸盐;无机酸如硫酸、磷酸、氢氟酸;氢氟酸盐如氟化铵;和碱如氢氧化铵和四甲基氢氧化铵;和羟基胺;为蚀刻后期的湿式清洁处理而开发的商业配方,如EKC 505、525、450、265、270和630(EKC公司,Hayward CA),以及ACT-CMI和ACT-690(Ashland Chemical,Hayward,CA),来命名除一些已知工艺外的蚀刻剂。
还需要更快和更有效的方法来确保新生产的二氧化硅电介质膜一开始是疏水性的。迄今,如上所示,所有这些方法都用到了液相或气相表面改性剂。没有等离子体相表面改性剂和/或方法的报导。
                       发明概述
为解决上述问题并提供其它改进,本发明提供了低介电常数(“K”), 例如,典型的1.5-3.8范围内的纳米孔二氧化硅电介质膜,还有生产这些电介质膜的新方法。广泛地,本发明提供了在制造半导体或IC设备过程中便基材上二氧化硅电介质膜具有疏水性的方法。如下文举例,膜优选地由甲基氢化硅氧烷前体形成,虽然可以使用任何其它已知的硅基前体,如任何商品化旋装玻璃(SOG)。
典型的二氧化硅电介质膜的损伤是以完全损坏或除去膜先前存在的疏水性的方式,由与至少一种蚀刻剂或灰化剂的接触产生的。用于IC制造的已知工艺蚀刻剂包括,例如,包含一种或几种如下类型试剂的组合物:酰胺化合物,如N-甲基吡咯烷二酮、二甲基甲酰胺、二甲基乙酰胺;醇如乙醇和2-丙醇;醇胺如乙醇胺和乙二胺;胺如三乙基胺;二胺如N,N-二乙基乙二胺;三胺如二亚乙基三胺;胺酸如乙二胺四乙酸;有机酸如乙酸和甲酸;有机酸的铵盐如四甲基铵乙酸盐;无机酸如硫酸、磷酸、氢氟酸;氢氟酸盐如氟化铵;和碱如氢氧化铵和四甲基氢氧化铵;和羟基胺;为蚀刻后期的湿式清洁处理开发的商业配方,如EKC 505、525、450、265、270和630(EKC公司,Hayward CA),以及ACT-CMI和ACT-690(Ashland Chemical,Hayward,CA)和它们的组合。灰化剂包括氧衍生等离子体等。
本发明方法包括,并不限于,步骤(a)将损伤的二氧化硅电介质膜与表面改性组合物接触,其浓度和接触时间能有效地使二氧化硅电介质膜疏水;(b)除去未反应的表面改性组合物、反应产物及它们的混合物。表面改性组合物包括至少一种表面改性剂,即,适合于从受损的二氧化硅电介质膜中除去硅烷醇部分的化合物或它的带电荷衍生物。
任选地,在步骤(a)前,受蚀刻剂损伤的纳米孔二氧化硅电介质膜接受了湿式清洁处理。
在某实施方案中,表面改性组合物包括至少一种具下述式的化合物:
R3SiNHSiR3、RxSiCly、RxSi(OH)y、R3SiOSiR3、RxSi(OR)y、MpSi(OH)[4-p]、RxSi(OCOCH3)y和它们的混合物,
其中x是1-3的整数,
y是1-3的整数,满足条件y=4-x,
p是2-3的整数;
每个R都是独立地选自氢和疏水有机部分;
每个M都是独立选择的疏水有机部分;R和M可以相同或不同。
在某个特别的实施方案中,表面改性组合物包括至少一种下述试剂或化合物:乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲基硅烷氧基戊-2-烯-4-酮、n-(三甲基甲基硅烷基)乙酰胺、2-(三甲基甲基硅烷基)乙酸、n-(三甲基甲基硅烷基)咪唑、三甲基甲基硅烷基丙酸酯、三甲基甲基硅烷基(三甲基甲基硅烷氧基)-乙酸酯、壬基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷和它们的混合物。如下面所举例子,表面改性剂是化合物甲基三乙酰氧基硅烷。
有利地,本发明方法易施用于二氧化硅电介质膜,它是纳米孔二氧化硅电介质膜,其它泡沫二氧化硅电介质,或仅仅是无孔二氧化硅电介质。在更近一步的实施方案中,表面改性组合物任选地包含溶剂。合适的溶剂包括,例如,酮、醚、酯、烃和它们的混合物。
表面改性组合物以液体、蒸汽或气体和/或等离子体形式与受损的二氧化硅电介质膜接触。如果是以等离子体形式,等离子体可从硅烷化合物、烃、醛、酯、醚和/或它们的混合物衍生。
还预期本发明的方法包括使基材上的二氧化硅电介质膜,不管是新涂布的膜还是被制造过程或试剂损伤的膜具有疏水性的方法。该方法包括步骤:(a)将二氧化硅电介质膜与包含至少一种表面改性剂的等离子体接触,其浓度和接触时间能有效地使二氧化硅电介质膜疏水;(b)除去未反应的表面改性组合物、反应产物及它们的混合物。其中表面改性组合物包含至少一种适合于从损伤的二氧化硅电介质膜中除去硅烷醇部分的表面改性剂。
还提供了使用上述方法和试剂制造的半导体或IC设备。
                       附图简述
图1A图解了硅氮化物层上的纳米孔二氧化硅电介质膜的剖面示意图,具有光刻胶花纹(左)和由蚀刻工艺产生的布局(右)。
图1B图解了硅氮化物层上的纳米孔二氧化硅电介质膜的剖面示意图,具有铜导体花纹和Ta屏蔽(右)。
图1C图解了与1B相同的花纹,经化学机械抛光后。
图2图解了实施例10生产的晶片的最表层。
                       发明详述
因而,如在前面背景讨论里提到的,某些试剂和方法已由前述共同未决专利申请描述,用于在膜形成过程中或刚刚形成后提高纳米孔二氧化硅电介质膜的孔表面疏水性。目前意外发现某些表面改性试剂可用于解决新出现的问题,形成半导体设备一部分的纳米孔二氧化硅电介质膜的损伤通过下面的制造步骤和试剂来转化。
为了更好地评价本发明的范围,应了解当使用术语“二氧化硅”时,除非“SiO2”官能团被特别提出,术语“二氧化硅”在此,例如,涉及到纳米孔电介质膜,意指通过本发明方法由有机或无机玻璃材料制备的电介质膜, 例如,任何含有一种或几种硅-基电介质前体的合适的起始材料。还应了解单数词语在此的使用并不意味着这样的限制,而是在适当的地方也包括复数, 例如,本发明的实施例工艺可能描述为应用于并生产“一个膜”,但是也可以指通过所描述的、示例的和权利要求的方法生产的多重膜。此处所用关于二氧化硅电介质材料的术语“膜”意指包括任何其它合适的形式或形状,在其中这些二氧化硅电介质材料被任选使用。
此外,术语“陈化”指基材上混合二氧化硅基前体组合物在沉积、诱导之后的凝胶化或聚合, 例如,通过暴露于水和/或酸或碱催化剂。凝胶化任选施用于选作形成泡沫的前体, ,纳米孔电介质膜,和/或无孔电介质膜。凝胶化可通过上述交联和/或溶剂蒸发完成。
术语“固化”指凝胶化后膜的硬化和干燥,典型地通过加热,虽然可以使用任意其它已知的固化形式, 例如,通过使用电子束、紫外辐射等形式的能量。
术语“agent”或“agents”在此应看作术语“reagent”或“reagents”的同义词,除非另有说明。
A. 电介质膜的制备方法
电介质膜, 例如,interlevel电介质涂层,由涂布到基材上的适当前体通过已知工艺方法制备,包括旋转涂布、浸渍涂布、刷涂、辊涂、喷涂和/或化学气相沉积。前体可以为有机聚合物前体、硅-基前体和/或它们的混合物。然后处理涂层以得到所需类型和硬度的电介质涂层,其中处理步骤的选择要适合于所选前体及所需最后产物。
硅-基电介质膜,包括纳米孔二氧化硅电介质膜,通常由适当的硅-基电介质前体制备, 例如,与一种或多种溶剂和/或其它成分混合的旋装玻璃(“S.O.G.”)材料。在涂布基本材料形成电介质膜之前,基材表面任选地通过已知的清洁处理方法预备以进行涂布。
当前体涂布到基材表面上后,涂布的表面任选地与成平面物体接触,即,以压缩工具的形式,在一段时间内有效压力下使任何所需花纹转移到基材表面的电介质涂层或膜上,如2000年4月14日提交的共同未决申请09/549,659所详述,在此引作参考。
B. 表面改性方法和试剂
试剂
合适的表面改性组合物包括一种或多种能除去二氧化硅电介质膜表面的硅烷醇基团,以使膜产生疏水性的表面改性剂。例如,表面改性剂是具有选自式I(1-8)的化合物
(1)R3SiNHSiR3、(2)RxSiCly、(3)RxSi(OH)y、(4)R3SiOSiR3、(5)RxSi(OR)y、(6)MpSi(OH)[4-p]、(7)RxSi(OCOCH3)y、(8)RxSiHy及它们的混合物。
此外,x是1-3的整数,y是1-3的整数且满足条件y=4-x,p是2-3的整数;每个R都是独立地选自氢和疏水有机部分;每个M都是独立选择的疏水有机部分;R和M可以相同或不同。R和M优选地独立选自包含烷基、芳基和它们的混合物的有机基团部分。
烷基部分是取代的或非取代的且选自包含直链烷基、支链烷基、环烷基和它们的混合物的基团,其中上述烷基部分在C1-C18大小范围内。芳基部分是取代的或非取代的且在C5-C18大小范围内。优选的表面改性剂是乙酰氧基硅烷,或,例如,单体化合物如乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲基硅烷氧基戊-2-烯-4-酮、n-(三甲基甲基硅烷基)乙酰胺、2-(三甲基甲基硅烷基)乙酸、n-(三甲基甲基硅烷基)咪唑、三甲基甲基硅烷基丙酸酯、三甲基甲基硅烷基(三甲基甲基硅烷氧基)-乙酸酯、壬基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷和它们的混合物。如下面所举例子,一种优选的表面改性剂是甲基三乙酰氧基硅烷。
附加表面改性剂包括如共同未决美国专利申请序列号09/235,186详述的多官能表面改性剂,如上所述,在此引作参考。这些多官能表面改性剂可以蒸汽或液态形式使施用,任选地带有或不带有共溶剂。合适的共溶剂包括, 例如,酮,如丙酮、二异丙基酮、2-庚酮、3-戊酮和其它,正如1998年7月7日提交的共同未决美国申请序列号09/111,084所述,公开在此引作参考。例如,如美国申请序列号09/235,186详述,如上引作参考,某些优选的表面改性剂可能具有两个或多个官能团并与表面的硅烷醇官能团反应,同时存在于膜框架结构外部的物质量达到最小化,且包括, 例如,合适的硅烷醇如
R1Si(OR2)3                        式II
其中R1和R2是独立选择的部分,如H和/或有机部分如烷基、芳基或它们的衍生物。当R1或R2为烷基时,烷基部分任选地为取代或非取代的,可以为直链、支链或环状,且优选为C1-C18大小范围,或更大,更优选为C1-C8。当R1或R2为芳基时,芳基部分优选地包含任选取代或非取代的单芳环,且在C5-C18大小范围内或更大,更优选为C5-C8。更进一步的选择,芳基部分不是杂芳基。
因而,假如至少R1或R2之一为有机基团,则R1或R2独立选自H、甲基、乙基、丙基、苯基和/或它们的衍生物。在某实施方案中,R1和R2均为甲基,且与式II对应的三官能表面改性剂为甲基三甲氧基硅烷。
在另一实施方案中,依照本发明的合适硅烷具有通式
R1Si(NR2R3)3                   式III
其中R1、R2、R3是独立的H、烷基和/或芳基。当R1、R2、R3中任何一个是烷基和/或芳基时,R1和R2定义如上述式II。在优选实施方案中,R1选自H、CH3、C6H5,R2和R3均为CH3。因而,与式III对应的三官能表面改性剂包括, 例如,三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷,和/或三(二甲基氨基)硅烷。
在另一实施方案中,依照本发明的合适硅烷具有通式
R1Si(ON=CR2R3)3                式IV
其中R1、R2、R3是独立的H、烷基和/或芳基。当R1、R2、R3中任何一个是烷基和/或芳基时,它们定义如上述式II。在某优选实施方案中,R1和R2均为CH3,R3为CH2CH3。因而与式IV对应的三官能表面改性剂包括, 例如,甲基三(甲基乙基甲酮肟)硅烷。
在另一实施方案中,依照本发明的合适硅烷具有通式
R1SiCl3                         式V
其中R1是H、烷基或芳基。当R1是烷基和/或芳基时,它们定义如上述式II。在某优选实施方案中,R1是CH3。因而与式V对应的三官能表面改性剂包括, 例如,甲基三氯硅烷。
在更优选的实施方案中,封端试剂包括一种或几种具有如下通式的有机乙酰氧基硅烷,
(R1)xSi(OCOR2)y                 式VI
优选地,x是1-2的整数,x和y可以相同或不同且y是2-3的整数,或更大。
有用的有机乙酰氧基硅烷包括多官能烷基乙酰氧基硅烷和/或芳基乙酰氧基硅烷化合物,包括,仅仅是举例说明且不限定,甲基三乙酰氧基硅烷(“MTAS”),二甲基二乙酰氧基硅烷(DMDAS),苯基三乙酰氧基硅烷和二苯基二乙酰氧基硅烷及它们的混合物。
方法
任选地,表面改性剂与合适的溶剂如2-庚酮混合,以蒸汽或液态形式施用到纳米孔二氧化硅表面,然后干燥。
在另一实施方案中,表面改性通过将受蚀刻损伤的二氧化硅电介质膜暴露于衍生自任意上述表面改性剂的等离子体中来提供。在典型的程序中,电介质膜置于等离子体发生室中,如等离子体增强化学气相沉积(PECVD)系统;表面改性剂的蒸汽和氩气从等离子体发生室中经过;然后RF能量源激活产生等离子体;氩气包含在其中有助于促进等离子体的形成。等离子体包含从表面改性剂衍生的离子碎片;例如,离子碎片CH3Si+由甲基硅烷(CH3SiH3)产生。这些碎片与硅烷醇基团反应形成疏水的Si-CH3部分。任何上述表面改性剂都可以用于此等离子体诱导表面处理。最优选的硅烷试剂为甲基硅烷。
其它适合用于等离子体诱导表面改性处理的表面改性剂包括C1-C12的烷烃和芳烃。最优选的烃为甲烷。其它用于等离子体诱导表面改性的试剂包括醛、酯、酰氯和醚。合适的醛包括乙醛和苯甲醛;合适的酯包括乙酸乙酯和苯甲酸甲酯;合适的酰氯包括乙酰氯和苯甲酰氯;合适的醚包括二乙醚和苯甲醚。多种单晶片或多晶片(批)等离子体系统可用于此工艺;这些系统包括下游灰化器,如Gasonics L3510光刻胶灰化器,PECVD电介质沉积系统如Applied Materials P5000,或反应离子蚀刻(“RIE”)系统。
广泛的等离子体处理条件在如下范围内:发生室温度,20-450℃;RF功率,50-1000W;发生室压力,0.05-100torr;等离子体处理时间,5秒-5分;表面改性剂流速,100-2000sccm;惰性气体流速(典型的为氩气),100-2000sccm。
技术人员将意识到本发明还包括通过施用上述等离子体表面处理,使多孔和/或无孔二氧化硅电介质膜,不管是否损伤,得到疏水表面的方法。使用这些方法制造的半导体设备或IC也是本发明的一部分。
                         实施例
膜的厚度和折射率:在下面的实施例中,还使用椭圆光度法确定所生产的膜的厚度及折射率(RI)。
膜的介电常数:在下面的实施例中,介电常数(k)由20℃下测量金属-绝缘体-金属(MIM)结构的电容确定。MIM结构通过将铝喷溅到膜上形成,膜穿过圆形点掩膜涂布在低电阻系数的Si晶片(0.25ohms-cm)上。给MIM结构施加合适的偏电压,在1MHz时测量穿越此结构的电容(C)。铝点的面积(A)通过显微镜测定(测微法)。铝点附近的膜厚度(Th)由椭圆光度法测定。K值由k=(C*Th)/ε*A计算,其中ε是自由空间的介电常数(8.86*10-14F/cm)。
                      实施例1
          用MTAS处理的纳米孔二氧化硅膜的形成
纳米孔二氧化硅前体如1999年1月22日提交的共同未决美国申请序列号09/235,186所述合成,在此引作参考。因而,前体通过在圆底烧瓶中共同加入208mL四乙氧基硅烷、94mL三甘醇单甲基醚(TriEGMME)、16.8mL去离子水和0.68mLlN的硝酸来制备。溶液剧烈混合并加热(加热和搅拌同时开始)到80℃且回流1.5小时,以形成清液。所得溶液冷却到室温然后用乙醇稀释到25重量%,并通过0.1微米Teflon滤器过滤。
大约2mL纳米孔二氧化硅前体在4”硅晶片上沉积然后以2500rpm旋转30秒。然后膜在真空室内以如下条件凝胶/陈化:
1.室抽真空到250torr。
2.加热15M氢氧化铵并在45℃保持平衡然后引入室中以提高压力到600torr保持4分钟。
3.室中重新充满空气,膜从室中移去以进行表面处理/溶剂交换。
膜的表面处理/溶剂交换使用如下条件进行:
1.用于表面改性的试剂通过将5克甲基三乙酰氧基硅烷、“MTAS”、(Gelest,Tullytown,PA 19007)与95克3-戊酮混合形成无色清液来制备。
2.陈化膜置于旋转夹盘上以250rpm旋转。
3.大约30mL上述MTAS溶液在膜上旋转20秒不使膜干燥。
4.然后膜在2500rpm旋转干燥10秒然后从夹盘上取下膜并经受下述热处理。
然后将上述处理得到的膜于空气中在175和320℃加热,每步骤分别60秒。然后将它在400℃炉中于氮气下固化30分钟。如前面所述进行膜性质的测试,所测定的物理性质在下面的实施例9中报导。
                    实施例2
           无孔甲基氢化硅氧烷膜的形成
如1998年3月20日提交的美国专利申请序列号09/044 798所述制备前体组合物,此专利申请公开在此引作参考。因而,装备了氮气进气道,干冰冷凝器和机械搅拌器的一升加套反应器装有1000mL己烷、80mL乙醇、25mL水和61.3g Amberjet 4200催化剂(Rohn & haas公司)。此混合物在25℃搅拌下保持平衡0.5小时(循环浴)。用蠕动泵将三氯硅烷(14.3mL,0.142Mol)和甲基三氯硅烷(66.7mL,0.568Mol)的混合物在35分钟内加入到反应器中。加完硅烷后,己烷顺着管抽吸10分钟。反应搅拌23小时,然后用Whatman #4滤器过滤。滤液置于分液漏斗中,除去水/乙醇层。剩下的己烷溶液在4分子筛上(170克)干燥5小时然后用1mm滤器过滤。己烷用旋转蒸发器除去,得到白色固体产物(23.1g),产率52%。产物的GPC,参考聚苯乙烯标准给出重均分子量为11,885,多分散指数为6.5。
如1999年1月7日提交的美国专利申请序列号09/227498所述,上述前体用于在基材上形成纳米孔二氧化硅电介质膜,此专利申请在此引作参考。因而,甲基异丁酮(MIBK)(63.5g)在4分子筛上干燥并与14g无孔甲基氢化硅氧烷混合。溶液用0.2mm滤器过滤。溶液用传统旋转涂布机涂布到裸露的4英寸硅晶片上。大约3mL聚合物溶液涂在了晶片上。经3秒延迟后,晶片以2000rpm旋转20秒。涂布的晶片在3个连续的加热片上分别以150℃,200℃和350℃各烘烤1分钟。烘烤后的晶片在氮气氛围下于水平炉中固化,起始温度300℃,接着以4℃/分升至380℃保持10分钟,再以1℃/分的速度升高至400℃。炉温在400℃保持1小时然后在2小时内回降到300℃。完成的膜的性质(在灰化处理之前,见实施例9)如下:
          厚度        RI         k        C-H Abs      Si-H Abs
灰化前    4020      1.362      2.5      0.20         0.05
                      实施例3
                      光刻胶灰化
将用实施例1的纳米孔二氧化硅涂布的晶片置于Tel 85 DRM L3510蚀刻机的室内。纯氧以低于500sccm流经室。晶片温度为25℃。RF等离子体源以500W的能量消耗水平激活1分钟。在这1分钟内膜暴露于衍生自氧的等离子体中。此过程的总压力小于500毫乇。此灰化处理前后测量的膜性质为:
                        表2
        厚度      RI              k                 C-H Abs
前      7050    1.165           2.2               0.15
后      6960      1.160           3.8               0.02
付利叶变换红外(“FTIR”)光谱确定经灰化处理的膜在3500cm-1的O-H吸收曲线的丰度较未经处理(未灰化)的膜提高了。这证实了灰化处理除去了原始膜中归于甲基基团的绝大部分C-H键。先前已证实(例如,见共同未决美国序列号09/235,186,引作参考)O-H吸收峰的相对丰度是所得膜的相对k值的前兆,其它所有参数相等。
                     实施例4
                    湿式清洁处理
经过了实施例3的灰化处理的纳米孔二氧化硅涂布晶片,浸入70℃的湿式清洁溶液中(EKC 630,EKC公司(Hayward,CA)的蚀刻后湿式清洁溶液)20分钟。然后将晶片浸入2-丙醇中30秒,再浸入水中30秒。最后,晶片在连续的175和320℃加热片上加热(每个加热片上加热1分钟)。此湿式清洁处理前后的膜性质显示在下面表3中。
                            表3
                    厚度    RI           k          C-H Abs
前                  6960  1.160        3.8        0.02
后                  7015    1.172        7.9        0.00
425℃加热1小时后    6930    1.159        4.1        0.00
425℃加热一天后     7035    1.167        6.4        0.00
在湿式清洁处理/IPA/水/175℃/320℃处理过程后,较高的k值和较大的折射率表明膜吸收了较多水。然后在炉内(氮气氛围)于425℃加热晶片30分钟。经过425℃处理1小时后,k为4.1。k升高到6.4显示着膜在接下来一天的425℃加热步骤中吸收了水。
                       实施例5
              使用MTAS溶液恢复疏水性和低K值
依照实施例1生产纳米孔二氧化硅膜,同一膜以实施例3的灰化工艺处理然后以实施例4(不包括425℃炉中的处理)的清洁处理工艺处理。将涂布了此膜的晶片浸入到含有甲基三乙酰氧基硅烷(MTAS),15%重量/重量,和2-庚酮,85%重量/重量的溶液中;溶液温度为20℃;浸泡持续10分钟。晶片从含有MTAS的溶液中移出,然后放置到旋转涂布机上。为消除反应副产物与未反应的MTAS,晶片以3000rpm旋转1分钟同时将纯的2-庚酮分散于晶片中央。在这1分钟旋转中总共施用了30mL2-庚酮。为除去残余的2-庚酮,晶片连续在175℃加热片上加热1分钟,再于320℃加热1分钟(都在空气氛围中)。膜的测量性能显示在下面表4中,如下。
                            表4
                    厚度      RI           k          C-H Abs
灰化和清洁处理前    7085    1.165        2.2        0.16
灰化和清洁处理后    6960    1.159        9.1        0.00
MTAS后              7015      1.169        2.2        0.15
低介电常数的恢复通过灰化和清洁处理步骤后进行的MTAS溶液处理得到。通过FTIR分析C-H吸收显示MTAS溶液处理回复了膜的甲基含量,极低的O-H吸收显示膜是疏水性的。K值又到了2.2。
                     实施例6
            使用MTAS蒸汽恢复疏水性和低K值
依照实施例1生产纳米孔二氧化硅膜,同一膜以实施例3的灰化工艺处理然后以实施例4(不包括425℃炉中的处理)的清洁处理工艺处理。涂布了此膜的晶片置于铝圆柱室内(内径225mm,高30mm)。铝室置于化学通风厨内。室的最顶端和室盖之间有合成橡胶垫圈。用绑在室外表面和室盖上的电加热丝加热。有四根不锈钢管(内径1/4英寸)与反应室联接;每根管都有不锈钢阀。某根管与真空泵联接;另一根管与MTAS储蓄池联接;第三根管作为通风管;第四根管与真空测量仪联接。MTAS储蓄池是不锈钢的,体积为1升,圆柱形。后者含有大约100g MTAS;MTAS储蓄池的外表面用加热丝加热到70℃。室也加热到大约70℃。室抽真空至大约1torr然后关闭真空泵的阀门。接着,打开MTAS储蓄池的阀门,这样MTAS蒸汽就进入室内。5分钟后,关闭MTAS室的阀门并打开真空阀。1分钟后,关闭真空阀并打开通气阀让空气进入室内。然后取出晶片并作分析,膜的测量性能显示在下面表5中
                              表5
                    厚度      RI           k          C-H Abs
灰化和清洁处理前    7025    1.167        2.2        0.17
灰化和清洁处理后    6990      1.159        7.5        0.00
MTAS蒸汽后          7060      1.170        2.3        0.17
通过FTIR分析C-H吸收显示MTAS蒸汽处理回复了膜的甲基含量,极低的O-H吸收显示膜是疏水性的。介电常数也再次极低。
                        实施例7
            使用碳-基等离子体恢复疏水性和低K值
依照实施例1生产纳米孔二氧化硅膜,同一膜以实施例3的灰化工艺处理然后以实施例4(不包括425℃炉中的处理)的清洁处理工艺处理。涂布了此膜的晶片置于Gasonic L3510光刻胶灰化器内。将灰化室抽真空至200mtorr并允许甲烷(CH4)气体在此压力下流经室内。甲烷流速为500sccm。灰化器保持20℃。然后激活室的RF源;功率设置为100W,RF频率为13.56MHz。2分钟后,RF源关闭,甲烷气流降为零。然后将灰化室通空气,移去晶片以分析膜。下面的表6显示了膜的测量性能。
                           表6
                    厚度      RI           k          C-H Abs
灰化和清洁处理前    7135    1.163        2.2        0.17
灰化和清洁处理后    7045      1.158        10.3       0.00
C-基等离子体后      7090      1.174        2.2        0.20
通过FTIR分析C-H吸收显示碳-基等离子体处理回复了膜的有机含量。也恢复了低k性质和疏水性;FTIR显示了极低的O-H吸收。
                       实施例8
         使用硅烷-基等离子体恢复疏水性和低K值
依照实施例1生产纳米孔二氧化硅膜,同一膜以实施例3的灰化工艺处理然后以实施例4(不包括425℃炉中的处理)的清洁处理工艺处理。涂布了此膜的晶片置于Applied Meterials P5000等离子体增强化学气相沉积(PECVD)室内。甲基硅烷(CH3SiH3)用作产生疏水孔表面的试剂。氩气用来促进等离子体的产生。RF等离子体源激活20秒。此过程所用条件详列于表7中,如下。
                           表7
RF功率:700W,CH3SiH3流速:500sccm,氩气流速:1200sccm,卡盘
温度:400℃,室内压力:10torr,
从室内移去晶片然后分析,膜的测量性能提供于表8中,如下。
                           表8
                    厚度    RI         k          C-H Abs
灰化和清洁处理前    7010  1.160      2.1        0.13
灰化和清洁处理后    6930    1.158      6.9        0.00
硅烷等离子体后      7090    1.170      2.2        0.18
通过低k值和FTIR光谱中极低的O-H吸收显示硅烷等离子体处理后的膜是疏水性的,FTIR中的C-H吸收显示膜的有机含量增加了。
                        实施例9
  使用MTAS溶液,恢复无孔倍半硅氧烷的疏水性和低K值
如实施例2,在晶片上形成倍半硅氧烷膜。膜涂布晶片经过实施例3和4的光刻胶灰化和湿式清洁处理。然后将膜涂布晶片暴露在MTAS溶液中以恢复它的疏水性和低k性质;使用实施例5的MTAS溶液处理程序。这些处理前后膜的测量性能显示在表9中,如下。
                              表9
                  厚度    RI      k      C-H Abs    Si-H Abs
灰化前            4020  1.362   2.5    0.13       0.05
灰化后            3650    1.410   3.2    0.10       0.025
湿式清洁处理后    3650    1.410   3.2    0.10       0.025
400℃/1小时后     3600    1.390   3.0    0.1        0.025
MTAS处理后        3690    1.37    2.6    0.15       0.025
低介电常数的恢复通过灰化和清洁处理步骤后进行的MTAS溶液处理得到。FTIR光谱显示了灰化和湿式清洁处理后的O-H吸收,以及降低的C-H和Si-H吸收;k值也较高。MTAS处理后的k值为2.6,十分接近灰化前的原始值;C-H吸收也较高,这显示MTAS中的甲基已加入到膜中;缺少O-H吸收显示膜又成为疏水性的。
                     实施例10
           使用纳米孔二氧化硅制造波形槽结构
本实施例显示了采用本发明工艺制造混合了纳米孔二氧化硅电介质材料的波形槽结构。
本实施例参考图1A、1B和1C描述。200mm Si晶片通过已知工艺方法氧化,在晶片最表面上形成SiO2层(5000)。然后用PECVD氮化硅,SiN层(10)(1000)涂布晶片。接着,纳米孔二氧化硅层(20)(7000)依照实施例1的程序(全过程并包括400℃炉加热步骤)涂布在同一晶片上。然后将另一PECVD氮化硅层(30)(500)沉积于纳米孔二氧化硅层上。然后在这一叠电介质层上实施光刻胶涂布或压花(40),光刻胶用惯常方式处理以形成线条或间隔图案(50)。
然后施用各向异性蚀刻工艺产生沟槽(60),其宽度为0.13微米。蚀刻在等离子体蚀刻室内完成,其中CF4是基本蚀刻气体,且其中有足够的偏电压促成各向异性(向下)蚀刻。光刻胶层通过氧-基等离子体处理除去(如实施例3中的“灰化”)以生成图1B中的结构(70)。正是在此灰化步骤中纳米孔二氧化硅产生了化学变化;氧等离子体活性种通过氧化反应除去了孔表面的甲基基团;所得孔表面成了亲水性的。湿式清洁处理过程也在灰化步骤(如实施例4)后完成。
接着,钽(Ta)屏蔽内衬膜(250)(80)沉积在沟槽内的SiN最外层上,此沉积使用物理气相沉积(喷溅)技术完成。Cu“种层”,未显示,通过往Ta层上喷溅Cu而沉积。然后用电镀Cu(90)充满沟槽。最后一步,通过化学机械抛光除去SiN层上多余的Cu和Ta内衬以形成图1C的结构,图1C显示了铜线(100)和纳米孔二氧化硅电介质(20)。
图2中对晶片最表面的观察显示镶嵌的Cu含有图1C显示的花纹;此图描述的尺寸与实际结构不成比例。有两个大的方形探测垫(110)(每个100微米*100微米)。每个探测垫均与平行线“梳子”(120)相联接;每条线的宽度为0.13μm。
两梳子是“交指型”的,即某梳子的一条线位于另一梳子的两条线之间并与之平行。线有1000μm长。每个梳子有101条线(简便起见图中只显示了7条);在此交指型梳状结构中有200个平行的电容器(2*(101-1)=200)。位于铜线间的纳米孔二氧化硅的介电常数(k)通过下面平行板电容器方程计算:
                         C=k*ε*A/d
C=电容
ε=自由空间的介电常数=8.86*10-14F/cm
A=每块平行板的面积=高*长=0.7μm*1000μm=700μm2=7*10-6cm2
d=两板间的距离=0.13=1.3*10-5cm
为从测得的电容值计算k,上述方程变形为:
                  k=(C/200)*d/(ε*A)
假设平行板的面积极大,在计算k时基材和探测垫对所测电容的影响忽略不记。电容值除以200是因为在交指型梳状结构中有200个平行板电容器。梳状结构的总电容通过将探测垫与电容计联接然后施加穿过两探测垫的电压来测量。如下表10显示了图1C和图2结构的电容测量的结果,这些结构在湿式光刻胶灰化和清洁处理步骤后用MTAS溶液处理以得到疏水性(见实施例5)。也显示了没有用MTAS溶液处理的结构的测量值。
                        表10
处理过程  测量电容(法拉第)   计算k值
用MTAS溶液处理后     24*10-12     2.5
未用MTAS溶液处理     60*10-12     6.3
数据显示测量的线间电容和计算的k值几乎比灰化和湿式清洁处理步骤后未接受MTAS溶液处理的结构大3倍。用MTAS处理后的纳米孔二氧化硅和SiN电介质复合结构经测量有2.5的k值。此k值比实施例1制造的未压花纳米孔膜的k值(2.2)稍高。在本实施例中,所测电容既受厚纳米孔二氧化硅7000膜的影响又受薄500氮化硅膜的影响,两者都位于Cu线之间。PECVD SiN的近似k值为大约7.0。因而,复合电介质层(纳米孔二氧化硅和SiN)的介电常数略高于2.2。

Claims (22)

1.一种使基材上损伤的二氧化硅电介质膜具有疏水性的方法,其中所述电介质膜已经以基本损坏或除去膜先前存在的疏水性的方式,与至少一种蚀刻剂或灰化剂接触过,该方法包括:
(a)将损伤的二氧化硅电介质膜与表面改性组合物接触,其浓度和接触时间能有效地使二氧化硅电介质膜疏水;和
(b)除去未反应的表面改性组合物、反应产物及它们的混合物;
其中表面改性组合物包括至少一种适合于从损伤的二氧化硅电介质膜中除去硅烷醇部分的表面改性剂。
2.权利要求1的方法,其中蚀刻剂损伤纳米孔二氧化硅电介质膜在步骤(a)前先经受湿式清洁处理。
3.权利要求1的方法,其中表面改性组合物包括至少一种具有选自下述式的化合物:
R3SiNHSiR3、RxSiCly、RxSi(OH)y、R3SiOSiR3、RxSi(OR)y、MpSi(OH)[4-p]、RxSi(OCOCH3)y和它们的混合物,
其中x是1-3的整数,
y是1-3的整数且满足条件y=4-x,
p是2-3的整数;
每个R都是独立地选自氢和疏水有机部分;
每个M都是独立选择的疏水有机部分;并且R和M可以相同或不同。
4.权利要求1的方法,其中表面改性组合物包括至少一种下述化合物:乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲基硅烷氧基戊-2-烯-4-酮、n-(三甲基甲基硅烷基)乙酰胺、2-(三甲基甲基硅烷基)乙酸、n-(三甲基甲基硅烷基)咪唑、三甲基甲基硅烷基丙酸酯、三甲基甲基硅烷基(三甲基甲基硅烷氧基)-乙酸酯、壬基三硅氮烷、六甲基二硅氧烷、三甲基硅烷醇、三乙基硅烷醇、三苯基硅烷醇、叔丁基二甲基硅烷醇、二苯基硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷和它们的混合物。
5.权利要求1的方法,其中二氧化硅电介质膜是纳米孔的或无孔的。
6.权利要求1的方法,其中二氧化硅电介质膜是甲基氢化硅氧烷膜。
7.权利要求4的方法,其中表面改性组合物包含甲基三乙酰氧基硅烷。
8.权利要求1的方法,其中表面改性组合物包含从酮、醚、酯、烃和它们的混合物中选出的溶剂。
9.权利要求1的方法,其中蚀刻剂是含有原子、离子和/或自由基的等离子体,选自氧、氟、氢、氮和它们的混合物。
10.权利要求1的方法,其中蚀刻剂是湿式蚀刻剂,包含至少一种选自酰胺、醇、醇胺、胺、三胺、酸、碱和它们的混合物的试剂。
11.权利要求10的方法,其中酰胺选自N-甲基吡咯烷二酮、二甲基甲酰胺、二甲基乙酰胺和它们的混合物。
12.权利要求10的方法,其中醇选自乙醇、2-丙醇和它们的混合物。
13.权利要求10的方法,其中蚀刻剂包括至少一种如下试剂:乙醇胺、乙二胺、三乙基胺、N,N-二乙基乙二胺、二亚乙基三胺、乙二胺四乙酸;有机的乙酸、甲酸、四甲基铵乙酸盐、硫酸、磷酸、氢氟酸;氟化铵、氢氧化铵、四甲基氢氧化铵、羟基胺和它们的混合物,前提是这些试剂的混合物不互相中和。
14.权利要求1的方法,其中表面改性组合物以液体、蒸汽或气体及等离子体的状态与损伤的二氧化硅电介质膜接触。
15.权利要求14的方法,其中衍生自硅烷化合物的等离子体用作表面改性组合物。
16.权利要求14的方法,其中表面改性组合物是衍生自化合物烃、醛、酯、醚和它们的混合物的等离子体。
17.一种使基材上的二氧化硅电介质膜具有疏水性的方法,此方法包括:
(a)将二氧化硅电介质膜与含有至少一种表面改性剂的等离子体接触,其浓度和接触时间能有效地使二氧化硅电介质膜疏水;和
(b)除去未反应的表面改性组合物、反应产物及它们的混合物;
其中表面改性组合物包括至少一种适合于从损伤的二氧化硅电介质膜中除去硅烷醇部分的表面改性剂。
18.权利要求17的方法,其中衍生自硅烷化合物的等离子体用作表面改性组合物。
19.权利要求17的方法,其中表面改性组合物是衍生自化合物烃、醛、酯、醚和它们的混合物的等离子体。
20.一种通过含有至少一个下述步骤的方法生产的半导体设备:
(a)在具有适合制造半导体设备的压花的基材上形成疏水二氧化硅电介质膜,
(b)将上述二氧化硅电介质膜和其它部件与蚀刻剂接触,
(c)依照权利要求1的方法处理上述二氧化硅电介质膜;
其中步骤(a)和(b)以任意顺序进行,步骤(c)在步骤(b)之后进行,其中在每个步骤(b)之后重复步骤(c)。
21.权利要求20的半导体设备,它的生产方法中在每个步骤(b)之后和每个步骤(c)之前都进行湿式清洁处理步骤。
22.一种通过含有至少一个下述步骤的方法生产的半导体设备:
(a)将二氧化硅电介质膜与含有至少一种表面改性剂的等离子体接触,其浓度和接触时间能有效地使二氧化硅电介质膜疏水;和
(b)除去未反应的表面改性组合物、反应产物及它们的混合物;
其中表面改性组合物包括至少一种适合于从损伤的二氧化硅电介质膜中除去硅烷醇部分的表面改性剂。
CNB018145507A 2000-06-23 2001-06-19 恢复电介质膜及电介质材料中疏水性的方法 Expired - Fee Related CN1279588C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21421900P 2000-06-23 2000-06-23
US60/214,219 2000-06-23

Publications (2)

Publication Number Publication Date
CN1502122A true CN1502122A (zh) 2004-06-02
CN1279588C CN1279588C (zh) 2006-10-11

Family

ID=22798248

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018145507A Expired - Fee Related CN1279588C (zh) 2000-06-23 2001-06-19 恢复电介质膜及电介质材料中疏水性的方法

Country Status (9)

Country Link
US (3) US7029826B2 (zh)
EP (1) EP1292973B1 (zh)
JP (2) JP5307963B2 (zh)
KR (1) KR100797202B1 (zh)
CN (1) CN1279588C (zh)
AU (1) AU2001266998A1 (zh)
CA (1) CA2413592A1 (zh)
TW (1) TWI264040B (zh)
WO (1) WO2002001621A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1976003B (zh) * 2005-11-30 2010-12-15 东京毅力科创株式会社 半导体装置的制造方法及基板处理系统
CN101479830B (zh) * 2006-06-27 2012-04-04 朗姆研究公司 蚀刻损坏的低k电介质材料的修复和强度恢复
CN102598227A (zh) * 2009-10-22 2012-07-18 朗姆研究公司 修复低-k介电质损坏的方法
CN102856251A (zh) * 2012-09-21 2013-01-02 复旦大学 一种低介电常数介质表面去羟基化的方法
CN103624032A (zh) * 2012-08-23 2014-03-12 中芯国际集成电路制造(上海)有限公司 一种晶片的单片清洗方法
CN105004718A (zh) * 2015-07-20 2015-10-28 西北农林科技大学 一种纸基微流控芯片的制备方法
WO2020019277A1 (zh) * 2018-07-27 2020-01-30 湖州五爻硅基材料研究院有限公司 一种球形粉体填料的制备方法、由此得到的球形粉体填料及其应用
CN111801296A (zh) * 2019-02-22 2020-10-20 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
CN111825480A (zh) * 2020-08-13 2020-10-27 西藏大学 一种抗紫外线超疏水防冻材料及其制备方法
CN113327775A (zh) * 2021-05-28 2021-08-31 河北工业大学 一种钾离子微型混合电容器的制备方法和电极材料

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9904427D0 (en) 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
KR100825146B1 (ko) 2000-05-05 2008-04-24 엔테그리스, 아이엔씨. 산성 중합체와 물리적 흡착 매체를 사용하는 필터
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US7174303B2 (en) * 2000-07-31 2007-02-06 Uappoint, Inc Customer driven, sponsor controlled network-based graphical scheduling system and method
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
US6933246B2 (en) 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
AU2003236897A1 (en) * 2002-06-14 2003-12-31 Trikon Technologies Limited Porous dielectric films with a non-porous surface
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
TWI257120B (en) * 2003-06-18 2006-06-21 Fujitsu Ltd Method for manufacturing semiconductor device
US20040266184A1 (en) * 2003-06-30 2004-12-30 Ramachandrarao Vijayakumar S Post-deposition modification of interlayer dielectrics
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7717929B2 (en) 2003-12-19 2010-05-18 Radi Medical Systems Ab Technique for securing a suture
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US8119537B2 (en) * 2004-09-02 2012-02-21 Micron Technology, Inc. Selective etching of oxides to metal nitrides and metal oxides
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP5161571B2 (ja) * 2004-09-15 2013-03-13 ハネウェル・インターナショナル・インコーポレーテッド 処理剤物質
JP5184737B2 (ja) * 2004-09-30 2013-04-17 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006124410A (ja) * 2004-09-30 2006-05-18 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4780276B2 (ja) * 2004-09-30 2011-09-28 Jsr株式会社 表面疎水化方法、および半導体装置の製造方法
JP2006104418A (ja) * 2004-10-08 2006-04-20 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4591032B2 (ja) * 2004-10-15 2010-12-01 Jsr株式会社 表面疎水化用組成物、表面疎水化方法および半導体装置の製造方法
JP2006111740A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4780277B2 (ja) * 2004-10-15 2011-09-28 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4798334B2 (ja) * 2004-10-15 2011-10-19 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4883256B2 (ja) * 2004-10-15 2012-02-22 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100935620B1 (ko) * 2004-10-27 2010-01-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US20090206453A1 (en) * 2005-02-15 2009-08-20 Ulvac, Inc. Method for Preparing Modified Porous Silica Films, Modified Porous Silica Films Prepared According to This Method and Semiconductor Devices Fabricated Using the Modified Porous Silica Films
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
JP4877452B2 (ja) * 2005-02-22 2012-02-15 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4877454B2 (ja) * 2005-03-18 2012-02-15 Jsr株式会社 表面疎水化方法、ならびに半導体装置およびその製造方法
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
WO2007016218A2 (en) * 2005-07-29 2007-02-08 Applied Materials, Inc. Integrated electroless deposition system
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
JP4445448B2 (ja) * 2005-09-16 2010-04-07 株式会社東芝 回路基板の製造方法
US7405168B2 (en) * 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
US8052885B2 (en) * 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
US7709792B2 (en) * 2006-01-12 2010-05-04 Kla-Tencor Technologies Corporation Three-dimensional imaging using electron beam activated chemical etch
US7945086B2 (en) * 2006-01-12 2011-05-17 Kla-Tencor Technologies Corporation Tungsten plug deposition quality evaluation method by EBACE technology
WO2007100933A2 (en) * 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
US7879730B2 (en) * 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
WO2007095972A1 (en) 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Semiconductordevice including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprissing multiple organic components for use in a semiconductor device
JP4849219B2 (ja) * 2006-03-23 2012-01-11 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、および半導体装置
JP5372323B2 (ja) 2006-03-29 2013-12-18 富士通株式会社 界面ラフネス緩和膜、これを用いた配線層および半導体装置ならびに半導体装置の製造方法
US7399911B1 (en) 2006-04-24 2008-07-15 Monsanto Technology Llc Plants and seeds of corn variety I286394
TWI417130B (zh) * 2006-07-13 2013-12-01 Entegris Inc 過濾系統
JP5055971B2 (ja) * 2006-11-16 2012-10-24 株式会社ニコン 表面処理方法及び表面処理装置、露光方法及び露光装置、並びにデバイス製造方法
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
CN101647106B (zh) * 2007-03-15 2011-10-05 富士通株式会社 表面疏水化膜、表面疏水化膜形成材料、布线层、半导体装置及其制造方法
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
JP5119832B2 (ja) 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
MY177445A (en) * 2008-05-26 2020-09-15 Basf Se Method of making porous materials and porous materials prepared thereof
WO2010009234A1 (en) 2008-07-16 2010-01-21 Wisconsin Alumni Research Foundation Metal substrates including metal oxide nanoporous thin films and methods of making the same
JP2010027952A (ja) * 2008-07-23 2010-02-04 Toshiba Corp 半導体装置の製造方法
JP4947316B2 (ja) * 2008-08-15 2012-06-06 信越化学工業株式会社 基板の接合方法並びに3次元半導体装置
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
KR100981820B1 (ko) * 2008-09-08 2010-09-13 경희대학교 산학협력단 트리메틸클로로실란 증기를 이용한 기판 표면 처리 장치 및방법
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US9053924B2 (en) 2008-12-26 2015-06-09 Central Glass Company, Limited Cleaning agent for silicon wafer
JP5533178B2 (ja) * 2009-04-24 2014-06-25 セントラル硝子株式会社 シリコンウェハ用洗浄剤
DE102008063417B4 (de) * 2008-12-31 2016-08-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
US20100178887A1 (en) 2009-01-13 2010-07-15 Millam Michael J Blast shield for use in wireless transmission system
SG173043A1 (en) * 2009-01-21 2011-08-29 Central Glass Co Ltd Silicon wafer cleaning agent
KR20110125651A (ko) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
DE102009023379B4 (de) 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102009023378B4 (de) 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
JP5558876B2 (ja) * 2009-09-18 2014-07-23 東海ゴム工業株式会社 誘電膜、およびその製造方法、並びにそれを用いたトランスデューサ
CN102034794B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 测试结构及测试半导体衬底的方法
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
EP2329938A1 (en) * 2009-12-01 2011-06-08 Siemens Aktiengesellschaft Fibre-reinforced plastic material comprising fibers with at least one groove
US8329552B1 (en) 2011-07-22 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR101438890B1 (ko) * 2012-06-28 2014-09-15 현대자동차주식회사 소수성을 향상한 고분자 전해질 막-전극 접합체 및 그 제조방법
US10020185B2 (en) 2014-10-07 2018-07-10 Samsung Sdi Co., Ltd. Composition for forming silica layer, silica layer, and electronic device
US20160172188A1 (en) * 2014-12-16 2016-06-16 Samsung Sdi Co., Ltd. Rinse solution for silica thin film, method of producing silica thin film, and silica thin film
KR101833800B1 (ko) 2014-12-19 2018-03-02 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막의 제조방법 및 상기 실리카계 막을 포함하는 전자 소자
KR101837971B1 (ko) 2014-12-19 2018-03-13 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막, 및 전자 디바이스
KR20170014946A (ko) 2015-07-31 2017-02-08 삼성에스디아이 주식회사 실리카 막 형성용 조성물, 실리카 막의 제조방법 및 실리카 막
CN108022830B (zh) * 2016-10-31 2020-06-05 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN108841207B (zh) * 2018-05-30 2020-09-08 杭州电子科技大学 一种超疏水白炭黑的低温等离子体制备新工艺
US10886166B2 (en) 2019-03-08 2021-01-05 International Business Machines Corporation Dielectric surface modification in sub-40nm pitch interconnect patterning

Family Cites Families (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4567221A (en) 1983-03-31 1986-01-28 Kuraray Co., Ltd. Water resistant compositions
US4654269A (en) 1985-06-21 1987-03-31 Fairchild Camera & Instrument Corp. Stress relieved intermediate insulating layer for multilayer metalization
US4624739A (en) 1985-08-09 1986-11-25 International Business Machines Corporation Process using dry etchant to avoid mask-and-etch cycle
CA2049019A1 (en) 1989-03-01 1990-09-02 Robert S. Dubrow Method of curing organopolysiloxane compositions and compositions and articles therefrom
JP2868243B2 (ja) 1989-10-06 1999-03-10 富士通株式会社 半導体装置の製造方法
JPH03180033A (ja) * 1989-12-08 1991-08-06 Mitsubishi Electric Corp パターン形成方法
US5372851A (en) 1991-12-16 1994-12-13 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a chemically adsorbed film
JP2603017B2 (ja) 1991-12-16 1997-04-23 松下電器産業株式会社 化学吸着膜の製造方法
US5271777A (en) 1991-12-17 1993-12-21 Hughes Aircraft Company High efficiency coil fabrication process
JPH05304089A (ja) * 1992-04-28 1993-11-16 Dainippon Screen Mfg Co Ltd 基板表面からのレジストの除去方法並びに装置
WO1994002425A2 (de) 1992-07-23 1994-02-03 Gurit-Essex Ag Silicium- oder siliciumdioxid-substrat mit modifizierter oberfläche und verfahren zu dessen herstellung, sowie neue orthoester und verfahren zu deren herstellung
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JP3219315B2 (ja) 1992-08-21 2001-10-15 大日本印刷株式会社 位相シフトマスクの白欠陥修正方法
US5429730A (en) 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
WO1994021386A2 (en) 1993-03-25 1994-09-29 Research Corporation Technologies, Inc. Polymers useful in forming self-assembled bonded anisotropic ultrathin layers and their use
JPH0766287A (ja) 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH07335758A (ja) * 1994-04-15 1995-12-22 Matsushita Electric Ind Co Ltd 多層金属配線の形成方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5479727A (en) 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5609629A (en) 1995-06-07 1997-03-11 Med Institute, Inc. Coated implantable medical device
US6258972B1 (en) 1995-08-03 2001-07-10 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
JP3618150B2 (ja) * 1995-10-09 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
JP3230182B2 (ja) * 1996-02-29 2001-11-19 シャープ株式会社 半導体装置の製造方法
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
RU2089499C1 (ru) 1996-12-11 1997-09-10 Смирнов Александр Витальевич Способ получения гидрофобного дисперсного материала
EP0849796A3 (en) 1996-12-17 1999-09-01 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5750610A (en) 1997-02-24 1998-05-12 Dow Corning Corporation Hydrophobic organosilicate-modified silica gels
JPH10270556A (ja) * 1997-03-28 1998-10-09 Kawasaki Steel Corp 絶縁膜形成方法
US5915175A (en) 1997-06-27 1999-06-22 Siemens Aktiengesellschaft Mitigation of CMP-induced BPSG surface damage by an integrated anneal and silicon dioxide deposition
WO1999003926A1 (fr) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
AU4223597A (en) * 1997-09-05 1999-03-29 Theodorus Istvan Van Bakkum Wind turbine mounted on buoyant wing kite
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
EP1019954B1 (en) 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
AU3055599A (en) 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
JP3432744B2 (ja) * 1998-06-11 2003-08-04 株式会社東芝 半導体装置およびその製造方法
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
JP3099813B2 (ja) * 1998-07-30 2000-10-16 日本電気株式会社 半導体装置の製造方法
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6410149B1 (en) * 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6090724A (en) 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6177143B1 (en) 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
JP3266195B2 (ja) 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP3229294B2 (ja) * 1999-06-04 2001-11-19 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
KR100333546B1 (ko) 1999-06-10 2002-04-24 박종섭 반도체소자의 제조방법
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
JP2001118842A (ja) 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6420193B1 (en) 2000-03-17 2002-07-16 Advance Micro Devices, Inc. Repair of film having an SI-O backbone
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6451512B1 (en) 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
AU2001266998A1 (en) 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6500494B2 (en) 2000-12-29 2002-12-31 Kodak Polychrome Graphics Llc Spray coating matting method for printing plate precursors
US6713382B1 (en) 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100396889B1 (ko) 2001-03-08 2003-09-03 삼성전자주식회사 크랙방지층을 이용한 콘택 형성방법 및 이를 이용한반도체 소자
JP2002353308A (ja) 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
TW483099B (en) 2001-06-15 2002-04-11 United Microelectronics Corp Method to reduce moisture absorption of porous low dielectric constant film
DE10130824C2 (de) 2001-06-27 2003-12-18 Promos Technologies Inc Reparaturverfahren für einen dielektrischen Film mit einem Lochdefekt auf einem Substrat
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6583067B2 (en) 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US20030008516A1 (en) 2001-07-03 2003-01-09 Ting-Chang Chang Method of reinforcing a low dielectric constant material layer against damage caused by a photoresist stripper
US20030013211A1 (en) 2001-07-13 2003-01-16 Chu-Chun Hu Mend method for breakage dielectric film
TW495880B (en) 2001-08-30 2002-07-21 United Microelectronics Corp Method of repairing a low dielectric constant material layer
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
DE10145724A1 (de) 2001-09-17 2003-04-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur unter Verwendung einer Schutzschicht und Halbleiterstruktur
JP2003142476A (ja) 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6537919B1 (en) 2001-12-19 2003-03-25 Taiwan Semiconductor Manufacturing Company Process to remove micro-scratches
US7083991B2 (en) 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP4246640B2 (ja) 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
JP4025096B2 (ja) 2002-03-08 2007-12-19 株式会社荏原製作所 基板処理方法
JP2003282698A (ja) 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
WO2003088344A1 (en) 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US6607925B1 (en) 2002-06-06 2003-08-19 Advanced Micro Devices, Inc. Hard mask removal process including isolation dielectric refill
US20050229947A1 (en) 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
WO2004016548A2 (en) 2002-08-14 2004-02-26 Applied Thin Films, Inc. Aluminum phosphate compounds, compositions, materials and related composites.
JP2006500769A (ja) 2002-09-20 2006-01-05 ハネウェル・インターナショナル・インコーポレーテッド 低k材料用の中間層接着促進剤
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
JP2004266008A (ja) 2003-02-28 2004-09-24 Toshiba Corp 半導体装置の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7442412B2 (en) 2003-05-08 2008-10-28 Texas Instruments Incorporated Hydrophobic coating for oxide surfaces
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US6821880B1 (en) 2003-12-01 2004-11-23 Taiwan Semiconductor Manufacturing Co. Ltd. Process of dual or single damascene utilizing separate etching and DCM apparati
JP2005294525A (ja) 2004-03-31 2005-10-20 Toshiba Corp 半導体装置の製造方法
WO2005109475A2 (en) 2004-05-04 2005-11-17 California Institute Of Technology Zeolite films for low k applications
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1976003B (zh) * 2005-11-30 2010-12-15 东京毅力科创株式会社 半导体装置的制造方法及基板处理系统
US7902077B2 (en) 2005-11-30 2011-03-08 Tokyo Electron Limited Semiconductor device manufacturing method that recovers damage of the etching target while supplying a predetermined recovery gas
CN101479830B (zh) * 2006-06-27 2012-04-04 朗姆研究公司 蚀刻损坏的低k电介质材料的修复和强度恢复
CN102598227A (zh) * 2009-10-22 2012-07-18 朗姆研究公司 修复低-k介电质损坏的方法
CN103624032A (zh) * 2012-08-23 2014-03-12 中芯国际集成电路制造(上海)有限公司 一种晶片的单片清洗方法
CN103624032B (zh) * 2012-08-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种晶片的单片清洗方法
CN102856251A (zh) * 2012-09-21 2013-01-02 复旦大学 一种低介电常数介质表面去羟基化的方法
CN105004718A (zh) * 2015-07-20 2015-10-28 西北农林科技大学 一种纸基微流控芯片的制备方法
CN105004718B (zh) * 2015-07-20 2018-09-28 新疆农业大学 一种纸基微流控芯片的制备方法
CN111868141A (zh) * 2018-07-27 2020-10-30 浙江三时纪新材科技有限公司 一种球形粉体填料的制备方法、由此得到的球形粉体填料及其应用
CN111819266A (zh) * 2018-07-27 2020-10-23 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
WO2020019277A1 (zh) * 2018-07-27 2020-01-30 湖州五爻硅基材料研究院有限公司 一种球形粉体填料的制备方法、由此得到的球形粉体填料及其应用
CN111867975A (zh) * 2018-07-27 2020-10-30 浙江三时纪新材科技有限公司 一种球形粉体填料的制备方法、由此得到的球形粉体填料及其应用
CN111819266B (zh) * 2018-07-27 2021-06-18 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
CN111801296A (zh) * 2019-02-22 2020-10-20 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
CN111819247A (zh) * 2019-02-22 2020-10-23 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
CN111819248A (zh) * 2019-02-22 2020-10-23 浙江三时纪新材科技有限公司 一种聚硅氧烷粉体填料的制备方法、由此得到的聚硅氧烷粉体填料及其应用
CN111819248B (zh) * 2019-02-22 2021-08-20 浙江三时纪新材科技有限公司 一种聚硅氧烷粉体填料的制备方法、由此得到的聚硅氧烷粉体填料及其应用
CN111825480A (zh) * 2020-08-13 2020-10-27 西藏大学 一种抗紫外线超疏水防冻材料及其制备方法
CN113327775A (zh) * 2021-05-28 2021-08-31 河北工业大学 一种钾离子微型混合电容器的制备方法和电极材料
CN113327775B (zh) * 2021-05-28 2022-04-29 河北工业大学 一种钾离子微型混合电容器的制备方法和电极材料

Also Published As

Publication number Publication date
US7029826B2 (en) 2006-04-18
WO2002001621A2 (en) 2002-01-03
TWI264040B (en) 2006-10-11
AU2001266998A1 (en) 2002-01-08
KR20030062237A (ko) 2003-07-23
US20040013858A1 (en) 2004-01-22
EP1292973A2 (en) 2003-03-19
JP5307963B2 (ja) 2013-10-02
US20070190735A1 (en) 2007-08-16
JP2012231164A (ja) 2012-11-22
US8440388B2 (en) 2013-05-14
US7858294B2 (en) 2010-12-28
US20060078827A1 (en) 2006-04-13
WO2002001621A3 (en) 2002-03-21
KR100797202B1 (ko) 2008-01-23
JP2004511896A (ja) 2004-04-15
EP1292973B1 (en) 2015-09-09
CA2413592A1 (en) 2002-01-03
CN1279588C (zh) 2006-10-11

Similar Documents

Publication Publication Date Title
CN1279588C (zh) 恢复电介质膜及电介质材料中疏水性的方法
CN1146965C (zh) 硅烷基多纳米孔隙二氧化硅薄膜
CN1303619C (zh) 形成具有低介电常数的薄膜的方法
CN1160767C (zh) 纳米多孔二氧化硅的蒸气沉积工艺
CN1236480C (zh) 多官能硅基低聚物/聚合物纳米孔二氧化硅薄膜的表面改性中的应用
CN1487567A (zh) 制备低介材料的组合物
EP0992556B1 (en) Coating liquid for forming silica-based film having low dielectric constant and substrate having film of low dielectric constant coated thereon
CN1311097C (zh) 制备低介电薄膜的方法、原料混合物及该薄膜
TWI326891B (en) Material for forming adhesion reinforcing layer, adhesion reinforcing layer, semiconductor device, and manufacturing method thereof
CN1382304A (zh) 用于超大规模集成电路的经硅氧烷聚合物处理的微孔二氧化硅
CN1125481A (zh) 评价用于形成绝缘膜的硅氧烷的方法、形成绝缘膜的涂布液及其制备方法、半导体器件用绝缘膜成型方法以及采用绝缘膜成膜法制备半导体器件的方法
CN1839468A (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
CN101641767B (zh) 硅系绝缘膜的蚀刻后处理剂、半导体装置的制造方法以及半导体装置
CN101060095A (zh) 形成受控的空隙的材料和方法
KR100671850B1 (ko) 다공질 필름의 개질 방법 및 개질된 다공질 필름 및 그 용도
TW200536621A (en) Method for producing polymer, polymer, composition for forming insulating film, method for producing insulating film, and insulating film
CN1950473A (zh) 绝缘膜形成用组合物和其制法及二氧化硅系绝缘膜和其形成法
CN1507015A (zh) 低介电常数材料以及化学气相沉积(cvd)制备方法
JP2006265350A (ja) 多孔質膜の前駆体組成物及びその調製方法、多孔質膜及びその作製方法、並びに半導体装置
CN1854334A (zh) 通过使用双有机硅氧烷前体制备低介电常数膜的方法
JP2009091545A (ja) シリカ系被膜形成用塗布液、その調製方法および該塗布液から得られるシリカ系絶縁膜
TW201139572A (en) Silica-based film forming material for formation of air gaps, and method for forming air gaps
CN1757445A (zh) 用于制备含溶剂的低介电材料的组合物
JP4422643B2 (ja) 多孔質フィルムの製造方法ならびに層間絶縁膜、半導体材料および半導体装置
JP4241879B2 (ja) 低誘電率シリカ系被膜形成用塗布液および低誘電率被膜付基材

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20061011

Termination date: 20170619