JP4246640B2 - ウェハ処理において低誘電率材料を不動態化する方法 - Google Patents

ウェハ処理において低誘電率材料を不動態化する方法 Download PDF

Info

Publication number
JP4246640B2
JP4246640B2 JP2003575185A JP2003575185A JP4246640B2 JP 4246640 B2 JP4246640 B2 JP 4246640B2 JP 2003575185 A JP2003575185 A JP 2003575185A JP 2003575185 A JP2003575185 A JP 2003575185A JP 4246640 B2 JP4246640 B2 JP 4246640B2
Authority
JP
Japan
Prior art keywords
low
supercritical
dielectric surface
dielectric
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003575185A
Other languages
English (en)
Other versions
JP2005519481A5 (ja
JP2005519481A (ja
Inventor
イオアン トーマ,ドーレル
シリング,ポール
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2005519481A publication Critical patent/JP2005519481A/ja
Publication of JP2005519481A5 publication Critical patent/JP2005519481A5/ja
Application granted granted Critical
Publication of JP4246640B2 publication Critical patent/JP4246640B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C26/00Coating not provided for in groups C23C2/00 - C23C24/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02101Cleaning only involving supercritical fluids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC

Description

本発明は、マイクロデバイスの処理の分野に関する。より詳細には本発明は、超臨界処理溶液による低誘電率材料の不動態化に関する。
半導体の製造は通常、エッチング及び他の処理工程においてフォトレジストを使用する。これらエッチング工程では、フォトレジストが、半導体基板のエッチングされない領域をマスクする。その他の処理工程の例としては、イオン注入工程において半導体基板の領域をマスクするためにフォトレジストを用いること、処理済みウェハのブランケット保護被膜としてフォトレジストを用いること、又はMEMS(微小電気機械的システム(Micro Electro−Mechanical System))デバイスのブランケット保護被膜としてフォトレジストを用いることが挙げられる。
集積回路技術の現状は、600万個までのトランジスタ及び800メートルを超す配線を収容することができる。ウェハに基づく集積回路上に搭載されるトランジスタの数を増すための努力は絶えず続いている。トランジスタの数が増すに従って、高い性能基準を維持するには、細かく詰まった配線間のクロストークを低減させる必要がある。半導体業界は、ウェハに基づく集積回路の性能を改良するのに役立つ可能性のある新しいプロセス及び新しい材料を絶えず求めている。
3.5〜2.5の低誘電率を示す材料を一般に低k材料と呼び、また2.5又はそれ未満の誘電率を有する多孔性材料を一般に超低k(ULK)材料と呼ぶ。本出願の目的に関しては、低k材料は、低k材料及び超低k材料の両方を意味する。低k材料は、クロストークを低減させることが明らかにされており、集積回路のより一層小さな幾何学配置の製作への移行を可能にする。低k材料はまた、低温処理に役立つことが分かっている。例えば、スピン・オン・ガラス材料(SOG)とポリマーを基板上に塗付し、比較的低温で処理又は硬化させて酸化ケイ素に基づく多孔性低k層を作ることができる。本明細書において酸化ケイ素に基づくとは、厳密に酸化ケイ素材料を意味するものではない。つまり酸化ケイ素と、炭化水素成分及び/又は炭素とを有する式SiOxxzの多くの低k材料がある。これは、本明細書中ではハイブリッド材料として言及しており、またMSQ材料としても表わされる。しかしながらMSQは、上記ハイブリッド低k材料の一例であるメチルシルセスキオキサンを表すためにしばしば使われることを指摘しておく。炭素をドープした酸化物(COD)又はフッ化ケイ素ガラス(FSG)などの幾つかの低k材料は、化学気相成長法を用いて堆積され、一方MSQ、多孔性MSQ、及び多孔性シリカなどの他の低k材料は、スピン・オン法を用いて堆積される。
低k材料は、高性能の微細回路の製造にとって将来有望な材料であるが、また幾つかの難題も与える。すなわちそれらは、特に上述のようなハイブリッド低k材料の場合に、多くの在来の誘電体層よりも丈夫さに欠ける傾向があり、ウェハ処理において誘電体層のパターン形成に一般に用いられるエッチング及びプラズマアッシング(灰化)プロセスによって損傷することがある。更に酸化ケイ素に基づく低k材料は、パターン形成工程後も非常に反応性が大きい傾向がある。この酸化ケイ素に基づく低k材料の親水性表面は容易に水を吸収し、且つ/あるいは他の蒸気及び/又はプロセス汚染物と反応する恐れがある。これは、誘電体層自体の電気的特性を変え、且つ/又はそのウェハの更なる処理を受けることのできる能力を低下させる恐れがある。
低k材料層の不動態化、特にパターン形成工程後の低k材料層の不動態化の方法が必要とされている。この低k材料層の不動態化は、汚染物の除去工程及び/又はパターン形成工程後のエッチング後残渣の除去工程などの他のウェハ処理工程と共存できることが好ましい。
本発明は、不動態化用超臨界溶液を用いた酸化ケイ素に基づく低k材料の不動態化を対象とする。
低k材料は一般に、酸化物に基づく多孔性材料であり、有機又は炭化水素成分を含むことができる。低k材料の例には、炭素をドープした酸化物(COD)、スピン・オン・ガラス(SOG)、及びフッ化ケイ素ガラス(FSG)材料があるが、これらには限定されない。本発明の実施形態によれば、不動態化用超臨界溶液は、超臨界二酸化炭素と、好ましくはシリル化剤である所定量の不動態化剤とを含有する。このシリル化剤を希釈せずに、あるいはキャリア溶媒、例えばN,N−ジメチルアセトアミド(DMAC)、γ−ブチロールアセトン(BLO)、ジメチルスルホキシド(DMSO)、炭酸エチレン(EC)、N−メチルピロリドン(NMP)、ジメチルピペリドン、炭酸プロピレン、アルコール、又はこれらを組み合わせたものと一緒に、超臨界二酸化炭素中に導入して、不動態化用超臨界溶液を生成することができる。本発明の好ましい実施形態によれば、このシリル化剤は有機ケイ素化合物であり、不動態化工程の間に、シリル基(Si(CR33)が、この酸化ケイ素に基づく低k材料の表面のシラノール(Si−OH)基、及び/又はこの酸化ケイ素に基づく低k材料のバルク中のシラノール(Si−OH)基を攻撃して、有機シリル基でキャップされた表面を形成する。
本発明の別の実施形態によれば、超臨界二酸化炭素と、炭素原子5個又はそれ未満の有機基を有する有機ケイ素化合物とを含む不動態化用超臨界溶液を用いて、酸化ケイ素に基づく低k材料を不動態化する。本発明の好ましい実施形態によれば、有機基又はそれらの一部はメチル基である。例えば本発明においてシリル化剤として役立つ好適な有機ケイ素化合物には、ヘキサメチルジシラザン(HMDS)、クロロトリメチルシラン(TMCS)、トリクロロメチルシラン(TCMS)、及びそれらを組み合わせたものが挙げられるが、これらには限定されない。別法では、(CH3)ラジカルの発生源を、シリル化剤として用いることもできる。
本発明の実施形態によれば、酸化ケイ素に基づく低k材料の表面に上記のような不動態化用超臨界溶液を循環させながら、この酸化ケイ素に基づく低k材料を、超臨界不動態化工程の間、40〜200℃の範囲の温度、好ましくは約150℃の温度、且つ1,070〜9,000psi(約7.38〜約62.1MPa)の範囲の圧力、好ましくは約3,000psi(約20.7MPa)の圧力に保つ。
本発明の更に別の実施形態によれば、不動態化工程に先立って、酸化ケイ素に基づく低k材料の表面を乾燥又は再処理する。本発明のこの実施形態によれば、酸化ケイ素に基づく低k材料を乾燥するか、あるいはこの低k材料を超臨界二酸化炭素、又はこれらには限定されないがエタノール、メタノール、n−ヘキサン、及びこれらを組み合わせたものを含めた1又は複数種の溶媒を含有する超臨界二酸化炭素に曝すことによって再処理する。メタノール及びエタノールを含む超臨界処理溶液は、主に低k材料から水を除去するが、n−ヘキサンを含む超臨界処理溶液は、低k材料からヒドロキシル基を除去すると考えられ、これは超臨界処理工程においてシリル化剤又はシリル化剤群が低k材料をシリル化する能力を助長させる。
本発明の更に別の実施形態によれば、誘電体表面を洗浄処理工程の間に不動態化する。この工程では同時に、エッチング後残渣を、上記のような不動態化剤を含有する超臨界洗浄液を用いて誘電体面から除去する。エッチング後残渣には、フォトレジストポリマー、又は反射防止染料及び/又は反射防止層を伴うフォトレジストポリマーを含めることができる。
本発明の方法によれば、パターンを形成された低k誘電体層は、低k誘電材料の連続層を堆積させ、この低k材料にパターンをエッチングし、そして超臨界二酸化炭素とケイ素に基づく不動態化剤とを含有する超臨界溶液を用いてエッチング後残渣を除去することによって形成される。
エッチング及び/又は灰化プロセスで低k材料を処理することによって低k材料のパターン形成を行った後で、材料の劣化及び/又は有機成分の一部が除去されることの結果として、低k材料はk値の著しい増加を示す可能性があり、低kハイブリッド材料の場合には、1.0を超すk値の増加が観察された。本発明による不動態化の方法は、パターン形成工程で失われたk値の一部を復元又は回復させることができる。現に本発明の実施形態によれば不動態化された低k材料は回復して、元のパターン形成していない材料に近いk値又はそのk値を示す可能性があることが観察された。
超臨界処理溶液によるウェハ基板の処理に適した超臨界システムの更なる詳細は、「REMOVAL OF PHOTORESIST AND PHOTORESIST RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS」という名称の1999年9月3日出願の米国特許出願第09/389,788号及び「REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS」という名称の2000年10月25日出願の米国特許出願第09/697,222号に記載されており、これらの両方がここに参照によって本明細書の記載に組み込まれる。
半導体の製造において誘電体層は一般に、1又は複数回のエッチング及びアッシング(灰化)工程でフォトレジストマスクを用いてパターン形成される。一般には高解像度の線幅及び高い構造アスペクト比を得るために、反射防止膜を必要とする。以前のプロセスでは、窒化チタン(TiN)の反射防止膜(ARC)が誘電体層上に蒸着され、このTiN反射防止膜はパターン形成後も除去されることなく、製造されたデバイスの一部に留まることになる。非常に薄くすることが可能な新しい種類の低誘電性の層では、TiN反射防止膜が誘電体層の電気的特性を支配する恐れがあるため、TiN反射防止膜は好ましくない。従ってパターン形成後に除去することができる反射防止染料を含有する高分子スピン・オン反射防止膜が好ましい。パターン形成工程で用いられる材料には関係なく、誘電体層のパターン形成後は、パターン形成プロセスが終わったあとでこれら材料を誘電体層から除去することが好ましい。
多孔性低k材料は、最も普通には上記のようにシラノール基(Si−OH)及び/又は有機成分を有する酸化ケイ素に基づくものである。これら低k材料は、活性化される及び/又は損傷を受けるようになる可能性があり、これは部分的にはエッチング及び/又は灰化工程中の有機成分の減少のせいである考えられる。活性化及び/又は損傷のいずれの場合も、更なるシラノール基が露出し、それらが水及び/又は汚染物及び/又は他の処理工程において存在する化学薬品を容易に吸収する恐れがある。従って露出した低k誘電体層を有する一部のデバイス構造は、汚染物のない状態で取り扱い、維持することが特にパターン形成工程後では困難である。更にその低k材料のバルクの活性化及び/又は損傷は、k値の増加をもたらす恐れもある。活性化された及び/又は損傷を受けた低k材料は、1.0又はそれよりも大きいk値の増加を示す可能性が認められた。
本発明は、多孔性低k誘電体材料を不動態化する方法及びシステムを対象とする。本発明の方法は好ましくは、低k材料の表面の及び/又はバルク中のシラノール基の末端をキャップすることによってパターン形成済み低k層を不動態化して、より疎水性の、且つ/又はより汚染物に対して抵抗性の大きい、且つ/又はより反応性の少ないパターン形成された低k層を生成する。本発明の実施形態によれば、不動態化処理工程は、超臨界エッチング後洗浄プロセスと別に行うか、又は超臨界エッチング後洗浄プロセスと同時に行う。
次に本発明の実施形態による図1Aを参照すると、不動態化用超臨界溶液は、ヘキサメチルジシラザン(HMDS)の場合のようにすべてに有機基を有するか、又は有機基と1〜4位のいずれか1つに結合しているハロゲン基(F、Cl、Brなど)との組合せを有することができる構造10のシランを含有している。
次に本発明の実施形態による図1Bを参照すると、不動態化用超臨界溶液は、ケイ素原子が三角両錐形(tiganolbipyramidal)の立体配置中の1、2、3、4及び5位で5個のリガンドと配位結合している五価の有機ケイ素化合物20を含有している。一般にこのような化合物20は、ジフルオロトリメチルケイ酸塩アニオンの場合のように1〜5位のうちの1又は複数がハロゲン原子と配位結合しているアニオンである。構造20がアニオンの場合、化合物20はまた、ナトリウム、カリウム、又は任意の他の無機若しくは有機カチオンなどの適切なカチオンも有する(図示していない)。
次に本発明の実施形態による図1Cを参照すると、不動態化用超臨界溶液は、ヘキサメチルジシラザン(HMDS)の場合のようにアミンの窒素と配位結合した2個の有機シリル基を有するアミン構造として記述することができるシラザン構造30を備える。
図1Dは、反応経路(1)において、低k材料の表面のシラノール基と反応するヘキサメチルジシラザン(HMDS)、また反応経路(2)において、低k材料の表面のシラノール基と反応するトリメチルジシラザン(TMDS)を示したものである。トリメチルジシラザン(TMDS)は反応経路(1)の反応生成物であり、次いでこれが反応経路(2)によってこの低k材料の表面のシラノール基と更に反応できることに注目されたい。それ故、提供したヘキサメチルジシラザン(HMDS)は、本発明の方法で用いられる優れたシリル化剤である。
図1Eは、低k材料の表面51での、シラノール基53とシリル基55と間の立体障害を示す図である。シラノール基53はきわめて大型で、シラノール基53にとってまさに保護バリヤーを提供できることに注目されたい。従って低k材料の全表面又はバルクを完全にシリル化することは通常可能ではない。しかしながら超臨界二酸化炭素とn−ヘキサンを含有する超臨界処理溶液によって低k材料を前処理すると、表面51において、より大きい割合でシラノール基53がシリル基55で置換されると考えられる。
何種類ものシリル化剤及びそれらシリル化剤を組み合わせたものを含有する超臨界処理溶液が本発明の範囲内にあることは、当業技術者にとって明らかなはずである。更に、使用される1又は複数シリル化剤は、希釈せずに、あるいはキャリア溶媒、例えばN,N−ジメチルアセトアミド(DMAC)、γ−ブチロールアセトン(BLO)、ジメチルスルホキシド(DMSO)、炭酸エチレン(EC)、N−メチルピロリドン(NMP)、ジメチルピペリドン、炭酸プロピレン、アルコール、又はそれらを組み合わせたものと一緒に超臨界二酸化炭素中に導入して、超臨界処理溶液を生成することもできる。また、先に説明したとおり、本発明で用いられる1又は複数の不動態化剤は、パターン形成された低k材料の表面からエッチング後残渣を除去するために、超臨界洗浄プロセスで使用することもできる。
本発明は特に、ウェハ材料からエッチング後フォトポリマーを除去するのにきわめて適しており、またより一層具体的には、酸化ケイ素に基づく層を不動態化すると同時に、多孔性MSQや多孔性SiO2(例えばHoneywell社製NANOGLASS(登録商標))から形成される低k層などの酸化ケイ素に基づく低k層から、エッチング後フォトポリマー及び/又は高分子反射防止膜層を除去するのにきわめて適している。話を簡単にするために本明細書中では、超臨界処理溶液を超臨界洗浄液及び不動態化用超臨界溶液の両方又はそのどちらかで呼ぶ。
図2は、超臨界処理装置200の概略図を示す。装置200は、供給源弁223経由で供給管路226と連結している二酸化炭素供給源221を備えている。この供給源弁223を開閉して、二酸化炭素供給源221から供給管路226への二酸化炭素の流入を開始及び停止することができる。供給管路226は、好ましくは超臨界二酸化炭素の流れを生じさせ且つ/又は維持するために、四角形220によって図示した1又は複数個の逆流防止弁、ポンプ、及び加熱器を備える。供給管路226はまた、好ましくは超臨界二酸化炭素の流れが処理室201に流入するのを許し又は防ぐように開閉する構成の入口弁225を有する。
更に図2を参照すると、処理室201は好ましくは、処理室201から排気するための、及び/又は処理室201内の圧力を調節するための、1又は複数個の圧力弁209を備えている。また本発明の実施形態によれば処理室201は、処理室201の加圧及び/又は減圧用の、ポンプ及び/又は真空ポンプ211とつながっている。
再び図2を参照すると、装置200の処理室201内には、好ましくはウェハ構造物213を把持及び/又は支持するためのチャック233がある。更に本発明の実施形態によればチャック233及び/又は処理室201は、ウェハ構造物213の温度及び/又は処理室201内の超臨界処理溶液の温度を調節するための、1又は複数個の加熱器231を有する。
装置200はまた好ましくは、処理室201とつながっている循環管路すなわちループ管路203を有する。循環管路203は、好ましくは循環管路203及び処理室201を通る超臨界処理溶液の流量を調節するための1又は複数個の弁215及び215′を備えている。循環管路203はまた好ましくは、超臨界処理溶液を維持し、循環管路203及び処理室201を通してこの超臨界処理溶液を流し、また保持するための任意の個数の逆流防止弁及び/又はポンプ及び/又は加熱器を備え、これらは四角形205によって模式的に表されている。本発明の好ましい実施形態によれば、循環管路203は、現場で超臨界処理溶液を生成させるために不動態化剤及び溶媒などの化学薬品を循環管路203に導入するための注入口207を有する。
図3は、上記図2よりも詳細に、超臨界処理装置76を示す。超臨界処理装置76は、超臨界洗浄、すすぎ及び硬化用溶液を生成するのに適するように、またこれら溶液でウェハを処理するのに適するように構成される。超臨界処理装置76には、二酸化炭素供給容器332、二酸化炭素用ポンプ334、処理室336、化学薬品供給容器338、循環ポンプ340、及び排ガス捕集容器344が含まれる。二酸化炭素供給容器332は、二酸化炭素用ポンプ334及び二酸化炭素用配管346経由で、処理室336とつながっている。二酸化炭素用配管346は、二酸化炭素用ポンプ334と処理室336との間に配置された二酸化炭素加熱器348を含む。処理室336は、処理室用加熱器350を含む。循環ポンプ340は、循環管路352上に配置されている。この循環管路352は、循環入口354及び循環出口356で処理室336とつながっている。化学薬品供給容器338は、第一注入ポンプ359が組み込まれた化学薬品供給管路358経由で循環管路352とつながっている。すすぎ剤供給容器360は、第二注入ポンプ363が組み込まれたすすぎ剤供給管路362経由で、循環管路352とつながっている。排ガス捕集容器344は、排ガス用配管364経由で処理室336とつながっている。
二酸化炭素供給容器332、二酸化炭素用ポンプ334、及び二酸化炭素加熱器348は、二酸化炭素供給機構349を形成する。化学薬品供給容器338、第一注入ポンプ359、すすぎ剤供給容器360、及び第二注入ポンプ363は、化学薬品及びすすぎ剤供給機構365を形成する。
超臨界処理装置76には、弁調整機構、制御用電子機器、フィルター、並びに超臨界溶液処理システムにとっては一般的なユーティリティー用配線設備が含まれることは、当業技術者には明らかなはずである。
更に図3を参照すると、処理においては、その表面に残渣のあるウェハ(図示されない)を、処理室336のウェハキャビティ312中に挿入し、仕切り弁306を閉じることによって処理室336を密閉する。二酸化炭素用ポンプ334によって二酸化炭素供給容器332からの二酸化炭素で処理室336を加圧し、この二酸化炭素を二酸化炭素加熱器348によって加熱する同時に、処理室336を処理室用加熱器350によって加熱して、処理室336中の二酸化炭素の温度が確実に臨界温度を超えるようにする。二酸化炭素の臨界温度は31℃である。好ましくは超臨界不動態化工程の間、処理室336中の二酸化炭素の温度は、40℃〜約200℃の範囲内、好ましくは150℃又はその近傍である。
最初の超臨界条件に達したら、二酸化炭素用ポンプによって、超臨界二酸化炭素を更に加圧しながら、第一注入ポンプ359によって、化学薬品供給容器338からのシリル化剤などの処理化学薬品を循環管路352経由で処理室336中に注入する。処理室336に処理化学薬品の添加を始めるとき、処理室336中の圧力は好ましくは、約1,070〜9,000psi(約7.78〜約62.1MPa)、より好ましくは約3,000psi(約20.7MPa)又はその近傍である。所望の量の処理化学薬品が処理室336にポンプ注入され、且つ所望の臨界条件に達したら、二酸化炭素用ポンプ334は処理室336の加圧を停止し、第一注入ポンプ359は処理室336中への処理化学薬品のポンプ注入を停止し、循環ポンプ340は超臨界二酸化炭素と処理化学薬品を含有する超臨界洗浄液の循環を開始する。好ましくはこの時点での処理室336内の圧力は、約3,000psi(約20.7MPa)である。超臨界処理溶液を循環させることによって、超臨界処理溶液がウェハの表面に迅速に補給され、それによってウェハ上の低k誘電体層の表面を不動態化する速度が増す。
低k層を有するウェハ(図示されない)を圧力室336内で処理する際、このウェハは機械的チャック、真空チャック、又は他の適切な把持若しくは固定手段を用いて把持される。本発明の実施形態によれば、ウェハは処理室336内で静止しているか、あるいは超臨界処理工程の間ずっと回転、旋転又は振盪される。
超臨界処理溶液が循環管路352及び処理室336を通って循環した後、一部の超臨界処理溶液を排ガス捕集容器344へ排出することによって部分的に処理室336を減圧して、処理室336内の条件を最初の超臨界条件の近くまで戻す。好ましくはこのような処理室336の減圧と加圧のサイクルを少なくとも1回繰り返してから、超臨界処理溶液を完全に処理室336から排ガス捕集容器344中へ排出する。処理室336を空にした後、二回目の超臨界処理工程を行うか、又は仕切り弁306を通してウェハを処理室336から取り出し、引き続いてこのウェハを第二の処理装置又はユニット(図示されない)で処理する。
図4は、本発明の方法による超臨界処理工程、例えば超臨界洗浄/不動態化処理工程についての、圧力と時間の関係の例示的グラフ400を示している。次に図3及び4の両方を参照すると、開始時間T0に先立って、表面にエッチング後残渣のあるウェハ構造物を処理室336内に仕切り弁306を通して入れ、この処理室336を密閉する。開始時間T0から最初の時間T1の間、処理室336を加圧する。処理室336が臨界圧Pc(1, 070psi(約7.38MPa))に達したら、先に説明したとおり、シリル化剤を含有する処理化学薬品を、好ましくは循環管路352経由で処理室336中に注入する。処理化学薬品として好ましくは、ヘキサメチルジシラザン(HMDS)、クロロトリメチルシラン(TMCS)、トリクロロメチルシラン(TCMS)、及びこれらを組み合わせたものがあり、これらはこの系中に注入される。所望の濃度の化学薬品を含有する超臨界処理溶液を生成するために、時間T1の間に、処理化学薬品の何回かの注入を行うことができる。本発明の実施形態によれば処理化学薬品はまた、1又は複数種のキャリア溶媒、アミン塩、フッ化水素、及び/又は他のフッ化物供給源を含むことができる。好ましくはこれら処理化学薬品の注入は、変曲点405によって示される約1100〜1200psi(約7.58〜約8.27MPa)に達したときに開始する。別法では、処理化学薬品を第二時間T2近傍又は第二時間T2が過ぎた後に、処理室336中に注入する。
処理室336が第二時間T2で動作圧力Popに達したのち、超臨界処理溶液を上記と同様に、循環管路352を用いて処理室336に通し、またウェハ上及び/又はその周辺に循環させる。動作圧力Popは好ましくは約3,000psi(約20.7MPa)であるが、その動作圧力が超臨界条件を維持するのに十分なものである限りどのような値であってもよい。次いで処理室336内の圧力を上昇させ、超臨界処理溶液を上記時間の間ずっと、循環管路352を用いて処理室336に通し、またウェハ上及び/又はその周辺に継続して循環させ、且つ/又は処理室内の超臨界処理溶液の濃度を下記のように注入プロセスによって調整する。
更に図4を参照すると、注入プロセスでは、時間T3の間ずっと、超臨界二酸化炭素の新鮮な原料を処理室336に供給し、一方で超臨界洗浄液及びその中に懸濁又は溶解したプロセス残渣を、抜出管路364経由で、処理室336から移動させる。注入プロセスが終わった後、次いで時間T4の間、処理室336は複数回の減圧と与圧のサイクルが繰り返される。好ましくはこれは、第一回目の排気で処理室336を動作圧力Popより低い約1100〜1200psi(約7.59〜約8.27MPa)まで排出し、次いで第一回目の圧力再投入で、処理室336内の圧力を1100〜1200psiから動作圧力Pop以上に上げることによって達成される。減圧と加圧のサイクルが終わったのち、次にこの処理室を大気圧まで完全に排出又は排気させる。ウェハ処理の場合は、新しいウェハ処理工程を開始するか、あるいはウェハを処理室から取り出し、処理を続けるために第二の処理装置又はユニットに移す。
グラフ400は例示の目的にのみ提供される。超臨界処理工程は、本発明の範囲から逸脱することなく、任意の数の異なる時間/圧力又は温度のプロフィールを用いることができることを、当業技術者ならば理解するはずである。更に、任意の回数の加圧と減圧のサイクルを伴う任意の数の洗浄及びすすぎ処理を考慮できる。また先に述べたように、超臨界処理溶液中の様々な化学薬品及び化学種の濃度を、当面の用途に合わせて簡単に調整でき、また超臨界処理工程内でいつでも変えることができる。本発明の好ましい実施形態によれば、図3〜4を参照して上記で述べたように、低k層は、約3分のサイクル中に1〜10回の不動態化工程で処理される。
図5は、パターン形成済み低k層及びその表面のエッチング後残渣を含む基板構造物を、超臨界洗浄・不動態化用溶液を用いて処理する工程の概略を示す、ブロック図500である。工程502において、エッチング後残渣を含む基板構造物を処理室内に入れ密封する。工程502で基板構造物を処理室内に入れ密封したのち、工程504において、処理室を超臨界CO2で加圧し、処理化学薬品をこの超臨界CO2に加えて、超臨界洗浄・不動態化用溶液を生成させる。好ましくはこの洗浄・不動態化用薬品は、少なくとも1種類の有機ケイ素化合物を含む。
工程504で超臨界洗浄・不動態化用溶液を生成したのち、工程506では、基板構造物由来の残渣の少なくとも一部を除去し、且つその残渣の除去後に露出した表面を不動態化するのに十分な時間、基板構造物をこの超臨界処理溶液中に置く。工程506の間、好ましくは超臨界洗浄・不動態化用溶液を処理室を通して循環させ、且つ/又はその他の方法で攪拌して、基板構造物の表面全体にわたって超臨界洗浄液を動かす。
更に図5を参照すると、工程506で基板構造物から残渣の少なくとも一部を除去したのち、工程508において処理室を部分的に排気する。工程508と504を結ぶ矢印によって示すように、基板構造物から残渣を除去し、露出した表面を不動態化するのに必要とされる工程504及び506を含む洗浄処理を任意の回数を繰り返す。本発明の実施形態による工程504及び506を含む処理は、新鮮な超臨界二酸化炭素、新鮮な処理化学薬品、又はその両方を使用する。別法では洗浄用化学薬品の濃度は、処理室を超臨界二酸化炭素で希釈することによって、追加の洗浄用化学薬品を加えることによって、又はこれらの組合せによって修正される。
更に図5を参照すると、処理工程504、506、508が終わったのち、工程510において、好ましくは基板構造物を超臨界すすぎ溶液で処理する。超臨界すすぎ溶液は、好ましくは超臨界CO2と1又は複数種の有機溶媒を含有するが、混じり気のない超臨界CO2であってもよい。
更に図5を参照すると、基板構造物を工程504、506、508で洗浄し、工程510ですすいだのち、工程512において、処理室を減圧し、基板構造物を処理室から取り出す。別法では基板構造物は、工程510と504を結ぶ矢印によって示すように、工程504、506、508、510を含む1又は複数回の追加の洗浄/すすぎ処理を通して処理が繰り返される。別法では、あるいは1又は複数の追加の洗浄/すすぎサイクルを通して基板構造物の処理を繰り返すことに加えて、工程510と508を結ぶ矢印によって示すように、基板構造物を工程512で処理室から取り出すのに先立って、この基板構造物を数回のすすぎサイクルで処理する。
先に述べたように、基板構造物上の低k層の不動態化に先立って、超臨界二酸化炭素と1又は複数種の溶媒、例えばメタノール、エタノール、n−ヘキサン及び/又はこれらを組み合わせたものとを含む超臨界溶液を用いて、この基板構造物を乾燥及び/又は前処理することもできる。また先に述べたように、超臨界二酸化炭素とn−ヘキサンを含有する超臨界溶液による低k層の前処理は、低k層表面のシリル基の被覆度を改良すると考えられる。また、エッチング後残渣及び/又はパターン形成された低k誘電体層を有するウェハを、任意の回数及び/又は順序の洗浄及び不動態化の工程で処理できることは、当業技術者には明らかなはずである。
低k材料の不動態化の方法を、主にエッチング後処理及び/又はエッチング後洗浄処理について本明細書中で述べたが、本発明の方法を用いて低k材料を直接に不動態化できることも、当業技術者ならば理解するはずである。更に、本発明の方法によって低k材料を処理する場合、超臨界すすぎ工程は必ずしも常に必要ではなく、幾つかの用途にとっては、不動態化用超臨界溶液による低k材料の処理に先立つ低k材料の単純な乾燥が適していることもあることを理解するはずである。
図2及び3に関して上記で詳細に述べたような超臨界処理システムを用いて、MSQ材料から形成された低k層を有する試料を、数種類の条件下でシリル化剤を用いて処理した。第一組の条件下では、低k層用材料の層を有する試料を、ヘキサンとTMCS約6%との溶液によって処理した。次いでこの試料を、約100℃で約1.0時間アニール処理した。第二組の条件下では、低k材料の層を有する試料を、約3,000psi(約20.7MPa)でTMCS約1.0%を含有する不動態化用超臨界二酸化炭素溶液によって処理した。更に第三組の条件下では、低k材料の層を有する試料を、約3,000psi、100℃でTMCS約1.0%を含有する不動態化用超臨界二酸化炭素溶液によって処理した。上記条件下で試料を処理した後、未処理試料及び各処理試料のフーリエ変換赤外スペクトルを収集した。収集されたフーリエ変換赤外スペクトルを比較したグラフを図6A及びBに示す。
図6Aは、波数約0〜4,000の赤外スペクトル領域のグラフを表す。ピーク611は、Si(CH33基のC−Hの伸縮に対応しており、シリル化剤で処理したすべての試料でかなり増加した。ピーク661はSi(CH33基のC−Hの曲げに対応しており、これもまたシリル化剤で処理したすべての試料でかなり増加した。図6Bは、処理試料のピーク661の増加をもっとはっきり図示するために、図6Aに示した波数約2,800から3,100までの赤外スペクトルの領域を拡大したグラフである。
更に図6Aを参照すると、ブロードピーク663はO−Hの伸縮に対応しており、処理試料では無視できるが、未処理試料では顕著である。図6A及びBに示したスペクトルから、TMCSがウェットベンチ(wet bench)条件及び超臨界処理条件の両方で、低k材料表面の不動態化に対して効果的なシリル化剤であることは明白である。
本発明は、低k表面を不動態化することができ、且つ他の処理工程、例えば超臨界処理環境でのパターン形成済み低k層のエッチング後残渣(これらには限らないが、例えばスピン・オン高分子反射防止被膜層やフォトポリマーなど)の除去工程と共存できるという利点を有する。また本発明によれば、パターン形成工程で失われた材料のk値の回復又は部分的回復が認められ、また長期間にわたって安定な低k層の生成を示した。
本発明の構造及び実施の原理の理解を容易にするために、細部を織り込んだ特定の実施形態に関して本発明を記述したが、特定の実施形態及びそれらの詳細に関する本明細書中の言及は、ここに添付した特許請求の範囲の範囲を限定することを意図するものではない。本発明の精神及び範囲から逸脱することなく、例示のこれら選択された実施形態において修正を行うことができることは、当業技術者には明らかなはずである。具体的には超臨界CO2は洗浄用の好ましい媒体であるが、他の超臨界媒体単独又はその超臨界CO2との組合せやフッ化水素アダクト類の組合せも考えられる。
本発明の実施形態による超臨界処理工程でシリル化剤として用いられる有機ケイ素構造の模式図である。 本発明の実施形態において、低k材料中のシラノール基と反応するシリル化剤を図式化したものである。 表面の不完全なシリル化を招く恐れのある低k材料の表面のシラノール基とシリル基間の立体障害を示す図である。 本発明の実施形態による超臨界ウェハ処理装置の簡単な図である。 本発明の実施形態による超臨界ウェハ処理装置の詳細な図である。 本発明の方法による超臨界洗浄、すすぎ又は硬化処理工程について、圧力と時間の関係を示すグラフである。 本発明の実施形態による酸化ケイ素に基づく低k層の処理の工程を略述した概略ブロック図である。 本発明の実施形態による不動態化剤処理の前後の、酸化ケイ素に基づく低k材料の赤外吸収スペクトルを示す図である。

Claims (30)

  1. (a)超臨界CO2と有機基を有する所定量のシリル化剤とを含有する超臨界処理溶液で、低k誘電体表面からエッチング後残渣を除去すること、及び
    (b)前記超臨界処理溶液を前記低k誘電体表面から除去すること、
    を含み、前記超臨界処理溶液からの前記有機基によって、前記低k誘電体表面を少なくとも部分的に不動態化する、低k誘電体表面の処理方法。
  2. 前記有機基がアルキル基を有する、請求項1に記載の方法。
  3. 前記有機基が、5個又はそれ未満の炭素原子を有する、請求項1に記載の方法。
  4. 前記シリル化剤が、ヘキサメチルジシラザン(HMDS)、クロロトリメチルシラン(TMCS)、及びトリクロロメチルシラン(TCMS)からなる群より選択される、請求項1に記載の方法。
  5. 前記超臨界処理溶液が更に、キャリア溶媒を含有する、請求項1に記載の方法。
  6. 前記キャリア溶媒が、N,N−ジメチルアセトアミド(DMAC)、γ−ブチロールアセトン(BLO)、ジメチルスルホキシド(DMSO)、炭酸エチレン(EC)、N−メチルピロリドン(NMP)、ジメチルピペリドン、炭酸プロピレン、及びアルコールからなる群より選択される、請求項5に記載の方法。
  7. 前記低k誘電体表面を、40〜200℃の範囲の温度に保つ、請求項1に記載の方法。
  8. 前記超臨界処理溶液による前記低k誘電体表面からのエッチング後残渣の除去が、前記低k誘電体表面上に前記超臨界処理溶液を循環させることを含む、請求項1に記載の方法。
  9. 前記超臨界処理溶液を、1,000〜9,000psi(約6.89〜約62.1MPa)の範囲の圧力に保つ、請求項1に記載の方法。
  10. 前記超臨界処理溶液による前記低k誘電体表面からのエッチング後残渣の除去に先立って、この低k誘電体表面を乾燥することを更に含む、請求項1に記載の方法。
  11. 前記低k誘電体表面の乾燥が、超臨界二酸化炭素及びn−ヘキサンを含有する超臨界乾燥液で、この低k誘電体表面を処理することを含む、請求項10に記載の方法。
  12. 前記低k誘電体表面が、酸化ケイ素を有する、請求項1に記載の方法。
  13. 前記低k誘電体表面が、炭素でドープした酸化物(COD)、スピン・オン・ガラス(SOG)、及びフッ化ケイ素ガラス(FSG)からなる群より選択される材料を有する、請求項1に記載の方法。
  14. (a)超臨界洗浄液によって、誘電体表面からエッチング後残渣を除去すること、及び
    (b)工程(a)と同時に、前記超臨界洗浄液中の不動態化剤によって前記誘電体表面を処理して、不動態化された誘電体表面を形成すること、
    を含む、誘電体表面の処理方法。
  15. 前記エッチング後残渣がポリマーを含む、請求項14に記載の方法。
  16. 前記ポリマーがフォトレジストポリマーである、請求項15に記載の方法。
  17. 前記フォトレジストポリマーが反射防止染料を含む、請求項16に記載の方法。
  18. 前記誘電体表面が酸化ケイ素を含む、請求項14に記載の方法。
  19. 前記誘電体表面が、炭素をドープした酸化物、スピン・オン・ガラス(SOG)、及びフッ化ケイ素ガラス(FSG)からなる群より選択される材料を有する、請求項14に記載の方法。
  20. 前記エッチング後残渣が反射防止膜を含む、請求項14に記載の方法。
  21. 前記反射防止膜が、有機スピン・オン反射防止材料を含む、請求項20に記載の方法。
  22. 前記不動態化剤が有機ケイ素化合物を含む、請求項14に記載の方法。
  23. 前記有機ケイ素化合物が、ヘキサメチルジシラザン(HMDS)、クロロトリメチルシラン(TMCS)、及びトリクロロメチルシラン(TCMS)からなる群より選択される、請求項22に記載の方法。
  24. (a)低k誘電材料の連続層を堆積させること、
    (b)前記低k誘電材料の連続層を覆って、フォトレジストマスクを形成すること、
    (c)前記フォトレジストマスクを通して前記低k誘電材料の連続層のパターン形成を行うことによって、エッチング後残渣をもたらすこと、及び
    (d)超臨界二酸化炭素と有機ケイ素不動態化剤とを含有する超臨界溶液を用いて、前記エッチング後残渣を除去すること、
    を含み、前記有機ケイ素不動態化剤からの前記有機基によって、前記低k誘電体表面を不動態化する、パターン形成された低k誘電体層の形成方法。
  25. (a)誘電材料の層のパターン形成を行って、所定のk値を有するパターン形成された誘電体層を形成すること、及び
    (b)前記所定のk値を有する前記パターン形成された誘電体層を不動態化剤を含有する超臨界処理溶液で不動態化して、k値が減少したパターン形成された低k誘電体層を形成すること、
    を含む、k値が減少した誘電体層の形成方法。
  26. 前記所定のk値が3.0超である、請求項25に記載の方法。
  27. 前記減少したk値が3.0未満である、請求項2に記載の方法。
  28. 前記所定のk値と前記減少したk値との間に1.0又はそれよりも大きい差がある、請求項2に記載の方法。
  29. 前記誘電体材料が、酸化ケイ素成分と炭化水素成分を含む、請求項25に記載の方法。
  30. 前記不動態化剤が、有機基を有するシリル化剤である、請求項2に記載の方法。
JP2003575185A 2002-03-04 2003-03-04 ウェハ処理において低誘電率材料を不動態化する方法 Expired - Fee Related JP4246640B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US36191702P 2002-03-04 2002-03-04
US36905202P 2002-03-29 2002-03-29
PCT/US2003/006813 WO2003077032A1 (en) 2002-03-04 2003-03-04 Method of passivating of low dielectric materials in wafer processing

Publications (3)

Publication Number Publication Date
JP2005519481A JP2005519481A (ja) 2005-06-30
JP2005519481A5 JP2005519481A5 (ja) 2006-04-27
JP4246640B2 true JP4246640B2 (ja) 2009-04-02

Family

ID=27807950

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003575185A Expired - Fee Related JP4246640B2 (ja) 2002-03-04 2003-03-04 ウェハ処理において低誘電率材料を不動態化する方法

Country Status (6)

Country Link
US (1) US7270941B2 (ja)
EP (1) EP1481284A4 (ja)
JP (1) JP4246640B2 (ja)
CN (1) CN1296771C (ja)
AU (1) AU2003220039A1 (ja)
WO (1) WO2003077032A1 (ja)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
JP5307963B2 (ja) * 2000-06-23 2013-10-02 ハネウェル・インターナショナル・インコーポレーテッド 誘電フィルム及び材料における疎水性を回復する方法
DE10162443A1 (de) * 2001-12-19 2003-07-03 Bayer Ag Verfahren zur Herstellung von dielektrischen Schichten unter Verwendung multifunktioneller Carbosilane
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US20050227187A1 (en) * 2002-03-04 2005-10-13 Supercritical Systems Inc. Ionic fluid in supercritical fluid for semiconductor processing
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
SG102047A1 (en) * 2002-05-02 2004-02-27 Dso Nat Lab Ladder-like silicone polymers
US20040050406A1 (en) * 2002-07-17 2004-03-18 Akshey Sehgal Compositions and method for removing photoresist and/or resist residue at pressures ranging from ambient to supercritical
EP1588411A4 (en) * 2003-01-25 2008-10-01 Honeywell Int Inc REPAIR AND RESTORATION OF DAMAGED DIELECTRIC MATERIALS AND FILMS
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20040231707A1 (en) * 2003-05-20 2004-11-25 Paul Schilling Decontamination of supercritical wafer processing equipment
US7138333B2 (en) * 2003-09-05 2006-11-21 Infineon Technologies Ag Process for sealing plasma-damaged, porous low-k materials
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7141496B2 (en) * 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP4903373B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
SG141441A1 (en) * 2004-09-15 2008-04-28 Honeywell Int Inc Treating agent materials
US7307019B2 (en) * 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
EP1812961A1 (en) * 2004-10-27 2007-08-01 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
US20060185693A1 (en) * 2005-02-23 2006-08-24 Richard Brown Cleaning step in supercritical processing
US20060185694A1 (en) * 2005-02-23 2006-08-24 Richard Brown Rinsing step in supercritical processing
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7550075B2 (en) * 2005-03-23 2009-06-23 Tokyo Electron Ltd. Removal of contaminants from a fluid
US20060219268A1 (en) * 2005-03-30 2006-10-05 Gunilla Jacobson Neutralization of systemic poisoning in wafer processing
US20060225769A1 (en) * 2005-03-30 2006-10-12 Gentaro Goshi Isothermal control of a process chamber
US7442636B2 (en) * 2005-03-30 2008-10-28 Tokyo Electron Limited Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060223899A1 (en) * 2005-03-30 2006-10-05 Hillman Joseph T Removal of porogens and porogen residues using supercritical CO2
CN101198683B (zh) * 2005-04-15 2011-09-14 高级技术材料公司 使用溶剂体系内的自组装单层除去高剂量离子注入光致抗蚀剂
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070000519A1 (en) * 2005-06-30 2007-01-04 Gunilla Jacobson Removal of residues for low-k dielectric materials in wafer processing
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
TWI291714B (en) * 2005-12-09 2007-12-21 Ind Tech Res Inst Supercritical fluid washing method and system thereof
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP5208405B2 (ja) * 2005-12-27 2013-06-12 東京エレクトロン株式会社 基板の処理方法及びプログラム
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US20090045164A1 (en) * 2006-02-03 2009-02-19 Freescale Semiconductor, Inc. "universal" barrier cmp slurry for use with low dielectric constant interlayer dielectrics
US7803719B2 (en) * 2006-02-24 2010-09-28 Freescale Semiconductor, Inc. Semiconductor device including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprising multiple organic components for use in a semiconductor device
US20090301867A1 (en) * 2006-02-24 2009-12-10 Citibank N.A. Integrated system for semiconductor substrate processing using liquid phase metal deposition
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
JP5138291B2 (ja) * 2006-07-05 2013-02-06 東京エレクトロン株式会社 アモルファスカーボン膜の後処理方法およびそれを用いた半導体装置の製造方法
JP4977508B2 (ja) 2007-03-26 2012-07-18 アイメック ダメージの入った多孔性誘電体の処理方法
CN102046699B (zh) * 2008-05-26 2012-09-05 巴斯夫欧洲公司 制备多孔材料的方法和通过该方法制备的多孔材料
JP5764788B2 (ja) * 2008-08-07 2015-08-19 株式会社ユーテック 反応装置及び反応方法
JP5663160B2 (ja) * 2009-09-28 2015-02-04 東京応化工業株式会社 表面処理剤及び表面処理方法
JP5782279B2 (ja) * 2011-01-20 2015-09-24 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5611884B2 (ja) * 2011-04-14 2014-10-22 東京エレクトロン株式会社 エッチング方法、エッチング装置および記憶媒体
CN103145346A (zh) * 2011-12-06 2013-06-12 中国科学院过程工程研究所 一种改变光滑玻璃表面润湿性能的方法及其对玻璃微通道表面改性的应用
US9460997B2 (en) 2013-12-31 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for semiconductor devices
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR101828437B1 (ko) * 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.
KR20200015279A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 나노결정질 그래핀의 형성방법 및 이를 포함하는 소자
JP2021086857A (ja) * 2019-11-25 2021-06-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Family Cites Families (131)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) 1948-04-13 Method of rendering glass
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
US2993449A (en) 1959-03-09 1961-07-25 Hydratomic Engineering Corp Motor-pump
US3135211A (en) 1960-09-28 1964-06-02 Integral Motor Pump Corp Motor and pump assembly
DE1965723B2 (de) 1969-01-06 1972-12-07 The Hobart Mfg Co , Troy, Ohio (V St A) Hydraulische steuereinrichtung fuer waschmaschinen
US3642020A (en) 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
GB1392822A (en) 1971-03-02 1975-04-30 Comitato Nazionale Per Lenergi Extraction of metals from solutions
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US4730630A (en) 1986-10-27 1988-03-15 White Consolidated Industries, Inc. Dishwasher with power filtered rinse
DE3861050D1 (de) 1987-05-07 1990-12-20 Micafil Ag Verfahren und vorrichtung zum extrahieren von oel oder polychloriertem biphenyl aus impraegnierten elektrischen teilen mittels eines loesungsmittels sowie destillation des loesungsmittels.
DE3725565A1 (de) 1987-08-01 1989-02-16 Peter Weil Verfahren und anlage zum entlacken von gegenstaenden mit einem tauchbehaelter mit loesungsmittel
US5105556A (en) 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
WO1989004858A1 (en) 1987-11-27 1989-06-01 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
JP2663483B2 (ja) 1988-02-29 1997-10-15 勝 西川 レジストパターン形成方法
US5185296A (en) 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
EP0409972B1 (en) 1989-02-16 1992-10-21 PAWLISZYN, Janusz B. Apparatus and method for delivering supercritical fluid
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (ja) 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5196134A (en) 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (ja) 1990-12-12 1998-08-06 富士写真フイルム株式会社 安定化処理液及びハロゲン化銀カラー写真感光材料の処理方法
US5306350A (en) 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
CA2059841A1 (en) 1991-01-24 1992-07-25 Ichiro Hayashida Surface treating solutions and cleaning method
US5185058A (en) 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
DE59204395D1 (de) 1991-05-17 1996-01-04 Ciba Geigy Ag Verfahren zum Färben von hydrophobem Textilmaterial mit Dispersionsfarbstoffen aus überkritischem CO2.
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5320742A (en) 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
GB2259525B (en) 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
EP0543779A1 (de) 1991-11-20 1993-05-26 Ciba-Geigy Ag Verfahren zum optischen Aufhellen von hydrophobem Textilmaterial mit dispersen optischen Aufhellern in überkritischem CO2
KR930019861A (ko) 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 조밀상 기체를 이용한 코팅 방법
SK74094A3 (en) 1991-12-18 1996-01-10 Schering Corp Method for removing residual additives from elastomeric articles
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
KR0170421B1 (ko) * 1992-04-16 1999-03-30 이노우에 아키라 스핀 드라이어
JPH0613361A (ja) 1992-06-26 1994-01-21 Tokyo Electron Ltd 処理装置
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5285352A (en) 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5294261A (en) 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
JP3356480B2 (ja) 1993-03-18 2002-12-16 株式会社日本触媒 無漏洩ポンプ
US5403665A (en) 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (ja) 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
TW274630B (ja) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
DE69523208T2 (de) 1994-04-08 2002-06-27 Texas Instruments Inc Verfahren zur Reinigung von Halbleiterscheiben mittels verflüssigter Gase
JP3320549B2 (ja) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 被膜除去方法および被膜除去剤
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5482564A (en) 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US6262510B1 (en) * 1994-09-22 2001-07-17 Iancu Lungu Electronically switched reluctance motor
US5501761A (en) 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
JP3277114B2 (ja) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション 陰画調レジスト像の作製方法
DE19506404C1 (de) 1995-02-23 1996-03-14 Siemens Ag Verfahren zum Freiätzen (Separieren) und Trocknen mikromechanischer Komponenten
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5738976A (en) * 1995-03-16 1998-04-14 Shin-Etsu Chemical Co., Ltd. Photo-curable organopolysiloxane composition and a method for producing a (meth) acryloyloxyl group-containing organopolysiloxane used therein
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (ja) 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
US5783495A (en) * 1995-11-13 1998-07-21 Micron Technology, Inc. Method of wafer cleaning, and system and cleaning solution regarding same
US6380105B1 (en) * 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5679169A (en) 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6085762A (en) * 1998-03-30 2000-07-11 The Regents Of The University Of California Apparatus and method for providing pulsed fluids
US6465370B1 (en) * 1998-06-26 2002-10-15 Infineon Technologies Ag Low leakage, low capacitance isolation material
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
JP3248492B2 (ja) * 1998-08-14 2002-01-21 日本電気株式会社 半導体装置及びその製造方法
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US7044143B2 (en) * 1999-05-14 2006-05-16 Micell Technologies, Inc. Detergent injection systems and methods for carbon dioxide microelectronic substrate processing systems
US6436824B1 (en) * 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
TW510807B (en) * 1999-08-31 2002-11-21 Kobe Steel Ltd Pressure processing device
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
JP2001291713A (ja) * 2000-04-07 2001-10-19 Canon Sales Co Inc 成膜方法及び半導体装置
JP5307963B2 (ja) * 2000-06-23 2013-10-02 ハネウェル・インターナショナル・インコーポレーテッド 誘電フィルム及び材料における疎水性を回復する方法
US6319858B1 (en) * 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
US6486078B1 (en) * 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
JP2002237481A (ja) * 2001-02-09 2002-08-23 Kobe Steel Ltd 微細構造体の洗浄方法
US6562146B1 (en) * 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6583067B2 (en) * 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
JP3978023B2 (ja) * 2001-12-03 2007-09-19 株式会社神戸製鋼所 高圧処理方法
US7326673B2 (en) * 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
US6848458B1 (en) * 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US7169540B2 (en) * 2002-04-12 2007-01-30 Tokyo Electron Limited Method of treatment of porous dielectric films to reduce damage during cleaning
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
US6669785B2 (en) * 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20030217764A1 (en) * 2002-05-23 2003-11-27 Kaoru Masuda Process and composition for removing residues from the microstructure of an object
US6989358B2 (en) * 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20040177867A1 (en) * 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US7250374B2 (en) * 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing

Also Published As

Publication number Publication date
US7270941B2 (en) 2007-09-18
US20030198895A1 (en) 2003-10-23
CN1296771C (zh) 2007-01-24
CN1656425A (zh) 2005-08-17
WO2003077032A1 (en) 2003-09-18
EP1481284A4 (en) 2006-10-25
JP2005519481A (ja) 2005-06-30
EP1481284A1 (en) 2004-12-01
AU2003220039A1 (en) 2003-09-22

Similar Documents

Publication Publication Date Title
JP4246640B2 (ja) ウェハ処理において低誘電率材料を不動態化する方法
US7553769B2 (en) Method for treating a dielectric film
US7387868B2 (en) Treatment of a dielectric layer using supercritical CO2
US7169540B2 (en) Method of treatment of porous dielectric films to reduce damage during cleaning
US7345000B2 (en) Method and system for treating a dielectric film
US20050227187A1 (en) Ionic fluid in supercritical fluid for semiconductor processing
US7083991B2 (en) Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP4424998B2 (ja) 多孔質誘電体膜の洗浄中のダメージを低減する処理方法
US7977121B2 (en) Method and composition for restoring dielectric properties of porous dielectric materials
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
TW200305213A (en) Method of passivating of low dielectric materials in wafer processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060303

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060303

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080909

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081209

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090108

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120116

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150116

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees