JP2012231164A - 誘電フィルム及び材料における疎水性を回復する方法 - Google Patents

誘電フィルム及び材料における疎水性を回復する方法 Download PDF

Info

Publication number
JP2012231164A
JP2012231164A JP2012152710A JP2012152710A JP2012231164A JP 2012231164 A JP2012231164 A JP 2012231164A JP 2012152710 A JP2012152710 A JP 2012152710A JP 2012152710 A JP2012152710 A JP 2012152710A JP 2012231164 A JP2012231164 A JP 2012231164A
Authority
JP
Japan
Prior art keywords
film
dielectric film
silica
dielectric
damaged
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012152710A
Other languages
English (en)
Inventor
P Hacker Nigel
ハッカー,ナイジェル・ピー
Thomas Michael
トーマス,マイケル
S Drage James
ドレイジ,ジェイムズ・エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of JP2012231164A publication Critical patent/JP2012231164A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Compounds (AREA)

Abstract

【課題】誘電率の増加、シラノールの増加、または有機部分の減少、の少なくとも一つによって損傷した、基体上のシリカ誘電フィルムの損傷を回復する。
【解決手段】基体上のそのような損傷シリカ誘電フィルムを表面改質組成物と接触させて、該損傷シリカ誘電フィルムの誘電率の減少、該損傷シリカ誘電フィルムの誘電率のシラノールの減少、該損傷シリカ誘電フィルムの誘電率の有機部分の増加、の1つ以上をもたらす。このとき、該損傷シリカ誘電フィルムに疎水性を与える。
【選択図】なし

Description

発明の分野
本発明は、シリカ誘電フィルムの表面に疎水性を回復するための方法および組成物を提供する。これらのフィルムは、フィルムにおける低い、かつ安定した誘電性を確実にするために、集積回路(“ICs”)などの半導体装置製造において絶縁材として用いられる。
発明の背景
集積回路におけるひとつひとつのサイズは0.25μm以下に近いので、連続RC遅延、電力消費および信号漏話に関する問題の解決がますます難しくなっている。インタレベル誘電体(ILD)およびインタメタル誘電体(IMD)用途の低誘電率材料の集積化が、これらの問題解決を助けると信じられている。低誘電率材料を集積回路に適用しようという試みが以前からあったが、加工方法および集積回路製造に用いられるそのような材料の誘電性および機械的性質の両方の最適化には、当業界ではさらなる改良の必要が長年に渡って存在する。
シリカ誘電フィルム
低誘電率を有する1つの材料は、シリカである。特に、シリカは発泡誘電体として適用できる。可及的最低誘電値のために、シリカ誘電体に空気を導入する。空気は1の誘電率を有し、空気がナノ多孔質もしくはナノメートル規模の気孔もしくは気孔構造の形でシリカ誘電体に導入されると、比較的低い誘電率(“k”)が達成される。
ナノ多孔質シリカは魅力的であるが、その理由は、それが、現行のスピンオン
ガラス(“SOG”)および化学蒸着(“CVD”)シリカSiO2に用いられているような、有機置換シラン、例えば、テトラメトキシシラン(“TMOS”)および/またはテトラエトキシシラン(“TEOS”)などの類似の前駆体を用いるからである。
ナノ多孔質シリカフィルムは、以前から多くの方法により成形加工されてきた。例を挙げるだけでも、適切な珪素系前駆体組成物および溶媒除去によりナノ多孔質シリカ誘電フィルムを成形する方法は、例えば、下記共有米国特許に記載されている:その内容すべてが本明細書に取り込まれる、1998年4月3日に出願されたシリアル第09/054,262号、1998年7月7日に出願された同09/111,083号、1998年8月27日に出願された同60/098,068号、1998年8月31日に出願された同60/098,516号、1998年3月20日に出願された同09/044,831号、1998年3月20日に出願された同09/044,798号および1999年6月9日に出願された同09/328,648号。
概して言えば、例えば、1つ以上の除去可能な溶媒を含むスピンオンガラス組成物の形の前駆体は基体に適用され、ついで重合され、ナノメートル規模の気孔を含む誘電フィルムを成形するような方法で溶媒除去に供される。
そのようなナノ多孔質フィルムを成形するとき、例えば、それにおいて前駆体はスピンコーティングにより基体に適用されるが、フィルムコーティングは、典型的には酸性もしくは塩基性触媒および水で触媒されて、初期の加熱工程の間に重合/ゲル化(「熟成」)を起こす。ついでフィルムを、例えば、フィルムを1つ以上の高温加熱工程に供することにより硬化させて、特に残留溶媒を除去し、必要に応じて重合プロセスを完了する。他の硬化方法には、フィルムを、例えば、紫外線、電子ビーム、マイクロ波エネルギーなどの輻射エネルギーに供することが含まれる。
その内容すべてが本明細書に取り込まれる、ともに1999年4月14日に出願された共有出願シリアル第09/291,510号および第09/291,511号は、珪素系前駆体組成物および前駆体組成物に存在する1つ以上のポリマーもしくはオリゴマーを崩壊もしくは蒸発させることによりナノ多孔質シリカ誘電フィルムを成形する方法を提供している。2000年5月5日に出願された共有出願シリアル第09/566,287号は、珪素系前駆体組成物および前駆体組成物に存在する1つ以上の化合物もしくはポリマーを崩壊もしくは蒸発させることによりナノ多孔質シリカ誘電フィルムを成形する方法を提供している。米国特許第5,895,263号は、分解性ポリマーおよび有機ポリシリカを含む、すなわち、凝縮もしくは重合珪素ポリマーを含む組成物を適用し、組成物を加熱してさらにポリシリカを凝縮させ、分解性ポリマーを分解して多孔質誘電層を成形することにより、基体、例えば、ウェファーの上にナノ多孔質シリカ誘電フィルムを成形することを記載している。
前駆体の基体への適用、熟成、硬化、平面化およびフィルムを疎水性にするプロセスは、例えば、なかでも、1999年9月9日に出願された共有米国シリアル第09/392,413号,1998年4月3日に出願された同09/054,262号および1998年8月27日に出願された同09/140,855号により記載されている。
半導体製造プロセスは疎水基を除去
本明細書に記述されるナノ多孔質シリカ誘電フィルムなどのシリカ系材料がシラノール基を含む気孔構造の表面などの表面とナノ多孔質フィルムを成形するとき、望ましくない性質が生じる。シラノールおよびシラノールが空気から吸着できる水は、電界では非常に偏光性であり、したがってフィルムの誘電率を上げる。
ナノ多孔質フィルムを実質的にシラノールおよび水から解放するために、2つの戦略のうち1つが用いられる。
(A)1つの方法において、有機試薬、すなわち、ヘキサメチルジシラザンもしくはメチルトリアセトキシシランなどの表面改質剤を、任意にフィルムの気孔中に導入して、有機疎水性キャッピング基、例えば、トリメチルシリル基を添加する。
(B)フィルムを、さらなる加工なしで疎水性シリカ誘電フィルムを有利に製造する出発試薬もしくは前駆体を含む前駆体組成物から製造する。
これらのプロセスは、例えば、その内容すべてが本明細書に取り込まれる、1999年8月23日に出願された共有米国シリアル第09/378,705号、1998年8月27日に出願された同09/140,855号、1999年1月21日に出願された同09/234,609号および09/235,186号により記載されている。
エッチングおよびプラズマは疎水官能基を除去
半導体製造プロセス中のナノ多孔質シリカ誘電フィルムへの損傷は、攻撃的なプラズマおよび/またはエッチング試薬の誘電フィルム中のエッチング溝およびバイアへの適用から起こる。プラズマは、半導体装置(以下、一般に集積回路もしくは“ICs”と称する)成形加工中にフォトレジストフィルムを除去するためにも用いられる。用いられるプラズマは、典型的には酸素、弗素、水素もしくは窒素からなる(遊離原子、イオンおよび/または基の形で)。
溝、バイア、エッチングおよび/またはフォトレジスト除去中にこれらのプラズマに曝露される誘電フィルムは、容易に崩壊もしくは損傷される。多孔質誘電フィルムは非常に高い表面積を有し、したがってプラズマ損傷を特に受けやすい。特に、有機分(Si原子に結合するメチル基など)を有するシリカ系誘電フィルムは、酸素プラズマにより容易に崩壊される。有機基はCO2中に酸化され、シラノールもしくはSi-OH基は、有機基が以前に存在した誘電体表面の上にとどまる。多孔質シリカフィルムは、疎水性であるためにはそのような有機基(気孔表面の)に依存する。疎水性の損失は、誘電率を上げる(そのようなフィルムの低誘電率は、そのような材料の主要所望特性である)。
湿式化学処理も、溝もしくはバイアエッチングの後残留物残り物を除去するためにIC製造で用いられる。使用される化学薬品もしばしば非常に攻撃的なので、シリカ系誘電フィルム、特に多孔質シリカフィルム中の有機基を攻撃して除去する。さらにまた、この損傷は、フィルムに疎水性を失わせる。湿式化学エッチング剤には、例えば、N‐メチルピロリジノン、ジメチルホルムアミド、ジメチルアセトアミドなどのアミド類;エタノールおよび2‐プロパノールなどのアルコール類;エタノールアミンなどのアルコールアミン類;トリエチルアミンなどのアミン類;エチレンジアミンおよびN,N‐ジエチルエチレンジアミンなどのジアミン類;ジエチレントリアミンなどのトリアミン類;エチレンジアミンテトラ酢酸“EDTA”などのジアミン酸類;酢酸および蟻酸などの有機酸類;テトラメチルアンモニウムアセテートなどの有機酸のアンモニウム塩類;硫酸、燐酸、弗化水素酸などの無機酸類;弗化アンモニウムなどのフルオリド塩類;水酸化アンモニウムおよびテトラメチル水酸化アンモニウムなどの塩基類;およびヒドロキシルアミン;当業界で既知のエッチング剤をいくつか挙げると、EKC 505、525、450、265、270および630(EKC Corp.、カリフォルニア州ヘイワード)ならびにACT‐CMIおよびACT‐690(Ashland Chemical、カリフォルニア州ヘイワード)などの後エッチングウェットクリーニングのために開発された市販の製剤が含まれる。
新たに製造されたシリカ誘電フィルムが先ず第一に疎水性であることを保証するより急速かつ有効な方法に対する需要もある。これまで、前述のように、そのような方法はすべて液体もしくは蒸気相表面改質剤を用いてきた。プラズマ相表面改質剤および/または方法の報告はない。
本発明の要旨
上記問題を解決し、かつ他の改良を与えるために、本発明は低誘電率(“k”)、例えば、典型的に約1.5〜約3.8の範囲の誘電率を有するナノ多孔質シリカ誘電フィルム、ならびにこれらの誘電フィルムを製造する新規な方法を提供する。概して言えば、本発明は、半導体もしくはIC装置を成形加工するプロセス中に基体上に存在するシリカ誘電フィルムに疎水性を与える方法を提供する。以下に例示されるように、フィルムは好ましくはメチルヒドリドシロキサン前駆体から成形されるが、市販のスピンオンガラス(SOG)など、他の当業界で既知の珪素系前駆体も容易に用いられる。
典型的には、シリカ誘電フィルムへの損傷は、以前に存在したフィルムの疎水性を実質的に損傷もしくは除去するような方法で少なくとも1つのエッチング剤もしくは灰化試薬と接触することにより生じる。IC成形加工に用いられる当業界で既知のエッチング剤には、例えば、下記の型の薬剤を1つ以上含む組成物が含まれる:N‐メチルピロリジノン、ジメチルホルムアミド、ジメチルアセトアミドなどのアミド類;エタノール、2‐プロパノールなどのアルコール類;エタノールアミンおよびエチレンジアミンなどのアルコールアミン類;トリエチルアミンなどのアミン類;N,N‐ジエチルエチレンジアミンなどのジアミン類;ジエチレントリアミンなどのトリアミン類;エチレンジアミンテトラ酢酸などのアミン酸類;酢酸、蟻酸などの有機酸類;テトラメチルアンモニウムアセテートなどの有機酸のアンモニウム塩類;硫酸、燐酸、弗化水素酸などの無機酸類;弗化アンモニウムなどのフルオリド塩類;水酸化アンモニウムおよびテトラメチル水酸化アンモニウムなどの塩基類;およびヒドロキシルアミン;EKC 505、525、450、265、270および630(EKC Corp.、カリフォルニア州ヘイワード)ならびにACT‐CMIおよびACT‐690(Ashland Chemical、カリフォルニア州ヘイワード)などの後エッチングウェットクリーニングのために開発された市販の製剤、ならびにこれらの組合せ。灰化剤(ashing reagemt)には、酸素誘導プラズマなどが含まれる。
本発明の方法には、限定はされないが、(a)損傷シリカ誘電フィルムを、シリカ誘電フィルムを疎水性にするのに有効な濃度で、かつ期間、表面改質組成物と接触させ;そして(b)未反応表面改質組成物、反応生成物およびこれらの混合物を除去する工程が含まれる。表面改質組成物は、損傷シリカ誘電フィルムからシラノール部分を除去するのに適する少なくとも1つの表面改質剤、すなわち、化合物もしくはその荷電誘導体を含む。
任意に、エッチング剤損傷ナノ多孔質シリカ誘電フィルムは、工程(a)に先立ってウェットクリーニングに供される。
1つの実施態様において、表面改質組成物には、下記のような化学式を有する少なくとも1つの化合物を含む:R3SiNHSiR3、RxSiCly、RxSi(OH)y、R3SiOSiR3、RxSi(OR)y、MpSi(OH)[4‐p]、RxSi(OCOCH3)yおよびこれらの組合せ;式中、xは1〜3の範囲の整数であり;yはy=4‐xとなるような1〜3の範囲の整数であり;pは2〜3の範囲の整数であり;Rはそれぞれ独立して水素および疎水性有機部分から選ばれ;Mはそれぞれ独立して選ばれた疎水性有機部分であり;そしてRおよびMは同じもしくは異なり得る。
別の特別な実施態様において、表面改質組成物は、下記薬剤もしくは化合物の少なくとも1つを含む:アセトキシトリメチルシラン、アセトキシシラン、ジアセトキシジメチルシラン、メチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジフェニルジアセトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、トリメチルエトキシシラン、メチルトリメトキシシラン、ジメチルジメトキシシラン、トリメチルメトキシシラン、メチルトリクロロシラン、ジメチルジクロロシラン、トリメチルクロルシラン、メチルシラン、ジメチルシラン、トリメチルシラン、ヘキサメチルジシラザン、2‐トリメチルシロキシペント‐2‐エン‐4‐オン、n‐(トリメチルシリル)アセトアミド、2‐(トリメチルシリル)酢酸、n‐(トリメチルシリル)イミダゾール、トリメチルシリルプロピオレート、トリメチルシリル(トリメチルシロキシ)‐アセテート、ノナメチルトリトリシラザン、ヘキサメチルジシロキサン、トリメチルシラノール、トリエチルシラノール、トリフェニルシラノール、t‐ブチルジメチルシラノール、ジフェニルシランジオール、トリメトキシシラン、トリエトキシシラン、トリクロロシランおよびこれらの組合せ。以下に例示されるように、表面改質剤は化合物メチルトリアセトキシシランである。
有利には、本発明の方法は、ナノ多孔質シリカ誘電フィルム、他の発泡シリカ誘電体もしくは単に非多孔質シリカ誘電体であるシリカ誘電フィルムに容易に適用される。さらに別の実施態様において、表面改質剤は任意に溶媒を含む。適切な溶媒には、例えば、ケトン類、エーテル類、エステル類、炭化水素類およびこれらの組合せが含まれる。
表面改質組成物は、液体、蒸気もしくは気体、および/またはプラズマとして損傷シリカ誘電フィルムと接触する。プラズマの形のときは、プラズマをシラン化合物、炭化水素、アルデヒド、エステル、エーテルおよび/またはこれらの組合せから誘導できる。
本発明の方法には、新たに適用されたフィルムであれ、成形加工プロセスもしくは試薬により損傷されたものであれ、基体の上に存在するシリカ誘電フィルムに疎水性を与える方法が含まれるものと企図される。方法は下記工程を含む:(a)シリカ誘電フィルムを、シリカ誘電フィルムを疎水性にするのに有効な濃度で、かつ期間、少なくとも1つの表面改質剤を含むプラズマと接触させ;そして(b)未反応表面改質組成物、反応生成物およびこれらの混合物を除去する;前記表面改質組成物は、損傷シリカ誘電フィルムからシラノール部分を除去するのに適する少なくとも1つの表面改質剤を含む。
前記方法および試薬を用いて製造された半導体もしくはIC装置も提供される。
図1Aはフォトレジストパッター(左)およびエッチングプロセスから得られたトポロギー(右)を有する、窒化珪素層上のナノ多孔質シリカ誘電フィルムの断面模式図を示す。図1Bは銅導体パターンおよびTaバリヤー(右)を有する、窒化珪素層上のナノ多孔質シリカ誘電フィルムの断面模式図を示す。図1Cは化学機械的研磨後の、1Bと同じパターンを示す。 図2は実施例10により製造されたウェファーの上面を示す。
本発明の詳しい記載
したがって、上記背景討議で記載したように、いくつかの試薬および方法が、フィルム成形中もしくは直後のナノ多孔質シリカ誘電フィルムの気孔表面疎水性を強化することに使用される共有の同時係属中の特許出願により記載された。いくつかの表面改質試薬が、新たに認識された問題、その後の製造工程および試薬による半導体装置の一部として成形されたナノ多孔質シリカ誘電フィルムへの損傷という問題解決に有用であることが、思いがけなくも見出された。
本発明の範囲をよりよく理解するために、「シリカ」という語が用いられるときに“SiO2”官能基が特別に言及されない限り、例えば、ナノ多孔質誘電フィルムを言及して本明細書で用いられる「シリカ」という語は、有機もしくは無機ガラスベース材料、例えば、1つ以上の珪素系誘電前駆体を含む適宜の出発材料から本発明の方法により調製された誘電フィルムを言及することが意図されるものと理解すべきである。本明細書における単数の語の使用はそのように限定されることが意図されているのではなく、必要なら、複数も包含するということも理解すべきである;例えば、本発明の典型的なプロセスは「フィルム」に適用および製造するように記載し得るが、所望により、多くのフィルムを、記載され、例示され、かつ特許請求されるプロセスにより製造することができることが意図される。シリカ誘電体に関して本明細書で用いられる「フィルム」という語は、そのようなシリカ誘電体が任意に用いられる他の適宜の形をいずれも包含するものと意図される。
さらには、「熟成」という語は、例えば、水および/または酸もしくは塩基触媒への曝露により誘導される堆積後の基体上の結合シリカ系前駆体組成物のゲル化もしくは重合を言及する。ゲル化は、任意に発泡、すなわち、ナノ多孔質誘電フィルムおよび/または非多孔質誘電フィルムを成形するために選ばれた前駆体に適用される。ゲル化は、前記架橋および/または溶媒の蒸発により達成できる。
「硬化」という語は、ゲル化後、典型的には熱の適用によるフィルムの固化および乾燥を言及するが、例えば、電子ビーム、紫外線などの形でのエネルギー適用によるなど、他の当業界で既知の硬化の形を用いてもよい。
本明細書における「薬剤」という語は、他に断りがなければ、「試薬」という語と同意語であるとみなすべきである。
A.誘電フィルムの調製方法
誘電フィルム、例えば、インタレベル誘電コーティングは、スピンコーティング、ディップコーティング、はけ塗り、ローリング、噴霧および/または化学蒸着など、当業界で既知のいずれかの方法により、基体に適用される適宜の前駆体から調製される。前駆体は、有機ポリマー前駆体、珪素系前駆体および/またはこれらの組合せであり得る。ついで、コーティングを加工して、所望の型およびコンシステンシーの誘電コーティングを達成するが、加工工程は、選ばれた前駆体および所望の最終生成物に適するように選ばれる。
典型的には、ナノ多孔質シリカ誘電フィルムなどの珪素系誘電フィルムは、適宜の珪素系誘電前駆体、例えば、1つ以上の溶媒および/または他の成分とブレンドされたスピンオンガラス(“S.O.S.)材料から調製される。誘電フィルムを成形するための基材適用に先立って、基体表面を、任意に標準的な当業界で既知のクリーニング方法によりコーティング用に準備する。
その内容すべてが本明細書に取り込まれる2000年4月14日に出願された共有シリアル第09/549,659号に詳細に記載されるように、前駆体を基体表面に適用した後、塗布表面を、任意に平面化物体と、すなわち、圧縮工具の形で、所望のパターンを基体表面上の誘電コーティングもしくはフィルムに移すのに有効な時間かつ圧力で、接触させる。
B.表面改質方法および試薬
試薬
適切な表面改質組成物は、疎水性にすることが所望されるシリカ誘電フィルムの表面からシラノール基を除去できる1つ以上の表面改質剤を含む。例えば、表面改質剤は、下記化学式I(1‐8)およびこれらの組合せからなる群から選ばれる化学式を有する化合物である:
(1)R3SiNHSiR3、(2)RxSiCly、(3)RxSi(OH)y、(4)R3SiOSiR3、(5)RxSi(OR)y、(6)MpSi(OH)[4-p]、(7)RxSi(OCOCH3)y、(8)RxSiHy
さらに、xは1〜3の範囲の整数であり;yはy=4‐xとなるような1〜3の範囲の整数であり;pは2〜3の範囲の整数であり;Rはそれぞれ独立して水素および疎水性有機部分から選ばれ;Mはそれぞれ独立して選ばれた疎水性有機部分であり;そしてRおよびMは同じもしくは異なり得る。RおよびM基は、好ましくはアルキル、アリールおよびこれらの組合せからなる有機部分の群から独立して選ばれる。
アルキル部分は置換もしくは未置換であり、ストレートアルキル、枝分れアルキル、環状アルキルおよびこれらの組合せからなる群から選ばれ、該アルキル部分は、C1〜約C18のサイズの範囲にある。アリール部分は置換もしくは未置換であり、C5〜約C18のサイズの範囲にある。好ましくは、表面改質剤はアセトキシシランもしくは、例えば、以下のようなモノマー化合物である:アセトキシトリメチルシラン、アセトキシシラン、ジアセトキシジメチルシラン、メチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジフェニルジアセトキシシラン、メチルトリエトキシシラン、ジメチルジエトキシシラン、トリメチルエトキシシラン、メチルトリメトキシシラン、ジメチルジメトキシシラン、トリメチルメトキシシラン、メチルトリクロロシラン、ジメチルジクロロシラン、トリメチルクロルシラン、メチルシラン、ジメチルシラン、トリメチルシラン、ヘキサメチルジシラザン、2‐トリメチルシロキシペント‐2‐エン‐4‐オン、n‐(トリメチルシリル)アセトアミド、2‐(トリメチルシリル)酢酸、n‐(トリメチルシリル)イミダゾール、トリメチルシリルプロピオレート、トリメチルシリル(トリメチルシロキシ)‐アセテート、ノナメチルトリトリシラザン、ヘキサメチルジシロキサン、トリメチルシラノール、トリエチルシラノール、トリフェニルシラノール、t‐ブチルジメチルシラノール、ジフェニルシランジオール、トリメトキシシラン、トリエトキシシラン、トリクロロシランおよびこれらの組合せ。以下に例示されるように、1つの好ましい表面改質剤はメチルトリアセトキシシランである。
追加の表面改質剤には、前述のように、その内容すべてが本明細書に取り込まれる共有米国シリアル第09/235,186号に詳細に記載されるような多官能価表面改質剤が含まれる。そのような多官能価表面改質剤は、蒸気もしくは液体の形で、任意には補助溶剤とともに、あるいはなしで適用できる。適切な補助溶剤には、例えば、アセトン、ジイソルプロピルケトン、2‐ヘプタノン、3‐ペンタノンなどのケトン類、ならびにその内容すべてが本明細書に取り込まれる1998年7月7日に出願された共有米国シリアル第09/111,084号に詳細に記載されるような他のものが含まれる。例えば、その内容すべてが本明細書に取り込まれる米国シリアル第09/235,186号に詳細に記載されるように、いくつかの好ましい表面改質剤は、2つ以上の官能基を有し、フィルムの構造的骨組の外部に存在する質量を最小限にしながら表面シラノール官能基と反応するが、例えば、下記化学式のような適宜のシラノールを含む:
R1Si(OR2)3 化学式II
式中、R1およびR2は、Hおよび/またはアルキル、アリールなどの有機部分、あるいはこれらの誘導体など、独立して選ばれた部分である。R1もしくはR2がアルキルのとき、アルキル部分は任意に置換もしくは未置換であり、ストレート、枝分れもしくは環状でもよく、好ましくはC1〜約C18以上、より好ましくはC1〜約C8のサイズの範囲にある。R1もしくはR2がアリールのとき、アリール部分は、好ましくは任意に置換もしくは未置換である単一の芳香環からなり、C5〜約C18以上、より好ましくはC5〜約C8のサイズの範囲にある。さらなる選択において、アリール部分はヘテロアリールではない。
かくて、R1もしくはR2は、R1もしくはR2の少なくとも1つが有機であるという条件で、H、メチル、エチル、プロピル、フェニルおよび/またはこれらの誘導体から独立して選ばれる。1つの実施態様において、R1およびR2は両方ともメチルであり、化学式Vによる三官能価表面改質剤はメチルトリメトキシシランである。
別の実施態様において、本発明による適切なシランは、下記一般式を有する:
R1Si(NR2R3)3 化学式III
式中、R1、R2、R3は、独立してH、アルキルおよび/またはアリールである。R1、R2、R3のいずれかがアルキルおよび/またはアリールのとき、それらは上記化学式IIのR1およびR2のように定義される。好ましい実施態様において、R1は、H、CH3、C6H5から選ばれ、R2、R3は両方ともCH3である。かくて、化学式IIIによる三官能価表面改質剤には、例えば、トリス(ジメチルアミノ)メチルシラン、トリス(ジメチルアミノ)フェニルシランおよび/またはトリス(ジメチルアミノ)シランが含まれる。
さらに別の実施態様において、本発明による適切なシランは、下記一般式を有する:
R1Si(ON=CR2R3)3 化学式IV
式中、R1、R2、R3は、独立してH、アルキルおよび/またはアリールである。R1、R2、R3のいずれかがアルキルおよび/またはアリールのとき、それらは上記化学式IIのように定義される。1つの好ましい実施態様において、R1およびR2は両方ともCH3であり、R3はCH2CH3である。かくて、化学式IVによる三官能価表面改質剤には、例えば、メチルトリス(メチルエチルケオキシム)シランが含まれる。
さらに別の実施態様において、本発明による適切なシランは、下記一般式を有する:
R1SiCl3 化学式V
式中、R1は、H、アルキルもしくはアリールである。R1がアルキルおよび/またはアリールのとき、それらは上記化学式IIのように定義される。1つの好ましい実施態様において、R1はCH3である。かくて、化学式Vによる三官能価表面改質剤には、例えば、メチルトリクロロシランが含まれる。
より好ましい実施態様において、キャッピング剤は、下記一般式を有する1つ以上のオルガノアセトキシシランを含む:
(R1)xSi(OCOR2)y 化学式VI
好ましくは、xは1〜2の値の範囲の整数であり、xおよびyは同じもしくは異なり得、yは約2〜約3以上の範囲の整数である。
多官能価アルキルアセトキシシランおよび/またはアリールアセトキシシラン化合物などの有用なオルガノアセトキシシランには、単に例であって限定ではないが、メチルトリアセトキシシラン(“MTAS”)、ジメチルジアセトキシシラン(DMDAS)、フェニルトリアセトキシシランおよびジフェニルジアセトキシシランならびにこれらの組合せが含まれる。
方法
任意に表面改質剤を、2‐ヘプタノンなどの適宜の溶媒と混合し、蒸気もしくは液体の形でナノ多孔質シリカ表面に適用し、ついで乾燥する。
代替的な実施態様において、表面改質は、前記表面改質試薬のいずれかから誘導されるプラズマにエッチング剤損傷シリカ誘電フィルムを暴露させることにより与えられる。典型的な手順においては、誘電フィルムを、プラズマ強化化学蒸着(PECVD)システムなどのプラズマ発生室に置く;表面改質試薬の蒸気およびアルゴン蒸気を、プラズマ発生室を通過させる;ついで、RFエネルギー源を活性化してプラズマを創造する;アルゴンガスはプラズマ生成を促進するのを助けるために含まれる。プラズマは、表面改質試薬から誘導されるイオンフラグメントからなる;例えば、イオンフラグメントCH3Siは、メチルシラン(CH3SiH3)から発生する。このフラグメントはシラノール基と反応して疎水性Si-CH3部分を生成する。前記表面改質試薬のいずれをも、このプラズマ誘導表面処理に用いることができる。もっとも好ましいシラン試薬はメチルシランである。
プラズマ誘導表面改質処理に適する他の表面改質試薬には、C1〜C12アルキルおよび芳香族炭化水素が含まれる。もっとも好ましい炭化水素はメタンである。プラズマ誘導表面改質用の他の試薬には、アルデヒド類、エステル類、酸塩化物類およびエーテル類が含まれる。適切なアルデヒドには、アセトアルデヒドおよびベンズアルデヒドが含まれる;適切なエステルには、エチルアセテートおよびメチルベンゾエートが含まれる;適切な酸塩化物には、アセチルクロライドおよびベンジルクロライドが含まれる;そして適切なエーテルには、ジエチルエーテルおよびアニソールが含まれる。種々様々な単一ウェファーもしくはマルチウェファー(バッチ)プラズマシステムを、このプロセスに用いることができる;これらのシステムには、Gasonics L3510フォトレジスト灰化器などのいわゆる下流灰化器、Applied Materials P5000などのPECVD誘電堆積システム、もしくは反応性イオンエッチング(“RIE”)システムが含まれる。
概して言えば、プラズマプロセスの条件は、下記範囲内にある:室温度、20〜450℃;RF電力、50〜1000W;室圧力、0.05〜100トル;プラズマ処理時間、5秒〜5分;および表面改質流速、100〜2000sccm;不活性ガス流速(典型的にはアルゴン)、100〜2000sccm。
本発明はまた、前記プラズマ表面処理適用により、損傷されたものであれ、そうでないものであれ、多孔質および/または非多孔質のシリカ誘電フィルムに疎水性表面を与える方法も包含するものと企図されることを技術者は理解されよう。これらの方法を用いて製造された半導体装置もしくはICsも、本発明の一部である。
実施例
フィルムの厚さおよび屈折率:下記実施例において、楕円偏光測定法も用いて、製造されたフィルムの厚さおよび屈折率(RI)を測定した。
フィルムの誘電率:下記実施例において、誘電率(k)を、20℃で金属‐絶縁体‐金属(MIM)構造物のキャパシタンス測定から測定した。MIM構造物を、フィルムの上にアルミニウムをスパッターすることにより成形し、環状ドットマスクを通して低固有抵抗Siウェファー(0.25オーム‐cm)の上に塗布する。適宜に偏らせた電圧をMIM構造物に適用し、ついで構造物を横切るキャパシタンス(C)を1MHzで測定した。アルミニウムドットの面積(A)を、光学顕微鏡、測微法により測定した。アルミニウムドット近くのフィルムの厚さ(Th)を楕円偏光測定法により測定した。ついで、k値を下記式から計算する:
k=(CTh)/ε
(式中、εは自由空間の誘電率である(8.8610−14F/cm))
実施例1
MTASで処理されたナノ多孔質シリカフィルムの成形
ナノ多孔質シリカ前駆体を、その内容すべてが本明細書に取り込まれる、1999年1月22日に出願された共有米国シリアル第09/235,186号に記載されるような方法で合成した。かくて、前駆体を、208mLのテトラエトキシシラン、94mLのトリエチレングリコールモノメチルエーテル(TriEGMME)、16.8mLの脱イオン水および0.68mLの1N硝酸を丸底フラスコに一緒に添加することにより調製した。溶液を激しく混合し、約80℃に加熱し(加熱と攪拌は同時に始めた)、1.5時間還流して透明な溶液を生成した。結果として得られた溶液を室温まで冷却し、ついでエタノールで25重量%稀釈し、0.1ミクロンTeflon(登録商標)フィルターを通してろ過した。
約2mLのナノ多孔質シリカ前駆体を4''珪素ウェファーの上に堆積し、ついで2500rpmで30秒間回転させた。ついで、フィルムを、下記条件を用いて真空室でゲル化/熟成させた:
1.室を250トルに排気した。
2.15Mの水酸化アンモニウムを加熱し、45℃で平衡させ、室に導入して圧力を660トルに4分間上げた。
3.室に空気を再充填し、表面処理/溶媒交換のためにフィルムを室から取り出した。
フィルムの表面処理/溶媒交換を、下記条件を用いて実施した:
1.表面改質に用いられる試薬を、5gのメチルトリアセトキシシラン、“MTAS”、(Gelest、ペンシルベニア州19007タリータウン)を95gの3-ペンタノンと混合して無色透明な溶液を生成することにより調製した。
2.熟成フィルムをスピニングチャックの上に載せ、250rpmで回転させた。
3.上記MTAS溶液約30mLを、フィルムを20秒間乾燥させることなく、フィルムの上で回転させた。
4.ついで、フィルムを2500rpmで10秒間回転させ、ついでフィルムをチャックから取り出し、下記のように熱処理に供した。
ついで、上記プロセスから得られたフィルムを、空気下工程ごとにそれぞれ175℃および320℃で60秒間加熱した。ついで、それを炉中で、窒素下400℃で30分間硬化させた。フィルム特性のテストを、前述のようにして実施し、測定物性を下記実施例9に報告する。
実施例2
非多孔質メチルヒドリドシロキサンフィルムの成形
前駆体組成物を、その内容すべてが本明細書に取り込まれる、1998年3月20日に出願された米国特許出願シリアル第09/044,798号に記載されるような方法で調製した。かくて、窒素入口、乾燥氷冷却器および機械攪拌器を装備した1リットルジャケット付反応器に、1000mLのへキサン、80mLのエタノール、25mLの水および61.3gのAmberjet 4200触媒(Rohn & Haas Co.)を装填した。混合物を25℃で攪拌しながら半時間平衡させた(循環浴)。トリクロロシラン(14.3mL、0.142モル)とメチルトリクロロシラン(66.7mL、0.568モル)との混合物を、35分間かけて蠕動ポンプを用いて反応器に添加した。シラン添加完了後、ヘキサンをラインを通して10分間ポンプした。反応物を23時間攪拌し、ついでWhatman #4フィルターを通してろ過した。ろ過溶液を分液濾斗に入れ、水/エタノール層を除去した。残留へキサン溶液を4Åモレキュラーシーブ(170g)で5時間乾燥させ、ついで1mmフィルターを通してろ過した。ヘキサンを、回転蒸発器を用いて除去し、52%収率で白い固体生成物(23.1g)を与えた。ポリスチレン基準に照らしたこの生成物のGPCは、6.5の多分散度で、11,885のMwを与えた。
上記前駆体を用いて、その内容すべてが本明細書に取り込まれる、1999年1月7日に出願された米国特許出願シリアル第09/227,498号に記載されるような方法で、基体の上にナノ多孔質誘電シリカフィルムを成形した。かくて、メチルイソブチルケトン(MIBK)(63.5g)を4Åモレキュラーシーブで乾燥させ、14gの非多孔質メチルヒドリドシロキサンと結合した。溶液を0.2mmにろ過した。溶液を、従来のスピンコーターを用いて裸の4インチ珪素ウェファー上に塗布した。およそ3mlのポリマー溶液をウェファー上に置いた。3秒の遅れの後、ウェファーを2000rpmで20秒間回転させた。塗布ウェファーを、それぞれ150℃、200℃および350℃で1分間、3つの連続ホットプレートの上で焼き付けた。ついで、焼き付けウェファーを、初期に300℃で設定した水平炉中で窒素雰囲気で硬化させ、それに4℃/分の速度で380℃へのランプが続き、それで10分間保持され、ついで1℃/分の速度で400℃に上げた。炉温度を400℃に1時間保持し、ついで約2時間かけて300℃に戻した。完了フィルム(灰化処理前、実施例9参照)の特性は、以下のとおりだった:
Figure 2012231164
実施例3
フォトレジスト灰化
実施例1のナノ多孔質シリカを塗布したウェファーを、TEL 85 DRM L3510エッチャーの室内に置く。純酸素を作って、500sccm未満で室を通して流す。ウェファー温度は25℃である。RFプラズマ源を、500Wの電力消費レベルで1分間活性化する。この1分間の間、フィルムを酸素誘導プラズマに曝露する。このプロセスの間の全圧力は、500ミリトル未満である。この灰化処理前および後の予測フィルム特性は、以下のとおりである:
Figure 2012231164
フーリエ変換赤外分光法(“FTIR”)は、O-H吸収曲線が、未処理(非灰化)フィルムに比べて、灰化処理に供されたフィルムでは約3500cm−1で振幅が増加することを確証する。このことは、灰化処理が元のフィルム中のメチル基に起因するC-H結合のほとんどを除去することを確証する。O-H吸収ピークの相対振幅が結果として得られるフィルムの相対k値を予測し、他の全パラメーターが等しいことは、以前に確証されている(例えば、その内容すべてが本明細書に取り込まれる、前記共有米国シリアル第09/235,186を参照)。
実施例4
ウェットクリーニング
実施例3の灰化処理を通して加工したナノ多孔質シリカ塗布ウェファーを、ウェットクリーニング溶液(EKC 630、カリフォルニア州ヘイワードのEKC Corp.から専売されている後エッチングウェットクリーニング溶液)に70℃で20分間浸漬する。ついで、ウェファーを2‐プロパノールに30秒間浸漬し、ついで、水に30秒間浸漬する。最後に、ウェファーを175℃および320℃に設定した連続ホットプレート上で加熱した(各プレートにつき1分)。このウェットクリーニング処理前および後のフィルム特性は、下記表3に示される。
Figure 2012231164
フィルムは、ウェットクリーニング処理/IPA/水/175℃/320℃プロセス後の高k値および高屈折率で示されるように、より多くの水を吸収した。ついで、ウェファーを、炉中(窒素雰囲気)425℃で30分間加熱した。kは、425℃処理1時間後で4.1だった。フィルムは、6.4へのkの増加により示されるように、425℃加熱工程後1日の間に水を吸収した。
実施例5
MTAS溶液を用いて疎水性および低kを回復
ナノ多孔質シリカフィルムを、実施例1のようにして製造し、同フィルムを実施例3の灰化プロセスで、ついで実施例4のウェットクリーニングプロセスで処理する(425℃炉処理は含まず)。このフィルムを塗布したウェファーを、15% wt/wtのメチルトリアセトキシシランと85% wt/wtの2‐ヘプタノンからなる溶液に浸漬する;溶液の温度は20℃である;浸漬時間は10分である。ウェファーをMTAS含有溶液から取り出し、ついで、スピンコーターの上に載せる。反応副産物および未反応MTASを除去するために、純2‐ヘプタノンをウェファーの中心に分取しながら、ウェファーを3000rpmで1分間回転させる。30mlの2‐ヘプタノン全部をこの1分間のスピンで分取する。残留2‐ヘプタノンを除去するために、ウェファーをホットプレート上で175℃で1分間、ついで、320℃で1分間連続的に加熱する(ともに空気雰囲気中)。フィルムの予測特性は、以下のように、下記表4に示される:
Figure 2012231164
低誘電率の回復を、灰化およびウェットクリーニング工程後に実施されるこのMTAS溶液処理により達成する。MTAS溶液処理は、FTIR C-H吸収で示されるように、メチル分をフィルムに戻し、フィルムは、非常に低いO-H吸収により示されるように、疎水性である。kは、再び2.2である。
実施例6
MTAS蒸気を用いて疎水性および低kを回復
ナノ多孔質シリカフィルムを、実施例1のようにして製造し、同フィルムを実施例3の灰化プロセスおよび実施例4のウェットクリーニングプロセスで処理する(425℃炉処理は含まず)。このフィルムを塗布したウェファーを、アルミニウム製円筒形室(内径225mm、内高30mm)内部に入れる。室を化学ヒュームフードに納める。室の上端と室蓋との間に合成ゴムガスケットがある。室を、外側の室表面および蓋に結合された電気加熱テープを用いて加熱する。反応室に接続される4つのステンレススチール(内径1/4インチ)チューブがある;それぞれのチューブは、ステンレススチールバルブを有する。1つのチューブを真空ポンプに接続する;別の1つをMTAS溜めに接続する;そして三番目のチューブはベントラインとして役割を果たす;四番目のチューブは真空ゲージに接続する。MTAS溜めは、ステンレススチールの1リットル容量シリンダーである。後者は約100gのMTASを含む;MTAS溜めの外面を、加熱テープを用いて70℃に加熱する。室も約70℃に加熱する。室を約1トルに排気し、ついで、真空ポンプへのバルブを閉める。次に、MTAS溜めへのバルブを、MTAS蒸気が室に入るように開ける。5分後、MTAS室バルブを閉め、真空バルブを開ける。1分後、真空バルブを閉め、ベントバルブを開けて空気を室に入れる。ついで、ウェファーを取り出し、かつ分析し、フィルムの予測特性を下記表5に示す。
Figure 2012231164
MTAS蒸気処理は、FTIR C-H吸収で示されるように、メチル分をフィルムに戻し、フィルムは、非常に低いFTIR O-H吸収により示されるように、疎水性である。誘電率も、今や再び非常に低い。
実施例7
炭素系プラズマを用いて疎水性および低kを回復
ナノ多孔質シリカフィルムを、実施例1のようにして製造し、同フィルムを実施例3の灰化プロセスおよび実施例4のウェットクリーニングプロセスで処理する(425℃炉処理は含まず)。このフィルムを塗布したウェファーを、Gasonics L3510フォトレジスト灰化器内部に入れる。灰化器室を200ミリトルに排気し、メタン(CH4)ガスをこの圧力で室を通って流す。メタンの流速は500sccmである。灰化器を約20℃に維持する。ついで、室のRF源を活性化する;電力設定は100Wであり、RF周波数は13.56MHzである。2分後、RF源を活性化し、メタンガス流れをゼロに減少させる。ついで、灰化器室を空気で換気し、ウェファーをフィルム分析のために取り出す。下記表6は、フィルムの予測特性を示す。
Figure 2012231164
炭素系プラズマ処理は、C-H FTIR吸収により示されるように、フィルムに有機分を再び取り込む。低k性および疎水性も報告されている;FTIRは、非常に小さなO-H吸収を示す。
実施例8
シラン系プラズマを用いて疎水性および低kを回復
ナノ多孔質シリカフィルムを、実施例1のようにして製造し、同フィルムを実施例3の灰化プロセスおよび実施例4のウェットクリーニングプロセスで処理する(425℃炉処理は含まず)。このフィルムを塗布したウェファーを、プラズマ強化化学蒸着室(PECVD)、Applied Materials P5000内部に入れる。メチルシラン(CH3SiH3)を、疎水性気孔表面を創造するための試薬として用いる。アルゴンガスを用いてプラズマの創造を促進する。RFプラズマ源を20秒間活性化する。この期間中用いられた条件は、下記のように、表7に詳述されている。
Figure 2012231164
ウェファーを室から取り出し、ついで分析し、フィルムの予測特性を下記表8に与える。
Figure 2012231164
シランプラズマ処理後、低k値およびFTIRスペクトルにおける非常に低いO-H吸収により示されるように、フィルムは疎水性である。FTIRにおけるO-H吸収は、有機分がフィルムに添加されたことを示す。
実施例9
MTAS溶液を用いて非多孔質シルセスキオキサンの疎水性および低kを回復
シルセスキオキサンフィルムを、実施例2のようにしてウェファーの上に成形する。このフィルム塗布ウェファーを、実施例3および4のようにしてフォトレジスト灰化およびウェットクリーニングで加工する。ついで、このフィルム塗布ウェファーをMTAS溶液に曝露し、その疎水性および低k値を回復する。実施例5のMTAS溶液処理の手順を用いる。これらの処理前および後のフィルムの特性を、下記表9に示す。
Figure 2012231164
低誘電率の回復は、灰化およびウェットクリーニング工程後に実施されるこのMTAS溶液処理により達成される。FTIRスペクトルは、灰化およびウェットクリーニング処理後のO-H吸収、ならびに減少したC-HおよびSi-H吸収を示す;kも高い。MTAS処理後、k値は2.6で、灰化前の元の値に非常に近い;C-H吸収も高いが、それはMTASからのメチル基がフィルムに添加されたことを示す;そしてフィルムは、O-H吸収の不在により示されるように、再び疎水性である。
実施例10
ナノ多孔質シリカを用いるダマスカストレンチ構造の成形加工
この実施例は、ナノ多孔質シリカ誘電体を取り込むダマスカストレンチ構造の成形加工への本発明のプロセスの適用を説明する。
本実施例を、図1A、1BおよびCを参照して説明する。200mmのSiウェファーを当業界で既知の方法により酸化して、ウェファーの上面にSiO2層(5000Å)を形成する。ついで、ウェファーにPECVD窒化珪素、SiN(1000Å)の層(10)を塗布する。次に、ナノ多孔質シリカ(7000Å)の層(20)を、実施例1の手順に従って同ウェファーに塗布する(400℃炉工程も含む全プロセス)。ついで、PECVD窒化珪素(500Å)の別の層(30)をナノ多孔質シリカ層の上に堆積する。ついで、フォトレジストコーティングもしくはパターン(40)を誘電層のこのスタックに適用し、フォトレジストを通例の方法で加工して、線と空間のパターン(50)を形成する。
ついで、異方性エッチングプロセスを実施して、幅が0.13μのトレンチ(60)を創造する。エッチングをプラズマエッチング室内で実施するが、それにおいて、CF4が主要エッチングガスであり、異方性(下流)エッチングを起こすのに十分なバイアス電圧が存在する。フォトレジスト層を酸素系プラズマ処理(実施例3におけるような「灰化」)により除去して、図1Bにおける(70)の構造を創造する。ナノ多孔質シリカが化学的に変えられるのはこの灰化工程においてである;酸素プラズマ種は、酸化反応により気孔表面からメチル基を除去する;結果として得られる気孔表面は疎水性となる。ウェットクリーニングプロセスも灰化工程後に実施される(実施例4におけるように)。
次に、タンタル(Ta)バリヤーライナーフィルム(250Å)(80)をトレンチ内および上面SiN層上に堆積するが、この堆積は、物理的蒸着(スパッター)技術を用いて実施される。Cu「種層」は、図示されていないが、CuをTa層の上にスパッターすることにより堆積される。ついで、トレンチに電気めっきCu(90)を充填する。最終工程で、SiN層の上面上の過剰のCuおよびTaライナーを化学機械的研磨により除去して、銅ライン(100)およびナノ多孔質シリカ誘電体(20)を示す図1Cの構造を成形する。
図2におけるウェファーの上面の図は、インレイCuが図1Cに示されるパターンを含むことを示す;この図面に描かれる寸法は、実際の構造に比例していない。2つの大きな四角のプローブパッド(110)がある(それぞれ100μx100μ)。各プローブパッドを平行線(120)の「くし」に接続する;各線の幅は0.13μmである。
2つのくしは、1つのくしからの線が他のくしからの線の2つの間にありかつそれらに平行であるように「組み合わされる」。線は長さが1000μmである。各くしには101の線がある(簡潔にするために、図には7つの線しか示されていない)。この組み合わされたくし構造には200の平行なコンデンサーがある(2(101−1)=200)。Cu線の間に存在するナノ多孔質シリカの誘電率(k)は、平行プレートコンデンサーについて下記式により計算する:
C=kεA/d
(C=キャパシタンス
ε=自由空間の誘電率=8.86x10−14F/cm
A=各平行プレートの面積=高さx長さ=0.7μmx1000μm=700μm=7x10−6cm
d=プレート間の距離=0.13μm=1.3x10−5cm)
測定キャパシタンス値からkを計算するために、上記式を以下に再配置する:
k=(C/200)d/(εA)
非常に大きな面積の平行線を与えられて、測定キャパシタンスに関する基体およびプローブパッドの影響は、kの計算では無視される。組み合わされたくし構造には200の平行プレートコンデンサーがあるので、キャパシタンスを200で割る。プローブパッドをキャパシタンス計測器に接続し、ついで2つのパッドを横切って電圧を適用することにより、くし構造における全キャパシタンスを測定する。下記表10は、図1Cおよび2に示される、ウェットフォトレジスト灰化およびクリーニング工程後に疎水性のためにMTAS溶液で処理された(前記実施例5を参照)構造についてのキャパシタンス測定の予測結果を示す。MTAS溶液で処理されない構造の予測値も示される。
Figure 2012231164
予測中間キャパシタンスおよび計算されたkが、灰化およびウェットクリーニング工程に続いてMTAS溶液処理を受けない構造の場合ほとんど3倍も大きいことを、データは示す。MTASで処理された構造は、ナノ多孔質シリカおよびSiN誘電複合体の場合2.5のk値を有すると予測される。このk値は、実施例1で製造した未パターン化ナノ多孔質フィルムのk値(2.2)よりもやや高い。本実施例において、測定キャパシタンスは、厚いナノ多孔質シリカ7000Åフィルムと薄い500Å窒化珪素フィルムの両方の影響を受け、両方のフィルムともCu線の間に存在する。PECVD SiNについてのおよそのk値は、約7.0である。かくて、複合誘電スタック(ナノ多孔質シリカおよびSiN)の誘電率は、2.2よりもやや高い。

Claims (5)

  1. シリカ誘電フィルムが、その誘電率の増加、シラノールの増加、または有機部分の減少、の少なくとも一つによって損傷している、基体の上に存在する損傷シリカ誘電フィルムを処理する方法であって、
    前記損傷シリカ誘電フィルムを表面改質組成物と接触させて、以下の(i)、(ii)及び(iii)、
    (i)該損傷シリカ誘電フィルムの誘電率の減少;
    (ii)該損傷シリカ誘電フィルムのシラノールの減少;
    (iii)該損傷シリカ誘電フィルムの有機部分の増加;
    の一つ以上をもたらすことを含む、前記方法。
  2. 前記損傷シリカ誘電フィルムを表面改質組成物と接触させて、(i)該損傷シリカ誘電フィルムの誘電率の約1.5〜約3.8への減少をもたらすことを含む、請求項1に記載の方法。
  3. 前記シリカ誘電フィルムが多孔質またはナノ多孔質である、請求項1に記載の方法。
  4. 前記シリカ誘電フィルムが非多孔質である、請求項1に記載の方法。
  5. 請求項1に記載の方法であって、
    (a)前記シリカ誘電フィルムが損傷前の第一のC−H吸収値を示し、
    (b)前記損傷シリカ誘電フィルムが第二のC−H吸収値を示し、そして
    (c)表面改質組成物との接触後に、前記シリカ誘電フィルムが第三のC−H吸収値を示し、
    ここで、第三のC−H吸収値が第一のC−H吸収値の93.75%〜138.46%である(すべての吸収値はフーリエ変換赤外分光法で測定される)、前記方法。
JP2012152710A 2000-06-23 2012-07-06 誘電フィルム及び材料における疎水性を回復する方法 Pending JP2012231164A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21421900P 2000-06-23 2000-06-23
US60/214,219 2000-06-23

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2002505668A Division JP5307963B2 (ja) 2000-06-23 2001-06-19 誘電フィルム及び材料における疎水性を回復する方法

Publications (1)

Publication Number Publication Date
JP2012231164A true JP2012231164A (ja) 2012-11-22

Family

ID=22798248

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2002505668A Expired - Fee Related JP5307963B2 (ja) 2000-06-23 2001-06-19 誘電フィルム及び材料における疎水性を回復する方法
JP2012152710A Pending JP2012231164A (ja) 2000-06-23 2012-07-06 誘電フィルム及び材料における疎水性を回復する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2002505668A Expired - Fee Related JP5307963B2 (ja) 2000-06-23 2001-06-19 誘電フィルム及び材料における疎水性を回復する方法

Country Status (9)

Country Link
US (3) US7029826B2 (ja)
EP (1) EP1292973B1 (ja)
JP (2) JP5307963B2 (ja)
KR (1) KR100797202B1 (ja)
CN (1) CN1279588C (ja)
AU (1) AU2001266998A1 (ja)
CA (1) CA2413592A1 (ja)
TW (1) TWI264040B (ja)
WO (1) WO2002001621A2 (ja)

Families Citing this family (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9904427D0 (en) 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
KR100825146B1 (ko) 2000-05-05 2008-04-24 엔테그리스, 아이엔씨. 산성 중합체와 물리적 흡착 매체를 사용하는 필터
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US7174303B2 (en) * 2000-07-31 2007-02-06 Uappoint, Inc Customer driven, sponsor controlled network-based graphical scheduling system and method
JP4246640B2 (ja) * 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
US6933246B2 (en) 2002-06-14 2005-08-23 Trikon Technologies Limited Dielectric film
AU2003236897A1 (en) * 2002-06-14 2003-12-31 Trikon Technologies Limited Porous dielectric films with a non-porous surface
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
TWI257120B (en) * 2003-06-18 2006-06-21 Fujitsu Ltd Method for manufacturing semiconductor device
US20040266184A1 (en) * 2003-06-30 2004-12-30 Ramachandrarao Vijayakumar S Post-deposition modification of interlayer dielectrics
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7717929B2 (en) 2003-12-19 2010-05-18 Radi Medical Systems Ab Technique for securing a suture
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4903374B2 (ja) 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
US8119537B2 (en) * 2004-09-02 2012-02-21 Micron Technology, Inc. Selective etching of oxides to metal nitrides and metal oxides
JP4903373B2 (ja) * 2004-09-02 2012-03-28 ローム株式会社 半導体装置の製造方法
JP5161571B2 (ja) * 2004-09-15 2013-03-13 ハネウェル・インターナショナル・インコーポレーテッド 処理剤物質
JP5184737B2 (ja) * 2004-09-30 2013-04-17 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006124410A (ja) * 2004-09-30 2006-05-18 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4780276B2 (ja) * 2004-09-30 2011-09-28 Jsr株式会社 表面疎水化方法、および半導体装置の製造方法
JP2006104418A (ja) * 2004-10-08 2006-04-20 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4591032B2 (ja) * 2004-10-15 2010-12-01 Jsr株式会社 表面疎水化用組成物、表面疎水化方法および半導体装置の製造方法
JP2006111740A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4780277B2 (ja) * 2004-10-15 2011-09-28 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006111738A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006114719A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4798334B2 (ja) * 2004-10-15 2011-10-19 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4883256B2 (ja) * 2004-10-15 2012-02-22 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
KR100935620B1 (ko) * 2004-10-27 2010-01-07 인터내셔널 비지네스 머신즈 코포레이션 금속간 유전체로서 사용된 낮은 k 및 극도로 낮은 k의오가노실리케이트 필름의 소수성을 복원하는 방법 및이로부터 제조된 물품
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US20090206453A1 (en) * 2005-02-15 2009-08-20 Ulvac, Inc. Method for Preparing Modified Porous Silica Films, Modified Porous Silica Films Prepared According to This Method and Semiconductor Devices Fabricated Using the Modified Porous Silica Films
US20080207005A1 (en) * 2005-02-15 2008-08-28 Freescale Semiconductor, Inc. Wafer Cleaning After Via-Etching
JP4877452B2 (ja) * 2005-02-22 2012-02-15 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP4877454B2 (ja) * 2005-03-18 2012-02-15 Jsr株式会社 表面疎水化方法、ならびに半導体装置およびその製造方法
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
WO2007016218A2 (en) * 2005-07-29 2007-02-08 Applied Materials, Inc. Integrated electroless deposition system
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
JP4445448B2 (ja) * 2005-09-16 2010-04-07 株式会社東芝 回路基板の製造方法
US7405168B2 (en) * 2005-09-30 2008-07-29 Tokyo Electron Limited Plural treatment step process for treating dielectric films
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
US8052885B2 (en) * 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
US7709792B2 (en) * 2006-01-12 2010-05-04 Kla-Tencor Technologies Corporation Three-dimensional imaging using electron beam activated chemical etch
US7945086B2 (en) * 2006-01-12 2011-05-17 Kla-Tencor Technologies Corporation Tungsten plug deposition quality evaluation method by EBACE technology
WO2007100933A2 (en) * 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
US7879730B2 (en) * 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
WO2007095972A1 (en) 2006-02-24 2007-08-30 Freescale Semiconductor, Inc. Semiconductordevice including a coupled dielectric layer and metal layer, method of fabrication thereof, and passivating coupling material comprissing multiple organic components for use in a semiconductor device
JP4849219B2 (ja) * 2006-03-23 2012-01-11 Jsr株式会社 表面疎水化用組成物、表面疎水化方法、および半導体装置
JP5372323B2 (ja) 2006-03-29 2013-12-18 富士通株式会社 界面ラフネス緩和膜、これを用いた配線層および半導体装置ならびに半導体装置の製造方法
US7399911B1 (en) 2006-04-24 2008-07-15 Monsanto Technology Llc Plants and seeds of corn variety I286394
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
TWI417130B (zh) * 2006-07-13 2013-12-01 Entegris Inc 過濾系統
JP5055971B2 (ja) * 2006-11-16 2012-10-24 株式会社ニコン 表面処理方法及び表面処理装置、露光方法及び露光装置、並びにデバイス製造方法
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
CN101647106B (zh) * 2007-03-15 2011-10-05 富士通株式会社 表面疏水化膜、表面疏水化膜形成材料、布线层、半导体装置及其制造方法
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
JP5119832B2 (ja) 2007-09-27 2013-01-16 富士通株式会社 界面ラフネス緩和膜、配線層、半導体装置および半導体装置の製造方法
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
MY177445A (en) * 2008-05-26 2020-09-15 Basf Se Method of making porous materials and porous materials prepared thereof
WO2010009234A1 (en) 2008-07-16 2010-01-21 Wisconsin Alumni Research Foundation Metal substrates including metal oxide nanoporous thin films and methods of making the same
JP2010027952A (ja) * 2008-07-23 2010-02-04 Toshiba Corp 半導体装置の製造方法
JP4947316B2 (ja) * 2008-08-15 2012-06-06 信越化学工業株式会社 基板の接合方法並びに3次元半導体装置
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
KR100981820B1 (ko) * 2008-09-08 2010-09-13 경희대학교 산학협력단 트리메틸클로로실란 증기를 이용한 기판 표면 처리 장치 및방법
JP5565314B2 (ja) * 2008-12-08 2014-08-06 富士通株式会社 半導体装置の製造方法及びその製造装置
US9053924B2 (en) 2008-12-26 2015-06-09 Central Glass Company, Limited Cleaning agent for silicon wafer
JP5533178B2 (ja) * 2009-04-24 2014-06-25 セントラル硝子株式会社 シリコンウェハ用洗浄剤
DE102008063417B4 (de) * 2008-12-31 2016-08-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
US20100178887A1 (en) 2009-01-13 2010-07-15 Millam Michael J Blast shield for use in wireless transmission system
SG173043A1 (en) * 2009-01-21 2011-08-29 Central Glass Co Ltd Silicon wafer cleaning agent
KR20110125651A (ko) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
DE102009023379B4 (de) 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102009023378B4 (de) 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
JP5558876B2 (ja) * 2009-09-18 2014-07-23 東海ゴム工業株式会社 誘電膜、およびその製造方法、並びにそれを用いたトランスデューサ
CN102034794B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 测试结构及测试半导体衬底的方法
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
EP2329938A1 (en) * 2009-12-01 2011-06-08 Siemens Aktiengesellschaft Fibre-reinforced plastic material comprising fibers with at least one groove
US8329552B1 (en) 2011-07-22 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR101438890B1 (ko) * 2012-06-28 2014-09-15 현대자동차주식회사 소수성을 향상한 고분자 전해질 막-전극 접합체 및 그 제조방법
CN103624032B (zh) * 2012-08-23 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种晶片的单片清洗方法
CN102856251A (zh) * 2012-09-21 2013-01-02 复旦大学 一种低介电常数介质表面去羟基化的方法
US10020185B2 (en) 2014-10-07 2018-07-10 Samsung Sdi Co., Ltd. Composition for forming silica layer, silica layer, and electronic device
US20160172188A1 (en) * 2014-12-16 2016-06-16 Samsung Sdi Co., Ltd. Rinse solution for silica thin film, method of producing silica thin film, and silica thin film
KR101833800B1 (ko) 2014-12-19 2018-03-02 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막의 제조방법 및 상기 실리카계 막을 포함하는 전자 소자
KR101837971B1 (ko) 2014-12-19 2018-03-13 삼성에스디아이 주식회사 실리카계 막 형성용 조성물, 실리카계 막, 및 전자 디바이스
CN105004718B (zh) * 2015-07-20 2018-09-28 新疆农业大学 一种纸基微流控芯片的制备方法
KR20170014946A (ko) 2015-07-31 2017-02-08 삼성에스디아이 주식회사 실리카 막 형성용 조성물, 실리카 막의 제조방법 및 실리카 막
CN108022830B (zh) * 2016-10-31 2020-06-05 中芯国际集成电路制造(上海)有限公司 半导体装置的制造方法
CN108841207B (zh) * 2018-05-30 2020-09-08 杭州电子科技大学 一种超疏水白炭黑的低温等离子体制备新工艺
WO2020019277A1 (zh) * 2018-07-27 2020-01-30 湖州五爻硅基材料研究院有限公司 一种球形粉体填料的制备方法、由此得到的球形粉体填料及其应用
CN111819247A (zh) * 2019-02-22 2020-10-23 浙江三时纪新材科技有限公司 一种球形或角形粉体填料的制备方法、由此得到的球形或角形粉体填料及其应用
US10886166B2 (en) 2019-03-08 2021-01-05 International Business Machines Corporation Dielectric surface modification in sub-40nm pitch interconnect patterning
CN111825480B (zh) * 2020-08-13 2022-11-04 西藏大学 一种抗紫外线超疏水防冻材料及其制备方法
CN113327775B (zh) * 2021-05-28 2022-04-29 河北工业大学 一种钾离子微型混合电容器的制备方法和电极材料

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354517A (ja) * 1998-06-11 1999-12-24 Toshiba Corp 半導体装置およびその製造方法
JP2000049226A (ja) * 1998-07-30 2000-02-18 Nec Corp 半導体装置の製造方法
JP2000058536A (ja) * 1998-08-14 2000-02-25 Nec Corp 半導体装置及びその製造方法
WO2000013222A1 (en) * 1998-08-27 2000-03-09 Alliedsignal Inc. Silane-based nanoporous silica thin films

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4567221A (en) 1983-03-31 1986-01-28 Kuraray Co., Ltd. Water resistant compositions
US4654269A (en) 1985-06-21 1987-03-31 Fairchild Camera & Instrument Corp. Stress relieved intermediate insulating layer for multilayer metalization
US4624739A (en) 1985-08-09 1986-11-25 International Business Machines Corporation Process using dry etchant to avoid mask-and-etch cycle
CA2049019A1 (en) 1989-03-01 1990-09-02 Robert S. Dubrow Method of curing organopolysiloxane compositions and compositions and articles therefrom
JP2868243B2 (ja) 1989-10-06 1999-03-10 富士通株式会社 半導体装置の製造方法
JPH03180033A (ja) * 1989-12-08 1991-08-06 Mitsubishi Electric Corp パターン形成方法
US5372851A (en) 1991-12-16 1994-12-13 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a chemically adsorbed film
JP2603017B2 (ja) 1991-12-16 1997-04-23 松下電器産業株式会社 化学吸着膜の製造方法
US5271777A (en) 1991-12-17 1993-12-21 Hughes Aircraft Company High efficiency coil fabrication process
JPH05304089A (ja) * 1992-04-28 1993-11-16 Dainippon Screen Mfg Co Ltd 基板表面からのレジストの除去方法並びに装置
WO1994002425A2 (de) 1992-07-23 1994-02-03 Gurit-Essex Ag Silicium- oder siliciumdioxid-substrat mit modifizierter oberfläche und verfahren zu dessen herstellung, sowie neue orthoester und verfahren zu deren herstellung
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JP3219315B2 (ja) 1992-08-21 2001-10-15 大日本印刷株式会社 位相シフトマスクの白欠陥修正方法
US5429730A (en) 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
WO1994021386A2 (en) 1993-03-25 1994-09-29 Research Corporation Technologies, Inc. Polymers useful in forming self-assembled bonded anisotropic ultrathin layers and their use
JPH0766287A (ja) 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH07335758A (ja) * 1994-04-15 1995-12-22 Matsushita Electric Ind Co Ltd 多層金属配線の形成方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5479727A (en) 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5609629A (en) 1995-06-07 1997-03-11 Med Institute, Inc. Coated implantable medical device
US6258972B1 (en) 1995-08-03 2001-07-10 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
JP3618150B2 (ja) * 1995-10-09 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
JP3230182B2 (ja) * 1996-02-29 2001-11-19 シャープ株式会社 半導体装置の製造方法
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
RU2089499C1 (ru) 1996-12-11 1997-09-10 Смирнов Александр Витальевич Способ получения гидрофобного дисперсного материала
EP0849796A3 (en) 1996-12-17 1999-09-01 Texas Instruments Incorporated Improvements in or relating to integrated circuits
US5750610A (en) 1997-02-24 1998-05-12 Dow Corning Corporation Hydrophobic organosilicate-modified silica gels
JPH10270556A (ja) * 1997-03-28 1998-10-09 Kawasaki Steel Corp 絶縁膜形成方法
US5915175A (en) 1997-06-27 1999-06-22 Siemens Aktiengesellschaft Mitigation of CMP-induced BPSG surface damage by an integrated anneal and silicon dioxide deposition
WO1999003926A1 (fr) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
AU4223597A (en) * 1997-09-05 1999-03-29 Theodorus Istvan Van Bakkum Wind turbine mounted on buoyant wing kite
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
EP1019954B1 (en) 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
AU3055599A (en) 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6090724A (en) 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6177143B1 (en) 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
JP3266195B2 (ja) 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP3229294B2 (ja) * 1999-06-04 2001-11-19 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
KR100333546B1 (ko) 1999-06-10 2002-04-24 박종섭 반도체소자의 제조방법
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
JP2001118842A (ja) 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6420193B1 (en) 2000-03-17 2002-07-16 Advance Micro Devices, Inc. Repair of film having an SI-O backbone
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6451512B1 (en) 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
AU2001266998A1 (en) 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6500494B2 (en) 2000-12-29 2002-12-31 Kodak Polychrome Graphics Llc Spray coating matting method for printing plate precursors
US6713382B1 (en) 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100396889B1 (ko) 2001-03-08 2003-09-03 삼성전자주식회사 크랙방지층을 이용한 콘택 형성방법 및 이를 이용한반도체 소자
JP2002353308A (ja) 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
TW483099B (en) 2001-06-15 2002-04-11 United Microelectronics Corp Method to reduce moisture absorption of porous low dielectric constant film
DE10130824C2 (de) 2001-06-27 2003-12-18 Promos Technologies Inc Reparaturverfahren für einen dielektrischen Film mit einem Lochdefekt auf einem Substrat
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6583067B2 (en) 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US20030008516A1 (en) 2001-07-03 2003-01-09 Ting-Chang Chang Method of reinforcing a low dielectric constant material layer against damage caused by a photoresist stripper
US20030013211A1 (en) 2001-07-13 2003-01-16 Chu-Chun Hu Mend method for breakage dielectric film
TW495880B (en) 2001-08-30 2002-07-21 United Microelectronics Corp Method of repairing a low dielectric constant material layer
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
DE10145724A1 (de) 2001-09-17 2003-04-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur unter Verwendung einer Schutzschicht und Halbleiterstruktur
JP2003142476A (ja) 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6537919B1 (en) 2001-12-19 2003-03-25 Taiwan Semiconductor Manufacturing Company Process to remove micro-scratches
US7083991B2 (en) 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP4246640B2 (ja) 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
JP4025096B2 (ja) 2002-03-08 2007-12-19 株式会社荏原製作所 基板処理方法
JP2003282698A (ja) 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
WO2003088344A1 (en) 2002-04-10 2003-10-23 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US6607925B1 (en) 2002-06-06 2003-08-19 Advanced Micro Devices, Inc. Hard mask removal process including isolation dielectric refill
US20050229947A1 (en) 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
WO2004016548A2 (en) 2002-08-14 2004-02-26 Applied Thin Films, Inc. Aluminum phosphate compounds, compositions, materials and related composites.
JP2006500769A (ja) 2002-09-20 2006-01-05 ハネウェル・インターナショナル・インコーポレーテッド 低k材料用の中間層接着促進剤
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
JP2004266008A (ja) 2003-02-28 2004-09-24 Toshiba Corp 半導体装置の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7442412B2 (en) 2003-05-08 2008-10-28 Texas Instruments Incorporated Hydrophobic coating for oxide surfaces
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US6821880B1 (en) 2003-12-01 2004-11-23 Taiwan Semiconductor Manufacturing Co. Ltd. Process of dual or single damascene utilizing separate etching and DCM apparati
JP2005294525A (ja) 2004-03-31 2005-10-20 Toshiba Corp 半導体装置の製造方法
WO2005109475A2 (en) 2004-05-04 2005-11-17 California Institute Of Technology Zeolite films for low k applications
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354517A (ja) * 1998-06-11 1999-12-24 Toshiba Corp 半導体装置およびその製造方法
JP2000049226A (ja) * 1998-07-30 2000-02-18 Nec Corp 半導体装置の製造方法
JP2000058536A (ja) * 1998-08-14 2000-02-25 Nec Corp 半導体装置及びその製造方法
WO2000013222A1 (en) * 1998-08-27 2000-03-09 Alliedsignal Inc. Silane-based nanoporous silica thin films

Also Published As

Publication number Publication date
US7029826B2 (en) 2006-04-18
WO2002001621A2 (en) 2002-01-03
TWI264040B (en) 2006-10-11
AU2001266998A1 (en) 2002-01-08
KR20030062237A (ko) 2003-07-23
US20040013858A1 (en) 2004-01-22
EP1292973A2 (en) 2003-03-19
JP5307963B2 (ja) 2013-10-02
US20070190735A1 (en) 2007-08-16
US8440388B2 (en) 2013-05-14
US7858294B2 (en) 2010-12-28
US20060078827A1 (en) 2006-04-13
WO2002001621A3 (en) 2002-03-21
KR100797202B1 (ko) 2008-01-23
JP2004511896A (ja) 2004-04-15
EP1292973B1 (en) 2015-09-09
CA2413592A1 (en) 2002-01-03
CN1502122A (zh) 2004-06-02
CN1279588C (zh) 2006-10-11

Similar Documents

Publication Publication Date Title
JP5307963B2 (ja) 誘電フィルム及び材料における疎水性を回復する方法
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
US7678712B2 (en) Vapor phase treatment of dielectric materials
US8475666B2 (en) Method for making toughening agent materials
KR100984195B1 (ko) 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
KR100561884B1 (ko) 낮은 유전 상수를 갖는 유전 물질의 제조용 조성물
JP2007508691A (ja) シリル化剤を用いる低誘電率誘電材料の損傷の修復
US20050173803A1 (en) Interlayer adhesion promoter for low k materials
JP2003526197A (ja) シランをベースとするナノポーラスシリカ薄膜
JP5161571B2 (ja) 処理剤物質
KR20010073059A (ko) 혼합된 스트림 증착에 의한 나노다공성 실리카
JP2003508895A (ja) Ulsi用途のためにシロキサンポリマーで処理されたナノポーラスシリカ
WO2006025500A1 (ja) 半導体装置の製造方法およびこれを用いて形成された半導体装置
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130911

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130917

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131010

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140210