CN1742363B - 受损电介质材料和电介质膜的修复和恢复 - Google Patents

受损电介质材料和电介质膜的修复和恢复 Download PDF

Info

Publication number
CN1742363B
CN1742363B CN200480002723XA CN200480002723A CN1742363B CN 1742363 B CN1742363 B CN 1742363B CN 200480002723X A CN200480002723X A CN 200480002723XA CN 200480002723 A CN200480002723 A CN 200480002723A CN 1742363 B CN1742363 B CN 1742363B
Authority
CN
China
Prior art keywords
film
group
silane
organic group
silane compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200480002723XA
Other languages
English (en)
Other versions
CN1742363A (zh
Inventor
W·范
V·卢
M·托马斯
B·丹尼尔斯
T·阮
D·L·周
A·纳曼
L·金
A·巴纳普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN1742363A publication Critical patent/CN1742363A/zh
Application granted granted Critical
Publication of CN1742363B publication Critical patent/CN1742363B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

本文描述了修复材料中的孔隙的方法,该方法包括:a)提供具有大量活性硅烷醇基团的材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。本文还描述了恢复材料中的碳的方法,该方法包括:a)提供具有大量活性硅烷醇基团的碳缺乏材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。另外,本文还描述了减少薄膜和/或碳缺乏薄膜缩合的方法,该方法包括:a)提供具有大量活性硅烷醇基团的薄膜;b)将所述薄膜置于等离子室中;c)向等离子室中引入大量含有活性有机基团的硅烷;以及d)使所述硅烷与至少一部分所述活性硅烷醇基团发生反应。本文还描述了一类电介质材料和低介电常数的电介质材料,该材料包括:a)具有大量硅原子的无机材料;和b)大量含有有机基团的硅烷化合物,其中所述硅烷化合物通过至少一部分硅原子与所述无机材料偶联。

Description

受损电介质材料和电介质膜的修复和恢复
发明领域
本发明总的来说涉及半导体装置,具体地涉及一类其中具有电介质或无机材料的半导体装置。
发明背景
为了满足对于快速性能的要求,集成电路装置的特征尺寸被不断降低。生产具有更小尺寸的装置为半导体制造中所常规使用的方法带来了新的挑战。
由于这些产品的尺寸减小,使得含有这些产品的部件也必然变得更小和/或更薄。一些需要减小尺寸或者需要按照比例进行缩减的这类部件实例有微电子芯片连接线路、半导体芯片部件、电阻器、电容器、印制电路或配线板、配线、键盘、触摸垫和芯片包装。
当电子和半导体部件尺寸减小或者按比例缩减时,出现在较大部件上的任意瑕疵在按比例缩减的部件上都会显得更夸张。因此,出现在或者可能出现在较大部件上的瑕疵应当在将该部件按比例缩减用于更小的电子产品之前被识别和矫正过来。在未来各种装置的生产中,由于需要将集成电路所特有的尺寸减少至约0.15μm以下,因此例如RC延迟和信号串扰的问题将成为主要问题。
为了识别和矫正电子、半导体和通信部件中存在的瑕疵,应该将这些部件、所用的材料以及制造这些部件的加工方法一一拆解下来予以分析。这些电子、半导体和通信/数据交换部件在某些情况下是由含有各种材料的涂层和薄膜组成的,所述材料例如金属、金属合金、电介质层、陶瓷、无机材料、聚合物或有机金属材料。
为了解决集成电路中所存在的前述问题,一种可行的解决方法是将介电常数小于大约3的低介电常数(k)材料用于层间电介质(ILD)和金属间电介质(IMD)应用中。其中一个主要的困难在于低介电常数(k)含硅材料所具有的机械强度。通常机械强度是与这类材料的密度成比例的。然而,对于特定的化学构成在特定的介电常数下其密度不会改变。在这种情形下,借助于具有最高的交联度而使微孔二氧化硅的强度达到最大。
具有无机电介质的单层金属图案晶片在铜线之间显示出孔隙。之所以形成孔隙是因为,所述材料由于各种化学变化使得其越来越不能适应周围金属所施加的压力,从而需要进行自身重排。这类孔隙的尺寸和形状是生来就不能预知的,其表现为施加于电介质空间的应力大小以及该空间内所固有的裂缝。由于这些孔隙不可预知并且可能降低产率和电路的可靠性,因而是不希望的。
Honeywell International Inc获权并拥有的美国专利6,208,014教导了一种将二氧化硅电解质膜与多功能表面改性剂发生反应而形成膜的方法,在此将该专利全部内容引入作为参考。这篇专利教导指出,所述微孔二氧化硅膜可以在底层上在处理之前迅速制备得到,也可以预先制备并储存起来,或者由其它途径制备。该专利还教导了所述膜在改性之前可以被老化,例如进一步交联/缩合。但是,该专利并没有教导有关孔隙的问题,或者这种表面改性处理可用于集成薄膜上。
因此,仍然需要解决以下问题:a)对可能引起孔隙的原因进行识别;b)开发修复被识别出来的孔隙或“预孔隙”的方法和组合物;以及c)为了改进分层材料、电子部件和半导体部件的生产,需要利用那些单独或联合使用时不会增加成本或者增加半导体生产方法复杂性的常规组合物和方法。另外,还需要开发具有增强和改良特性的新材料,所述特性例如1)在介电常数相差不大的情况具有更低的孔隙率以及2)含有更适合集成工艺条件下的有机基团;同样也需要开发一种通过重新引入碳基团能够有助于“修复”受损薄膜的方法以及“恢复”低介电常数薄膜部分特性的方法。
发明概述
本文描述了防止在材料中形成孔隙的膜预处理方法,该方法包括:a)提供具有大量活性硅烷醇基团的材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。本文还描述了恢复材料中的碳的方法,该方法包括:a)提供具有大量活性硅烷醇基团的碳缺乏材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。
另外,本文还描述了减轻薄膜缩合的方法,该方法包括:a)提供具有大量活性硅烷醇基团的薄膜;b)将所述薄膜置于等离子室中;c)向等离子室中引入大量含有活性有机基团的硅烷;以及d)使所述硅烷与至少一部分所述活性硅烷醇基团发生反应。本文也描述了减轻碳缺乏薄膜缩合的方法,该方法包括:a)提供具有大量活性硅烷醇基团的碳缺乏薄膜;b)将所述薄膜置于等离子室中;c)向等离子室中引入大量含有活性有机基团的硅烷;以及d)使所述硅烷与至少一部分所述活性硅烷醇基团发生反应。
本文还描述了一类电介质材料,该材料包括:a)具有大量硅原子的无机材料;和b)大量含有有机基团的硅烷化合物,其中所述硅烷化合物通过至少一部分硅原子与所述无机材料偶联。本文也描述了另一类电介质材料,该材料包括:a)具有大量硅原子的低介电常数电介质材料;和b)大量含有有机基团的硅烷化合物,其中所述硅烷化合物通过至少一部分硅原子与所述材料偶联。
发明详述
本发明开发并描述了下述方法:a)对可能引起孔隙的原因进行识别的方法;b)利用所述组合物修复被识别出来的孔隙或“预孔隙”的方法;以及c)为了改进分层材料、电子部件和半导体部件的生产,利用那些单独或联合使用时不会增加成本或者增加半导体生产方法复杂性的常规组合物和方法的方法。具体地说,本发明主题在于提供了修复无机材料中的空隙的方法,该方法包括下述步骤:a)使用活性表面改性剂将无机材料中的活性硅烷醇基团化学封端,和b)在灰化(ash)步骤之后立即就地使缩合最小化。本发明所述的方法由于恢复了该无机密集或多孔薄膜的疏水性和结构完整性,因而具有特殊的优势。
本文所使用的术语“孔隙”包括材料中的各种空隙和单元以及表示材料中被气体占据的空间的其它任意术语。占据这些孔隙的气体包括相对纯的气体及其混合物。通常孔隙中分布有主要为N2和O2混合物的空气,但是纯净的气体例如氮气、氦气、氩气、CO2或CO也是可以预期的。
引起孔隙的原因包括:蚀刻步骤导致残余氟气;灰化步骤导致等离子体受损、Si-F键断裂、由于缺乏末端甲基或其它烷基(或者组成有机硅酸盐玻璃的其它有机基团)而形成硅烷醇(Si-OH)键;以及铜退火步骤引起应力和热能。据信,其机理大部分可归因于电解质膜的多孔性。这种多孔性允许蚀刻气体(氟碳化合物和氧气或者氮气和氢气的混合物)相互转运。增强了的扩散作用导致这些气体不仅蚀刻了指定区域,同时还蚀刻了那些被掩盖起来防止被蚀刻的区域。这种蚀刻作用主要体现在除去了有机封端基团例如甲基。这类基团的除去导致形成不稳定网络(Si-CH3→[Si]→Si-OH),而理想的是以形成Si-O-Si键结束。这种重排作用在结构上带来的结果就是薄膜收缩形成无规则的薄膜。由于这些薄膜受封端硬膜、和金属导体的限制,所以这种在受限制体系中发生的重排现象导致孔隙的出现。
本文描述了修复材料中的孔隙的方法,该方法包括:a)提供具有大量活性硅烷醇基团的材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。本文还描述了恢复材料中的碳的方法,该方法包括:a)提供具有大量活性硅烷醇基团的碳缺乏材料;b)提供至少一种活性表面改性剂;和c)使用所述至少一种活性表面改性剂将至少一部分所述的大量活性硅烷醇基团化学封端。
另外,本文还描述了减轻薄膜缩合的方法,该方法包括:a)提供具有大量活性硅烷醇基团的薄膜;b)将所述薄膜置于等离子室中;c)向等离子室中引入大量含有活性有机基团的硅烷;以及d)使所述硅烷与至少一部分所述活性硅烷醇基团发生反应。本文也描述了减轻碳缺乏薄膜缩合的方法,该方法包括:a)提供具有大量活性硅烷醇基团的碳缺乏薄膜;b)将所述薄膜置于等离子室中;c)向等离子室中引入大量含有活性有机基团的硅烷;以及d)使所述硅烷与至少一部分所述活性硅烷醇基团发生反应。
所述材料、薄膜和/或活性表面改性剂可以通过任意适当的方法提供,包括a)从供应商购买至少一部分所述材料、薄膜和/或活性表面改性剂;b)利用各种化学品和/或通过其它途径提供的其它原料在国内制备或生产至少一部分所述材料、薄膜和/或活性表面改性剂;和/或c)利用各种化学品和/或国内或地区生产或提供的原料在国内制备或生产这些材料、薄膜和/或活性表面改性剂。
本文所述方法可用于密集或多孔电介质材料。预期的电介质材料有那些被认为具有低介电常数的电介质材料。本文所使用的术语“低介电常数”是指介电常数低于或等于大约3。预期低介电常数材料或低介电常数层的介电常数值低于大约3。在另一预期实施方案中,低介电常数材料或低介电常数层的介电常数值低于大约2.5。在又一预期实施方案中,低介电常数材料或低介电常数层的介电常数值低于大约2。
预期的无机基质化合物和/或材料和/或自旋无机基质化合物和/或材料,例如硅基质、镓基质、锗基质、砷基质、硼基质化合物或者它们的联合物在本发明中是预期的。硅基质化合物的实例包括硅氧烷化合物,例如甲基硅氧烷、甲基倍半硅氧烷(methylsilsesquioxane)、苯基硅氧烷、苯基倍半硅氧烷、甲基苯基硅氧烷、甲基苯基倍半硅氧烷、硅氮烷聚合物、二甲基硅氧烷、二苯基硅氧烷、甲基苯基硅氧烷、硅酸盐聚合物、硅酸衍生物,以及它们的混合物。预期的硅氮烷聚合物是全氢硅氮烷,它具有可被生色团连接的“透明”聚合物主链。
本文所使用的无机基质材料、无机化合物和自旋玻璃材料还包括硅氧烷聚合物和嵌段聚合物、通式(H0-1.0SiO1.5-2.0)x的氢硅氧烷、具有式(HSiO1.5)x的氢化倍半硅氧烷聚合物,其中x大于大约4、以及硅酸衍生物。另外还包括氢化倍半硅氧烷和烷氧基氢化硅氧烷或羟基氢化硅氧烷的共聚物。本发明预期的材料还包括有机硅氧烷聚合物、丙烯酸硅氧烷聚合物、倍半硅氧烷基质聚合物、硅酸衍生物、通式(H0-1.0SiO1.5-2.0)n(R0-1.0Si1.5-2.0)。的有机氢化硅氧烷聚合物、通式(HSiO1.5)n(RSiO1.5)m的有机氢化倍半硅氧烷,其中m大于0且n和m之和大于约4,R是烷基或芳基。某些有用的有机氢化硅氧烷聚合物所具有的n和m之和为大约4至大约5000,且其中R是C1-C20烷基或C6-C12芳基。这些有机氢化硅氧烷和有机氢化倍半硅氧烷也可以表示自旋聚合物。一些具体实例包括烷基氢化硅氧烷,例如甲基氢化硅氧烷、乙基氢化硅氧烷、丙基氢化硅氧烷、叔丁基氢化硅氧烷、苯基氢化硅氧烷;和烷基氢化倍半硅氧烷,例如甲基氢化倍半硅氧烷、乙基氢化倍半硅氧烷、丙基氢化倍半硅氧烷、叔丁基氢化倍半硅氧烷、苯基氢化倍半硅氧烷,以及它们的联合物。
本文所使用的短语“自旋材料”“自旋组合物”和“自旋无机组合物”可以互相替代使用,是指可以在底层或表面上自旋的溶液和组合物。进一步预期的是,短语“自旋玻璃材料”是指“自旋无机材料”的一种下位概念,其中自旋玻璃材料是指完全或部分含有硅基质化合物和/或聚合物的自旋材料。
在某些预期实施方案中,可用于本发明中的具体有机氢化硅氧烷树脂具有下述通式结构:
[H-SiO1.5]n[R-SiO1.5]m式(1)
其中:
n和m之和、或者x、y和z之和为大约8至大约5000,选择m或y使得含碳取代基的含量或者低于大约40%(低有机含量=LOSP)或者高于大约40%(高有机含量=HOSP);R选自被取代或未被取代的、直链和支链烷基(甲基、乙基、丁基、丙基、戊基)、链烯基(乙烯基、烯丙基、异丙烯基)、环烷基、环烯基、芳基(苯基、苄基、萘基、蒽基和菲基)、及其混合形式;并且其中含碳取代基的具体摩尔百分数为各种起始原料用量比例的函数。在某些LOSP实施方案中,利用摩尔百分数为大约15摩尔%至大约25摩尔%的含碳取代基获得了特别有利的结果。在某些HOSP实施方案中,利用摩尔百分数为大约55摩尔%至大约75摩尔%的含碳取代基获得了有利的结果。
某些预期聚合物具有含交替的硅和氧原子的聚合物主链。与先前已知的有机硅氧烷树脂相反,本发明所使用的部分聚合物和无机基质组合物基本上不含与主链上硅原子相连的羟基或烷氧基基团。各硅原子除了与前述主链上的氧原子相连外,另外只与氢原子和/或如式1中定义的R基团相连。通过仅有氢和/或R基团直接与聚合物主链上的硅原子相连,避免了不需要的长链和交联现象出现。并且在其它方面,假如在本发明的树脂中避免了不需要的长链和交联现象,那么相对于先前已知的有机硅氧烷树脂而言,本发明树脂的贮藏寿命将得到延长。此外,由于硅碳键比硅氢键反应活性低,因此相对于先前已知的氢硅氧烷树脂而言,本文所示的有机氢硅氧烷树脂溶液的贮藏寿命更长。
已授权的美国专利6,143,855和于2002年2月19日申请的未决美国序列号10/078919教导了先前描述过的某些预期化合物;可由Honeywell International Inc.商购得到的
Figure B200480002723XD00071
产品;已授权美国专利6,372,666教导了微孔二氧化硅;可由HoneywellInternational Inc.商购得到的
Figure B200480002723XD00072
E产品;已授权的WO01/29052教导了有机倍半硅氧烷;乙基已授权的美国专利6,440,550教导了氟倍半硅氧烷,在此将其全部内容引入作为参考。其它预期化合物描述在下述已授权专利和申请中,在此将其全部内容引入作为参考:(于2000年6月8日申请的PCT/US00/15772;于1999年6月10日申请的美国申请序列号09/330248;于1999年6月10申请的美国申请序列号09/491166;于2002年4月2日授权的US 6,365,765;于2001年7月31日授权的US 6,268,457;于2001年11月10申请的美国申请序列号10/001143;于2000年1月26日申请的美国申请序列号09/491166;于1999年1月7日申请的PCT/US00/00523;于2001年1月23日授权的US 6,177,199;于2002年3月19日授权的US6,358,559;于2001年4月17日授权的US 6,218,020;于2002年3月26日授权的US 6,361,820;于2001年3月17日授权的US6,218,497;于2002年3月19日授权的US 6,359,099;于2000年11月7日授权的US 6,143,855;于1998年3月20日申请的美国申请序列号09/611528;基美国申请序列号60/043,261)。本文所预期的二氧化硅化合物是描述在下述已授权的美国专利中的化合物:6,022,812;6,037,275;6,042,994;6,048,804;6,090,448;6,126,733;6,140,254;6,204,202;6,208,041;6,318,124和6,319,855。其它预期的无机材料包括ASM′s AuroraTM有机硅酸盐玻璃或碳掺杂的氧化物;描述在美国专利6,383,955、6,500,773、6,492,731或6,410,150中的化合物;Japan Synthetic Rubber LKDTM倍半硅氧烷产品;已授权的美国专利6,472,076中教导的有机倍半硅氧烷膜;多孔SiOC;Applied Materials′多孔BLACK DIAMONDTM无机产品(其含有Si-O、Si-C、C-H和Si-H键);以及Novellus CORALTM无机产品(含有Si-O、Si-C、C-H和Si-H键)。在此将前述专利的全部内容引入作为参考。
本文中所使用的术语“交联”是指这样一种方法,其中至少有两种分子、或者某长链分子的两部分通过化学相互作用连接在一起。这种相互作用可以以多种不同的方式进行,包括形成共价键、形成氢键、疏水、亲水、离子或静电相互作用。另外,分子相互作用其特征还可以表现为在分子与其自身之间或者在两种或更多种分子之间存在暂时性的物理连接。
在某些与其实施方案中,聚合物主链结构为笼结构。因此,在聚合物数值既定的笼结构中仅存在极低水平或活性的末端基团。聚合物所具有的笼结构还可以保证在溶液中不发生任何不希望的链延长,这使得其贮存寿命延长。聚合物中的每个硅原子与至少三个氧原子相连。与聚合物主链相连的基团包括氢和本文所述的有机基团。本文中所使用的术语“主链”是指形成聚合链的各原子或基团的连接链,它们彼此共价相连,这样除去其中任何一个原子或基团都将导致断链。
本文中所使用的术语“单体”是指能够与其自身或者以重复方式与具有不同化学性质的化合物形成共价键的任意一种化合物。在各单体之间形成重复健可以得到直链、支链、多支链、或三维产物。此外,单体自身可以含有重复的结构嵌段,当将有这类单体形成的聚合物聚合时,就被称作“嵌段聚合物”。单体可以术语各种化学类别的分子,包括有机、有机金属或无机分子。单体的分子量可以显著不同,为大约40道尔顿至20000道尔顿。当然,当单体含有重复结构嵌段时,单体可以具有更高的分子量。单体还可以含有其它基团,例如用于交联的基团。
在某些优选实施方案中,为了改变材料的溶解性,无机基质化合物的分子量可以有所增加。相反,改变材料的溶解性有助于防止产生孔隙,同时增强材料的平面稳定性。
单独或合并的前述无计材料层可以通过各种途径形成,例如喷雾、旋转、浸滞、浸滞-涂布、流动涂布或者微电子学上优选的旋转涂布。在预期的实施方案中,将无机材料溶解于溶剂中。预期的溶剂包括任意一种适宜的纯净或者混合物形式的有机、无机和/或有机金属分子,这些分子在所需温度例如临界温度下挥发,或者是能够满足上述任意一种设计目的或需要。溶剂还可以含有任意一种适宜的纯净或混合物形式的极性和非极性化合物。本文中所使用的术语“纯净的”是指该具有稳定构成的组分。例如纯水基本上由H2O组成。本文中所使用的术语“混合物”是指不纯的组分,包括盐水。本文中所使用的术语“急性”是指其特征在于在该分子或化合物的一端或者沿着该分子或化合物分布有不平衡电荷、部分电荷或者自生电荷的分子或化合物。本文中所使用的术语“非极性”是指其特征在于在该分子或化合物的一端或者沿着该分子或化合物分布有平衡电荷、部分电荷或者自生电荷的分子或化合物。
某些适宜的溶剂包括非质子溶剂,例如环酮如环戊酮、环己酮、环庚酮和环辛酮;环状酰胺,例如N-烷基吡咯烷酮,其中烷基具有大约1-4个碳原子;和N-环己基吡咯烷酮及其混合物。本发明可以使用各种各样的其它有机溶剂,只要它们作为涂布溶液时能够有效地控制所得到溶液的粘度。在其它预期实施方案中,该溶剂或溶剂混合物可以含有各种溶剂,例如酮类如丙酮、二乙基酮、甲基乙基酮等,醇类,酯类,醚类和胺类。在又一预期实施方案中,该溶剂或溶剂混合物可以含有任意一种上述溶剂的联合物。
其它适宜的溶剂包括丙酮、2-丙醇、乙醇、丁醇、甲醇、乙酸丙酯、乳酸乙酯、丙二醇甲醚乙酸酯、和丙二醇丙醚(在商业上称作Propasol-P)、二丁基醚、环状二甲基聚硅氧烷、丁内酯、γ-丁内酯、2-庚酮、3-乙氧基丙酸乙酯、聚乙二醇[二]甲醚、丙二醇二甲醚乙酸酯(PGMEA)、以及苯甲醚。具有高沸点的稀溶剂例如被认为有益的乳酸乙酯和丙二醇丙醚。据信高沸点溶剂降低了形成泡沫薄膜缺陷的可能性。相反,当在烘焙步骤中操作时,低沸点溶剂在薄膜交联化顶层下方可以被封端而产生孔隙。可用于本发明中的其它溶剂包括乙二醇二甲醚(也称作甘醇二甲醚)、苯甲醚、二丁醚、二丙醚、丙二醇甲醚乙酸酯、以及戊醇。
在某些预期实施方案中,溶剂或溶剂混合物(含有至少两种溶剂)含有那些被认为属于烃类溶剂成员的溶剂。烃类溶剂是含有碳和氢的溶剂。应该理解的是,大部分烃溶剂是非极性的;然而,也有少数烃溶剂被认为具有极性。烃类溶剂通常分为三类:脂肪族、环状和芳香族溶剂。脂肪烃溶剂可以同时含有直链化合物和支链化合物以及可能的交联化合物,当然,脂肪烃溶剂并不是环状的。环状烃溶剂是在环结构中含有至少三个碳原子、并且具有与脂肪烃溶剂相似的性质的溶剂。芳香烃溶剂是通常含有三个或更多个不饱和健、同时通过公共键相连的单环或多环和/或彼此稠合的多环溶剂。预期的烃溶剂包括甲苯、二甲苯、对二甲苯、间二甲苯、1,3,5-三甲苯、溶剂石脑油H、溶剂石脑油A、烷烃如戊烷、己烷、异己烷、庚烷、壬烷、辛烷、十二烷、2-甲基丁烷、十六烷、十三烷、十五烷、环戊烷、2,2,4-三甲基戊烷、石油醚、卤代烃如氯代烃、硝基化烃、苯、1,2-二甲苯、1,2,4-三甲苯、矿物油、煤油、异丁基苯、甲基萘、乙基甲苯、石油醚。特别预期的溶剂包括但并不仅仅限于戊烷、己烷、庚烷、环己烷、苯、甲苯、二甲苯、及其混合物或联合物。为了有助于溶解,可以使用各种改善措施例如搅拌和/或加热。
更预期的溶剂是环己酮。通常,层厚度为0.1至大约15微米。作为用于微电子的电介质夹层,层厚度通常低于大约2微米。本文中描述的电介质材料包括:a)具有大量硅原子的无机材料;和b)大量含有有机基团的硅烷化合物,其中该硅烷化合物通过至少一部分所述硅原子与该硅烷化合物偶联。本文中描述的电介质材料还包括:a)具有大量硅原子的低介电常数电介质材料;和b)大量含有有机基团的硅烷化合物,其中该硅烷化合物通过至少一部分所述硅原子与该硅烷化合物偶联。
将本文所述的组合物按照前述方法涂布到各种基片上形成各种分层材料、用于半导体方法中的层、或者用于电子元件中的层,这取决于具体的制作方法,通常是采用常规的旋转淀积方法。本文中公开的无机材料可以作为夹层电介质,并且可以被其它涂层覆盖,例如其它(SiO2)涂层、SiO2改性的陶瓷氧化层、含硅涂层、含硅碳涂层、含硅氮涂层、含硅-碳-氮涂层、像钻石样的碳涂层、氮化钛涂层、铝涂层、铜涂层、钽涂层、有机硅氧烷涂层、有机硅玻璃涂层以及氟化硅玻璃涂层。美国专利号4,973,526教导了这类多层涂层,在此将其引入作为参考。另外,作为示例,本发明的无机材料还可以以插在两层之间的电介质层形成在电子或半导体基片制品的相邻电路之间。无机材料可以以所希望的任意一种旋转薄膜形式使用,有关教导描述在例如Michael E.Thomas,″Spin-on Stacked Films for Low keffDielectrics″,Solid State Technology(2001年7月)中,在此将其全部内容引入作为参考。
本发明所预期的基片可以含有任意一种适宜的基本上为固体的材料。特别理想的基片含有薄膜、玻璃、陶瓷、塑料、金属或涂层金属、或者复合材料。在优选实施方案中,基片含有砷化硅或砷化锗死冲模或晶片平面、包装表面例如镀有铜、银、镍、或金的框架、铜表面例如电路板或包装连接线路、通壁或硬界面(“铜”包括裸露的铜及其氧化物)、聚合物基质包装或板界面例如聚酰亚胺基质的皱曲包装、铅或其它金属合金的焊接球形表面、玻璃和聚合物例如聚酰亚胺。在更优选的实施方案中,基片含有包装和电路板工业中常见的材料,例如硅、铜、玻璃、以及其它聚合物。
预期的表面改性剂是适宜的在相对温和的条件下(有或没有载气的帮助下)可以蒸发并且在燃烧室条件下可以与硅烷醇基团反应的含有有机基团的硅烷。在某些实施方案中,该表面改性剂含有硅烷,例如(R1)xSi(R2)4-x其中R1是具有1-3个碳原子的烷基、乙烯基或芳基;R2是氢、氯、乙酰氧基、甲氧基、乙氧基以及其它乙酰氧基;以及x是1、2或3。
本文中所使用的术语“烷基”是指具有1-20个碳原子的支链或直链饱和烃基或取代基(除非另有限定),例如甲基、乙基、正丙基、异丙基、正丁基、异丁基、叔丁基、辛基、癸基、十四烷基、十六烷基、二十烷基、二十四烷基等。在某些实施方案中,预期的烷基含有1-12个碳原子。术语“环烷基”是指其结构特征为一个或更多个闭合环的烷基化合物。环烷基可以是单环、双环、三环或多环,这取决于该化合物所含环的元数。
本文中所使用的“芳基”是指具有5-7个碳原子的单环芳族基团或者由具有5-7个碳原子的芳族基团组成的化合物,通常为苯基、萘基、菲基、蒽基等。任选地,这些基团被1-4个、更优选为1-2个烷基、烷氧基、羟基、和/或硝基取代基取代。
本文中所使用的“链烯基”是指具有2-24个碳原子和至少一个双键的支链或支链烃链。本文中的优选链烯基含有1-12个碳原子。
本文中所使用的术语“烷氧基”是指通过单个、末端醚联接束缚的烷基;也就是说,烷氧基可以被定义为-OR,其中R是如上所述的烷基。
预期可利用的各种涂层材料、涂层溶液和薄膜可用于制造各种电子装置、微电子装置、特别是半导体集成电路以及用于电子和半导体元件的各种分层材料,包括掩硬层、电介质层、蚀刻阻止层和掩藏的蚀刻阻止层。这些涂层材料、涂层溶液和薄膜与可能用于分层材料和装置的其它材料相当配伍,例如金刚烷基质化合物、金刚烃基质化合物、硅核化合物、有机电介质、和微孔电介质。被认为与本发明中的涂层材料、涂层溶液和薄膜配伍的化合物公开在于2001年10月17日申请的PCT申请PCT/US01/32569;于2001年12月31日申请的PCT申请PCT/US 01/50812;美国申请序列号09/538276;美国申请序列号09/544504;美国申请序列号09/587851;美国专利6,214,746;美国专利6,171,687;美国专利6,172,128;美国专利6,156,812;于2002年1月15日申请的美国申请序列号60/350187;以及于2002年1月8日申请的US 60/347195中,在此将其全部内容引入作为参考。
本发明所描述的化合物、涂层、薄膜、材料等可用作电子元件和/或半导体元件的一部分或者用于形成电子元件和/或半导体元件的一部分。本文中所使用的术语“电子元件”还指可用于电路中为获得某些希望的电学功能的任何一种装置或部件。本文中预期的电子元件可以以各种不同方式分类,包括分为主动元件和被动元件。主动元件是指具有某些动力功能的电子元件,例如放大、振动、或信号控制,为了操作这些功能通常需要能源。其实例有双极晶体管、场效应晶体管、和集成电路。被动元件是指在操作时保持静态的电子元件,也就是通常不能放大或振动,并且对于其特征性操作通常不需要能量。其实例有传统电阻器、电容器、诱导器、二极管、整流器和保险丝。
本发明的组合物还可用作与单集成电路(″IC″)芯片联合的互联器中的夹层电介质。集成电路芯片通常在其表面具有多层本发明的组合物和多层金属导体。它还可以包括位于离散金属导体之间的无机组合物区域或者位于集成电路相同层或水平的导体区域。
本发明所预期的电子元件还可以分为导体、半导体、或绝缘体。这里的导体是指允许电荷载体(例如电子)在作为电流的原子中随意移动的元件。导体元件的实例有电路痕迹以及由金属组成的通路。绝缘体是指其功能基本上与耐电流传导材料的能力相关的元件,例如用于与其它元件电隔离的材料,而半导体是指具有介于导体和绝缘体之间的基本上与导电材料的能力相关的功能的元件。半导体的实例有晶体管、二极管、某些激光器、整流器、闸流管和光敏元件。
本发明所预期的电子元件还可以分为能量来源或能量消耗元件。能量来源元件通常用于为其它元件提供能量,包括电池、电容器、线圈和染料电池。能量消耗元件包括电阻器、晶体管、集成电路(IC)、传感器等。
本发明所预期的其它电子元件还可以分为离散和集成元件。离散元件是指能够提供集中于电路中某位置的特殊电学特性的装置。其实例有电阻器、电容器、二极管和晶体管。集成元件是指各种能够在电路中某位置提供多样的电学特性的元件的联合形式。其实例有其中将多种元件和连接痕迹相结合以完成多样化或复杂的功能例如逻辑运算的集成电路。
实施例
实施例1
在将本文所描述的组合物应用于IC时,将本发明组合物的一种溶液使用常规湿法涂布方法涂布到半导体晶片上,例如旋转涂布、或者其它熟知的涂布方法例如在特定情形下可使用的喷雾涂布、流动涂布或浸渍涂布。举例来说,将本发明组合物的环己酮溶液(在环境条件下、于具有非金属内层的任意常规装置中严格按照洁净操作草案制备以防止痕量金属污染)涂布于其中具有导电性元件制品的基片中,然后将涂布后的基片经热法处理。将该组合物涂布在平面或图案表面或者基片上形成层,这可以通过利用任意一种常规装置完成,包括旋转涂布器、因为本发明所使用的组合物对于这类涂布器而言具有适宜的可控粘度。溶剂的蒸发可以通过任何一种适宜的方法或装置完成,例如在旋转涂布过程中进行简单的空气干燥、曝露于环境温度下或者在最高达约350℃的电热板上加热。
将该无机材料涂布在电子图案表面上后,该涂布后的结构在为大约50℃至大约450℃的高温下经过烘焙和固化热处理以使涂层聚合。固化温度至少为大约300℃,这是因为更低的温度不能使这种反应充分完成。通常,优选固化在温度为大约375℃至大约425℃下完成。固化可以在常规的固化室中完成,例如电子炉、加热板等,通常是在固化室的惰性(非氧化)大气(氮气)中完成。除了加热板固化之外,本发明的组合物还可以通过曝露于紫外线照射、微波照射或者电子束照射下进行固化,这在已授权专利PCT/US 96/08678和美国专利6,042,994;6,080,526;6,177,143和6,235,353中有相关教导,在此将其全部内容引入作为参考。在本发明实践中可以使用任意一种非氧化或还原性大气(例如氩气、氦气、氢气和氮气加工气体),只要它们能够有效地引导该无机材料的固化。
在一实施方案中,在等离子蚀刻/灰化之后,本发明的方法还包括将碳损耗的受损无机薄膜通过置于等离子室中进行碳恢复。然后在有或没有载体的帮助下,引入本文所述的含有活性有机基团的硅烷。通过优化方法条件,使气体分散入薄膜中与残留的硅烷醇基团发生反应。在游离的硅烷醇基团被封端或嵌段之后,由于缺乏其它活性基团而使得进一步的缩合最小化。通过重新引入碳基团,还可以恢复低介电常数薄膜的疏水性和结构完整性。
因此,本发明已经公开了关于无机组合物和孔隙修补方法的各种具体实施方案和应用。然而,对于本领域技术人员而言显而易见的是,除了那些已经被描述过的变型之外,进行其它更多变型也是可能的,只要其不偏离本发明内涵。因此,除了所附权利要求书之外,本发明的主题不应受任何限制。此外,在对说明书和权利要求进行解释时,所有的术语应当被理解为符合上下文的尽可能广义的含义。具体地说,“包括”应该被理解为非排除方式的元素、组分、或者步骤,这表明其它没有被明确指明的元素、组分、或步骤可以与被指明的元素、组分、或步骤一起存在、使用、或合并。

Claims (28)

1.一种改性材料表面的方法,该方法包括:
提供具有大量活性硅烷醇基团的材料;
提供至少一种活性表面改性剂,所述活性表面改性剂包括至少一种含活性有机基团的硅烷化合物;
使用至少一部分所述的大量活性硅烷醇基团,将所述至少一种含活性有机基团的硅烷化合物化学封端;
在所述材料上沉积金属导体;和
使所述材料受到应力和热能。
2.权利要求1的方法,其中所述表面改性剂对材料恢复疏水性。
3.权利要求1的方法,其中所述材料包括无机材料。
4.权利要求3的方法,其中所述无机材料包括硅基无机材料。
5.权利要求1的方法,其中所述至少一种含活性有机基团的硅烷化合物包括具有下式的化合物:
(R1)xSi(R2)4-x
其中R1是具有1-3个碳原子的烷基、乙烯基或芳基;R2是氢、氯、乙酰氧基、甲氧基、乙氧基或者其它乙酰氧基团;以及x是1、2或3。
6.权利要求1的方法,其中所述材料通过退火金属,受到应力和热能两者。
7.权利要求1的方法,其中所述方法防止应力诱导的孔隙。
8.权利要求1的方法,其中所述至少一种含活性有机基团的硅烷化合物包括烷基乙酰氧基硅烷或芳基乙酰氧基硅烷。
9.权利要求1的方法,所述至少一种含活性有机基团的硅烷化合物包括甲基三丁酮肟基硅烷、甲基三乙酰氧基硅烷、二甲基二乙酰氧基硅烷、苯基三乙酰氧基硅烷、甲基三甲氧基硅烷或二苯基二乙酰氧基硅烷。
10.在一种碳损耗的受损材料中恢复碳的方法,该方法包括:
提供具有大量活性硅烷醇基团的碳损耗的受损材料;
提供至少一种活性表面改性剂,所述活性表面改性剂包括至少一种含活性有机基团的硅烷化合物;
使用至少一部分所述的大量活性硅烷醇基团,将所述至少一种含活性有机基团的硅烷化合物化学封端;
在所述材料上沉积金属导体;和
使所述材料受到应力和热能。
11.权利要求10的方法,其中所述表面改性剂对材料恢复疏水性。
12.权利要求10的方法,其中所述碳损耗的受损材料包括无机材料。
13.权利要求12的方法,其中所述无机材料包括硅基无机材料。
14.权利要求10的方法,其中所述至少一种含活性有机基团的硅烷化合物包括具有下式的化合物:
(R1)xSi(R2)4-x
其中R1是具有1-3个碳原子的烷基、乙烯基或芳基;R2是氢、氯、乙酰氧基、甲氧基、乙氧基或者其它乙酰氧基团;以及x是1、2或3。
15.权利要求10的方法,其中所述材料通过退火金属,受到应力和热能两者。
16.一种改性薄膜表面的方法,该方法包括:
提供具有大量活性硅烷醇基团的薄膜;
将所述薄膜置于等离子室中;
向等离子室中,以等离子的形式,引入至少一种表面改性剂,所述表面改性剂包括至少一种含活性有机基团的硅烷化合物,其中所述至少一种含活性有机基团的硅烷化合物与至少一部分所述的活性硅烷醇基团反应;
在所述薄膜上沉积金属导体;和
使所述薄膜受到应力和热能。
17.权利要求16的方法,其中所述表面改性剂对材料恢复疏水性。
18.权利要求16的方法,其中所述薄膜含有无机材料。
19.权利要求18的方法,其中所述无机材料包括硅基无机材料。
20.权利要求16的方法,其中所述至少一种含活性有机基团的硅烷化合物包括具有下式的化合物:
(R1)xSi(R2)4-x
其中R1是具有1-3个碳原子的烷基、乙烯基或芳基;R2是氢、氯、乙酰氧基、甲氧基、乙氧基或者其它乙酰氧基团;以及x是1、2或3。
21.权利要求16的方法,其中所述方法防止应力诱导的孔隙。
22.一种改性碳损耗的受损薄膜表面的方法,该方法包括:
提供具有大量活性硅烷醇基团的碳损耗的受损薄膜;
将所述薄膜置于等离子室中;
向等离子室中,引入至少一种表面改性剂,所述表面改性剂包括至少一种含活性有机基团的硅烷化合物;
使所述至少一种含活性有机基团的硅烷化合物与至少一部分所述的活性硅烷醇基团反应;
在所述薄膜上沉积金属导体;和
使所述薄膜受到应力和热能。
23.权利要求22的方法,其中所述至少一种含活性有机基团的硅烷化合物与至少一部分所述的活性硅烷醇基团的反应的步骤,进一步包括对薄膜恢复疏水性、结构完整性或者其组合。
24.权利要求22的方法,其中所述薄膜含有无机材料。
25.权利要求24的方法,其中所述无机材料包括硅基无机材料。
26.权利要求22的方法,其中所述至少一种含活性有机基团的硅烷化合物包括具有下式的化合物:
(R1)xSi(R2)4-x
其中R1是具有1-3个碳原子的烷基、乙烯基或芳基;R2是氢、氯、乙酰氧基、甲氧基、乙氧基或者其它乙酰氧基团;以及x是1、2或3。
27.权利要求1的方法生产的材料。
28.权利要求10的方法生产的材料。
CN200480002723XA 2003-01-25 2004-01-26 受损电介质材料和电介质膜的修复和恢复 Expired - Fee Related CN1742363B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44247903P 2003-01-25 2003-01-25
US60/442,279 2003-01-25
PCT/US2004/002252 WO2004068555A2 (en) 2003-01-25 2004-01-26 Repair and restoration of damaged dielectric materials and films

Publications (2)

Publication Number Publication Date
CN1742363A CN1742363A (zh) 2006-03-01
CN1742363B true CN1742363B (zh) 2010-10-13

Family

ID=39720145

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480002723XA Expired - Fee Related CN1742363B (zh) 2003-01-25 2004-01-26 受损电介质材料和电介质膜的修复和恢复

Country Status (7)

Country Link
US (1) US7915181B2 (zh)
EP (1) EP1588411A4 (zh)
JP (1) JP4999454B2 (zh)
KR (1) KR101040687B1 (zh)
CN (1) CN1742363B (zh)
TW (1) TWI335072B (zh)
WO (1) WO2004068555A2 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4591032B2 (ja) * 2004-10-15 2010-12-01 Jsr株式会社 表面疎水化用組成物、表面疎水化方法および半導体装置の製造方法
US7163900B2 (en) * 2004-11-01 2007-01-16 Infineon Technologies Ag Using polydentate ligands for sealing pores in low-k dielectrics
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
JP5019714B2 (ja) * 2005-01-31 2012-09-05 大陽日酸株式会社 低誘電率膜のダメージ回復法
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
JP4716370B2 (ja) * 2006-03-27 2011-07-06 東京エレクトロン株式会社 低誘電率膜のダメージ修復方法及び半導体製造装置
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
JP5404361B2 (ja) 2009-12-11 2014-01-29 株式会社東芝 半導体基板の表面処理装置及び方法
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
WO2016167892A1 (en) 2015-04-13 2016-10-20 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079300A (en) * 1989-03-01 1992-01-07 Raychem Corporation Method of curing organpolysiloxane compositions and compositions and articles therefrom
CN1345464A (zh) * 1999-01-26 2002-04-17 联合讯号公司 多官能硅基低聚物/聚合物纳米孔二氧化硅薄膜的表面改性中的应用

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4567221A (en) * 1983-03-31 1986-01-28 Kuraray Co., Ltd. Water resistant compositions
US4654269A (en) * 1985-06-21 1987-03-31 Fairchild Camera & Instrument Corp. Stress relieved intermediate insulating layer for multilayer metalization
US4624739A (en) * 1985-08-09 1986-11-25 International Business Machines Corporation Process using dry etchant to avoid mask-and-etch cycle
US5372851A (en) * 1991-12-16 1994-12-13 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a chemically adsorbed film
JP2603017B2 (ja) 1991-12-16 1997-04-23 松下電器産業株式会社 化学吸着膜の製造方法
US5271777A (en) * 1991-12-17 1993-12-21 Hughes Aircraft Company High efficiency coil fabrication process
US5576247A (en) * 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JP3219315B2 (ja) 1992-08-21 2001-10-15 大日本印刷株式会社 位相シフトマスクの白欠陥修正方法
US5429730A (en) * 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
WO1994021386A2 (en) * 1993-03-25 1994-09-29 Research Corporation Technologies, Inc. Polymers useful in forming self-assembled bonded anisotropic ultrathin layers and their use
JPH0766287A (ja) 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH07335758A (ja) 1994-04-15 1995-12-22 Matsushita Electric Ind Co Ltd 多層金属配線の形成方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5479727A (en) * 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5609629A (en) * 1995-06-07 1997-03-11 Med Institute, Inc. Coated implantable medical device
US6258972B1 (en) * 1995-08-03 2001-07-10 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
JP3618150B2 (ja) 1995-10-09 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
JP3230182B2 (ja) 1996-02-29 2001-11-19 シャープ株式会社 半導体装置の製造方法
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
RU2089499C1 (ru) 1996-12-11 1997-09-10 Смирнов Александр Витальевич Способ получения гидрофобного дисперсного материала
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
US5750610A (en) * 1997-02-24 1998-05-12 Dow Corning Corporation Hydrophobic organosilicate-modified silica gels
US5915175A (en) * 1997-06-27 1999-06-22 Siemens Aktiengesellschaft Mitigation of CMP-induced BPSG surface damage by an integrated anneal and silicon dioxide deposition
DE69827259T2 (de) 1997-07-15 2006-02-16 Asahi Kasei Kabushiki Kaisha Zusammensetzungen aus alkoxysilan und organischem polymer zur herstellung von dünnen isolierenden schichten und deren verwendung
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
EP1019954B1 (en) * 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
TWI222426B (en) 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
US6395651B1 (en) * 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6410149B1 (en) * 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6090724A (en) * 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6177143B1 (en) * 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
JP3266195B2 (ja) * 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
US6029826A (en) * 1999-04-14 2000-02-29 Clay; Timothy H. Rotatable and releasable sports ball display mechanism
JP3229294B2 (ja) * 1999-06-04 2001-11-19 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
KR100333546B1 (ko) 1999-06-10 2002-04-24 박종섭 반도체소자의 제조방법
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) * 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
US6420193B1 (en) * 2000-03-17 2002-07-16 Advance Micro Devices, Inc. Repair of film having an SI-O backbone
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6451512B1 (en) * 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6713382B1 (en) * 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6566283B1 (en) * 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100396889B1 (ko) * 2001-03-08 2003-09-03 삼성전자주식회사 크랙방지층을 이용한 콘택 형성방법 및 이를 이용한반도체 소자
JP2002353308A (ja) 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
TW483099B (en) 2001-06-15 2002-04-11 United Microelectronics Corp Method to reduce moisture absorption of porous low dielectric constant film
DE10130824C2 (de) 2001-06-27 2003-12-18 Promos Technologies Inc Reparaturverfahren für einen dielektrischen Film mit einem Lochdefekt auf einem Substrat
US6879046B2 (en) * 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6583067B2 (en) * 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US20030013211A1 (en) * 2001-07-13 2003-01-16 Chu-Chun Hu Mend method for breakage dielectric film
TW495880B (en) 2001-08-30 2002-07-21 United Microelectronics Corp Method of repairing a low dielectric constant material layer
US6521547B1 (en) * 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
DE10145724A1 (de) * 2001-09-17 2003-04-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur unter Verwendung einer Schutzschicht und Halbleiterstruktur
JP2003142476A (ja) 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6537919B1 (en) * 2001-12-19 2003-03-25 Taiwan Semiconductor Manufacturing Company Process to remove micro-scratches
US7083991B2 (en) * 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
AU2003220039A1 (en) * 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
JP4025096B2 (ja) 2002-03-08 2007-12-19 株式会社荏原製作所 基板処理方法
US6607925B1 (en) * 2002-06-06 2003-08-19 Advanced Micro Devices, Inc. Hard mask removal process including isolation dielectric refill
US20050229947A1 (en) * 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
EP1539376A4 (en) * 2002-08-14 2011-04-06 Applied Thin Films Inc COMPOUNDS, COMPOSITIONS AND MATERIALS OF ALUMINUM PHOSPHATE, AND ASSOCIATED COMPOSITES
AU2002357645A1 (en) * 2002-09-20 2004-04-08 Honeywell International, Inc. Interlayer adhesion promoter for low k materials
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6802944B2 (en) * 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
JP2004266008A (ja) * 2003-02-28 2004-09-24 Toshiba Corp 半導体装置の製造方法
US7442412B2 (en) * 2003-05-08 2008-10-28 Texas Instruments Incorporated Hydrophobic coating for oxide surfaces
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
WO2005034194A2 (en) 2003-10-08 2005-04-14 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US6821880B1 (en) * 2003-12-01 2004-11-23 Taiwan Semiconductor Manufacturing Co. Ltd. Process of dual or single damascene utilizing separate etching and DCM apparati
JP2005294525A (ja) * 2004-03-31 2005-10-20 Toshiba Corp 半導体装置の製造方法
WO2005109475A2 (en) * 2004-05-04 2005-11-17 California Institute Of Technology Zeolite films for low k applications

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079300A (en) * 1989-03-01 1992-01-07 Raychem Corporation Method of curing organpolysiloxane compositions and compositions and articles therefrom
CN1345464A (zh) * 1999-01-26 2002-04-17 联合讯号公司 多官能硅基低聚物/聚合物纳米孔二氧化硅薄膜的表面改性中的应用

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
US 2002/0001973 A1,说明书第28段,第32段到第33段,第37段,第43段,第49段.
US 5079300 A,全文.
WO 02/01621 A2,说明书第22页第15行到第20行.

Also Published As

Publication number Publication date
WO2004068555A2 (en) 2004-08-12
JP2006517347A (ja) 2006-07-20
EP1588411A4 (en) 2008-10-01
TWI335072B (en) 2010-12-21
US7915181B2 (en) 2011-03-29
US20060141641A1 (en) 2006-06-29
TW200428632A (en) 2004-12-16
CN1742363A (zh) 2006-03-01
WO2004068555A3 (en) 2005-02-03
EP1588411A2 (en) 2005-10-26
KR20050095866A (ko) 2005-10-04
KR101040687B1 (ko) 2011-06-10
JP4999454B2 (ja) 2012-08-15

Similar Documents

Publication Publication Date Title
CN1742363B (zh) 受损电介质材料和电介质膜的修复和恢复
CN1839468B (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
JP4125637B2 (ja) 低誘電率材料及びその製造方法
US7678712B2 (en) Vapor phase treatment of dielectric materials
CN101015045A (zh) 处理剂材料
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
US6962727B2 (en) Organosiloxanes
JP2005516394A (ja) 狭ギャップ充填用途の誘電フィルム
EP1803149A2 (en) Treating agent materials
EP1197999B1 (en) Method of forming low-dielectric-constant film, and semiconductor substrate with low-dielectric-constant film
CN101021680A (zh) 形成曝光光线阻挡膜的材料、多层互连结构及其制造方法以及半导体器件
US6602801B2 (en) Method for forming a region of low dielectric constant nanoporous material
JP2005536026A (ja) ナノ多孔質材料およびその形成方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
KR20070090199A (ko) 반도체 적용을 위한 선택적 제거용 화학 물질 및 이를 생산및 사용하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20101013

Termination date: 20120126