CN101015045A - 处理剂材料 - Google Patents

处理剂材料 Download PDF

Info

Publication number
CN101015045A
CN101015045A CNA2005800304504A CN200580030450A CN101015045A CN 101015045 A CN101015045 A CN 101015045A CN A2005800304504 A CNA2005800304504 A CN A2005800304504A CN 200580030450 A CN200580030450 A CN 200580030450A CN 101015045 A CN101015045 A CN 101015045A
Authority
CN
China
Prior art keywords
dielectric film
silicate glass
composition
acetate
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800304504A
Other languages
English (en)
Inventor
A·S·巴纳普
B·A·克洛莱夫
R·Y·梁
B·C·穆诺兹
T·A·拉莫斯
R·R·罗思
P·G·阿彭
D·H·恩迪施
B·J·丹尼尔斯
A·纳曼
N·伊瓦莫托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Honeywell International Inc
Original Assignee
Honeywell International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Honeywell International Inc filed Critical Honeywell International Inc
Publication of CN101015045A publication Critical patent/CN101015045A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一种当施用至所述薄膜时,能增加有机硅酸盐玻璃介电薄膜疏水性的处理剂组合物。它包括能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及可为酸、碱、类化合物、脱水剂及其组合的活化剂,和任选的溶剂或主要溶剂和共溶剂的混合物。

Description

处理剂材料
发明背景
发明领域
本发明涉及有机硅酸盐玻璃介电薄膜的处理剂组合物。更特别是,本发明涉及恢复有机硅酸盐玻璃介电薄膜表面的疏水性的方法,该薄膜以除去至少一部分先前存在的含碳部分的方式已经历蚀刻或灰化处理,得到具有疏水性降低的薄膜。为了确保这些薄膜的低介电常数和稳定的电介质性质,这些处理过的薄膜在半导体器件例如集成电路(“IC”)的制备中用作绝缘材料。这些组合物包括具有活性离去基团的硅烷基单体,可以是酸、碱、类化合物、脱水剂及其组合的活化剂,及溶剂或主要溶剂和共溶剂的混合物。
相关技术描述
作为较低技术节点的半导体器件标准,对越来越低的介电常数(k)的要求已被认为用于减轻RC延迟。类似地,由于集成电路特征尺寸的减少,电力消耗和信号串音的问题已经变得越来越难于解决。为了在致密的无机材料中达到更低的k(2.6-3.0),加入碳以减少极化性从而降低了k。为了获得超低k(<2.4)的材料,向富含碳的致密基体中加入孔隙。虽然碳和孔隙的引入已经减低了k,但是在线路处理的后端期间也已提出新挑战。具体地说,在蚀刻和灰化期间,发现活性气体在致密材料表面损坏碳。由于在该薄膜中扩散,活性蚀刻和灰化气体导致的多孔低k具有更灾难性的影响,引起内孔壁更大范围的损坏。一旦碳被损坏,薄膜再羟基化并且氢与水键合。因为水的介电常数为70,被多孔材料和致密材料吸收的少量水引起介电常数显著升高。同样,由于高张应力场,铜退火后多孔材料趋向于空隙,这将破坏器件产率。这些无一是可以接受的并产生无用材料。
据认为:夹层电介质(interlevel dielectric)(ILD)和金属间电介质(intermetal dielectric)(IMD)应用的低介电常数材料的集成将有助于解决这些问题。虽然先前已有将低介电常数材料施用至集成电路的努力,在本领域仍然存在对进一步改进加工方法和优化此类材料的电介质性质及机械性质的长期需求。在未来集成电路按比例确定的器件明显的需要使用低介电常数材料作为相互连接结构的一部分。大多数用于亚-100nm代IC的低介电常数材料的候选者是含碳的SiO2薄膜,由CVD或旋涂方法形成。在后续加工步骤中,例如等离子体蚀刻和使用等离子体或湿法剥离法的光刻胶去除,这些低k材料发生明显的损坏,这导致氟的加入和从邻近所蚀刻表面的低k材料中排除碳。除了更高有效的k外,所生成的结构易于空隙形成、除气和砂眼形成。空隙反过来可引起高压下漏电的增加及电压故障的减少。本发明描述了减少损坏的方法,和通过用硅烷化试剂在引起损坏之后处理晶片所产生的结果。已报道非损坏性灰化学,例如H2/He的使用以减少贫碳及相关问题。在这点上,参见I.Berry,A.Shiota,Q.Han,C.Waldfried,M.Sekiguchi,and O.Escorcia,Proceedings-Electrochemical Society,22,202(2002);及A.Matsushita,N.Ohashi,K.Inukai,H.J.Shin,S.Sone,K.Sudou,K.Misawa,I.Matsumoto,and N.Kobayashi,Proceedings of IEEEInternational Interconnect Technology Conference,2003,147(2003)。或者,再补充碳的灰化处理后也显示可恢复疏水性和降低介电常数。再补充碳的灰化处理后也显示可恢复疏水性和降低介电常数。在这点上,参见Y.S.Mor,T.C.Chang,P.T.Liu,T.M.Tsai,C.W.Chen,S.T.Yan,C.J.Chu,W.F.Wu,F.M.Pan,W.Lur;and S.M.Sze,Journal ofVacuum Science&Technology,B,2(4),1334(2002);及P.G.Clark,B.D.Schwab,and J.W.Butterbaugh,Semiconductor International,26(9),46(2003)。后一方法的优点是它允许使用已经建立的蚀刻和灰化方法。最后,采用灰化处理后修复多孔SiCOH-基的低k材料引起的损坏将是可取的。
解决该挑战的一种方法是用称为处理剂(TA)的再甲基化化合物修复致密表面的破损区域,或者在多孔材料的情况下修复内孔壁破损区域。处理剂与损坏的再羟基化表面反应,并使其再烷基化或再芳基化,这反过来恢复了介电常数。下列反应描述了再甲基化方法的实例:SiOH(损坏的表面)+RxSi(OCOCH3)y(TA)产生SiOSiRx(修复的表面)+(CH3COOH)y(乙酸)。在多孔损坏的内孔壁表面的情况下,再甲基化防止空隙的形成。许多次,处理剂的使用使常规蚀刻和灰化方法可以使用低和超低介电常数材料。处理可导致低k薄膜碳的再补充,因此恢复了疏水性和在湿法清洁操作期间对进一步损坏的抵抗。另外,如果发现所修复的低k材料对空隙形成产生抵抗将是可取的,空隙形成通常出现在铜退火处理期间未处理的多孔低k夹层电介质区域。硅烷化试剂(“处理剂”)可以使基于SiO2的材料表面甲基化。所考虑的暴露包括蒸气暴露(有或无等离子体)、旋涂和超临界CO2。正常地,基于SiCOH的多孔低k材料在Cu贴金处理期间,在ILD中易于形成空隙。在用处理剂处理后,所得结构对空隙形成明显更能抵抗。不受任何具体理论或机理的束缚,据认为等离子体损害通过用Si-OH键代替Si-CH3键引起电介质中贫碳。在损坏的多孔电介质中,孔表面现在用Si-OH键覆盖,在张应力的存在下(例如在Cu退火后),邻近的Si-OH基团可缩合,因此引起局部致密化。正在产生的反应产物和由于新连接形成所产生的分子伸展,引起空隙在接近ILD空间的中央出现。处理剂通过由Si-O-Si-Rx键代替大部分Si-OH键防止了空隙的形成,避免了缩合反应。因此空隙形成未发生。
另外,也已知由于SiO-SiR2-OSi连接的存在(其中SiR2是处理基体中官能度的一个实例),多孔材料的模量应提高。大多数多孔材料需要模量的保持和提高以承受所施加的应力。所研究的处理键-二甲基甲硅烷基键明显地提高了模量。如果施用至硅酸盐的弱化区域,期望材料的外部应力提高。
在电介质开沟及通路形成和蚀刻和灰化步骤后进行的处理剂组合物处理修复了贫碳和对低k材料的损坏。通过该方法,空隙被防止,而后者可承受由对金属填充沟和通路进行的退火处理引起的内部应力。
处理剂组合物处理通过将晶片表面暴露于液体或气体形式的硅烷化试剂中足够长时间进行,以完成与受损害低K区域的反应。任选,可进行高温烘焙以除去剩余溶剂和过量的处理剂。同样,任选,在处理剂施用后或在烘焙步骤后,可立即用与低k电介质相容的可从商业上获得的化学品进行湿法清洁操作。另外,在用处理剂处理之前可进行脱水烘焙,以提高处理剂处理的有效性。
处理剂处理的有效性可使用经历蚀刻和灰化处理,接着用处理剂处理的无图案的低k介电薄膜检验。成功的处理剂处理导致可由FTIR、EDX或XPS技术测定的碳浓度的增加。另外,观察到水接触角的增加,这显示处理后表面的疏水性质。处理剂处理的薄膜与未用处理剂处理的蚀刻/灰化薄膜相比,也显示由C-V测定得到更低的介电常数。在带图案的晶片中,处理剂处理的有效性通过在铜退火处理,接着铜电镀后的Cu沟之间的窄空间中的低k电介质空隙的减少或消除来证明,也可通过在暴露于反应性溶剂后沟或通路的更低特征(profile)变化来证明。
已发现处理剂使用具有活性离去基团的硅烷基单体和活化剂制备,该活化剂可为胺、类化合物、碱金属氢氧化物或其组合。
在本发明的一个实施方案中,组合物还包含溶剂,该溶剂包括乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1,2-二氯苯、氯代甲苯、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
在本发明的另一个实施方案中,组合物还包含混合物,优选主要溶剂和共溶剂的互溶混合物,该混合物能够使能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分和活化剂增溶;该共溶剂比主要溶剂具有更高的蒸气压和/或沸点。
发明概述
本发明提供用于处理有机硅酸盐玻璃介电薄膜的组合物,该组合物包含:
a)能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及
b)活化剂。
本发明也提供一种方法,该方法包括:
a)形成有机硅酸盐玻璃介电薄膜;
b)使有机硅酸盐玻璃介电薄膜与组合物接触,该组合物包含能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分;及活化剂。
本发明还提供防止在基体上的有机硅酸盐玻璃介电薄膜中形成应力引起的空隙的方法,该有机硅酸盐玻璃介电薄膜经历了至少一个步骤,所述步骤除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性,该方法包括在经历了至少一个除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜疏水性的步骤后,使有机硅酸盐玻璃介电薄膜与一定浓度的组合物接触,并保持一段时间以使至少某些含碳部分疏水性有效恢复或增加该有机硅酸盐玻璃介电薄膜的疏水性,其中该组合物包含:
a)能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及
b)活化剂。
本发明还进一步提供形成微电子器件的方法,该方法包括:
a)在基体上形成有机硅酸盐玻璃介电薄膜;
b)使有机硅酸盐玻璃介电薄膜经历至少一个步骤,所述步骤除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使有机硅酸盐玻璃介电薄膜与一定浓度的组合物接触,并保持一段时间以使至少一部分先前存在的含碳部分有效恢复或增加该有机硅酸盐玻璃介电薄膜的疏水性,其中该组合物包含:能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分;及活化剂。
本发明还进一步提供形成微电子器件的方法,该方法包括:
a)将有机硅酸盐玻璃介电薄膜施用到基体上;
b)在有机硅酸盐玻璃介电薄膜中形成通路和沟的图案,并使有机硅酸盐玻璃介电薄膜经历至少一次处理,所述处理除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使有机硅酸盐玻璃介电薄膜与一定浓度的处理剂组合物接触,并保持一段时间以使该有机硅酸盐玻璃介电薄膜的疏水性有效增加,其中该处理剂组合物包含能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及活化剂。
发明详述
在本发明的上下文中,具有低介电常数(通常低于3)的电介质材料尤其理想,因为它们通常允许更快的信号传播,减少电容效应和导体线路之间的串音,并降低驱动集成电路的电压。本发明涉及多孔和无孔电介质材料。一种具有低介电常数的材料是可用作泡沫状电介质材料的二氧化硅。为了达到尽可能最低的电介质值,将空气导入到二氧化硅电介质材料中。空气的介电常数为1,当空气导入到纳米多孔或纳米级孔结构形式的二氧化硅电介质材料中时,达到了相对低的介电常数(″k″)。应理解:当使用术语“二氧化硅”时,除非特别提及“SiO2”官能团,本文中所使用的术语“二氧化硅”,例如涉及到多孔和无孔介电薄膜,是指用本发明方法由有机或无机玻璃基材料,例如任何含有一种或多种硅-基电介质前体的合适的起始原料制备的介电薄膜。也应理解:本文中单数术语的使用并不受如此限制,而是如果适当,也包括复数,例如,本发明的示例性方法可描述成施用至并制备“薄膜”,但是它是指可用所描述的示例性和要求保护的方法,按所期望的制备许多薄膜。本文中所使用的有关二氧化硅电介质材料的术语“薄膜”将包括任何其他合适的形式或形状,其中任选采用此类二氧化硅电介质材料。纳米多孔二氧化硅是有吸引力的,因为当用于目前采用的旋涂玻璃法(″SOG″)和化学蒸气沉积(″CVD″)二氧化硅SiO2时,它采用类似的前体,包括有机取代的硅烷,例如四甲氧基硅烷(″TMOS″)和/或四乙氧基硅烷(″TEOS″)。如本文中使用,术语“空隙”和“孔”指其中质量由气体代替或其中产生真空的间隙体积。气体的组成通常并不关键,适当的气体包括相对纯的气体及其混合物,包括空气。纳米多孔聚合物可包含许多孔。孔通常是球形的,但是或者可以或另外可以具有任何合适的形状,包括管状、层状、盘状或其他形状。孔可在多孔聚合物中均匀地或随机地分散。也考虑到孔可具有任何适当的直径。进一步考虑到至少某些孔可与邻近的孔连接产生具有大量连接或“开放”多孔的结构。
纳米多孔二氧化硅薄膜先前由许多方法制备。合适的硅-基前体组合物和形成纳米多孔二氧化硅介电薄膜的方法,例如由下列共同拥有的美国专利描述:6,048,804、6,022,812、6,410,149、6,372,666、6,509,259、6,218,497、6,143,855、6,037,275、6,042,994、6,048,804、6,090,448、6,126,733、6,140,254、6,204,202、6,208,041、6,318,124和6,319,855,所有专利通过引用结合到本文中。
其他电介质和低电介质材料包含基于无机的化合物,例如在2002年2月19日递交的共同转让待审的美国专利申请顺序号10/078919中公开的硅-基化合物;(例如可在商业上从Honeywell International Inc.获得的NANOGLASS和HOSP产品)。电介质和低电介质材料可通过将该材料旋涂于表面上、浸涂、喷涂、化学蒸气沉积(CVD)、将该材料辊涂于表面、将该材料滴于表面和/或将该材料涂布于表面来施用。用于本发明的电介质包括CVD沉积材料,例如掺入碳的氧化物如在商业上可从Applied Materials,Inc.获得的Black Diamond,在商业上可从Novellus获得的Coral,在商业上可从ASM获得的Aurora,和在商业上可从Trikon获得的Orion。
如本文中使用,短语“旋涂材料”、“旋涂有机材料”、“旋涂组合物”和“旋涂无机组合物”可相互交换使用,并指那些可用旋涂涂布方法旋涂到基体或表面上的溶液和组合物。硅-基化合物的实例包括硅氧烷化合物,例如甲基硅氧烷、甲基硅倍半氧烷、苯基硅氧烷、苯基硅倍半氧烷、甲基苯基硅氧烷、甲基苯基硅倍半氧烷、硅氮烷聚合物、硅酸酯(盐)聚合物及其混合物。所考虑的硅氮烷聚合物为全氢硅氮烷,它具有可连接发色团的“透明”聚合物主链。旋涂玻璃材料也包括硅氧烷聚合物和嵌段聚合物、通式为(H0-1.0SiO1.5-20)x的氢硅氧烷(hydrogensiloxane)聚合物和式为(HSiO1.5)x的氢硅倍半氧烷聚合物,其中x大于约4。也包括氢硅倍半氧烷和烷氧基氢化硅氧烷或羟基氢化硅氧烷的共聚物。旋涂玻璃材料另外包括通式为(H0-10SiO1.5-20)n(R0-1.0SiO1.5-20)m的有机氢化硅氧烷聚合物和通式为(HSiO1.5)n(RSiO1.5)m的有机氢化硅倍半氧烷聚合物,其中m大于零,及n和m的和大于约4,R为烷基或芳基。某些有用的有机氢化硅氧烷聚合物的n和m的和为约4至约5000,其中R为C1-C20烷基或C6-C12芳基。有机氢化硅氧烷和有机氢化硅倍半氧烷聚合物或者指旋涂聚合物。某些具体的实例包括烷基氢化硅氧烷,例如甲基氢化硅氧烷、乙基氢化硅氧烷、丙基氢化硅氧烷、叔丁基氢化硅氧烷、苯基氢化硅氧烷;及烷基氢化硅倍半氧烷,例如甲基氢化硅倍半氧烷、乙基氢化硅倍半氧烷、丙基氢化硅倍半氧烷、叔丁基氢化硅倍半氧烷、苯基氢化硅倍半氧烷及其组合。几种所考虑的旋涂材料在下列已发布专利和待审申请中有所描述,它们通过引用整体结合到本文中:美国专利6,506,497、6,365,765、6,268,457、6,177,199、6,358,559、6,218,020、6,361,820、6,218,497、6,359,099、6,143,855、6,512,071,2001年11月10日递交的美国专利申请顺序号10/001143;2000年6月8日递交的PCT/US00/15772,及1999年1月7日递交的PCT/US00/00523。
有机氢化硅氧烷和有机硅氧烷树脂溶液可用于形成笼形硅氧烷聚合物薄膜,此类薄膜用于制备各种电子器件、微电子器件,特别是半导体集成电路和各种电子和半导体元件的层状材料,包括硬屏蔽层、电介质层、蚀刻终止层和埋藏的蚀刻终止层。这些有机氢化硅氧烷树脂层可与其他可用于层状材料和器件的材料相容,例如基于金刚烷(adamantane)的化合物、基于钻石烷(diamantane)的化合物、硅核化合物、有机电介质和纳米多孔电介质。本文中考虑到的可与有机氢化硅氧烷树脂层非常相容的化合物在美国专利6,214,746、6,171,687、6,172,128、6,156,812,2002年1月15日递交的美国申请顺序号60/350187;美国专利申请顺序号09/538276;美国专利申请顺序号09/544504;美国专利申请顺序号09/587851;及2002年1月8日递交的U.S.60/347195;2001年10月17日递交的PCT申请PCT/US01/32569;2001年12月31日递交的PCT申请PCT/US01/50812中公开,它们通过引用整体结合到本文中。
本文中使用的合适的有机氢化硅氧烷树脂具有下列通式:
[H-Si1.5]n[R-SiO1.5]m                    式(1)
[H0.5-Si1.5-1.8]n[R0.5-1.0-SiO1.5-1.8]m  式(2)
[H0-1.0-Si1.5]n[R-SiO1.5]m               式(3)
[H-Si1.5]x[R-SiO1.5]y[SiO2]z             式(4)
其中:
n和m的和,或x、y和z的和为约8至约5000,选择m或y使含碳组分以小于约40%的量(低有机含量=LOSP)或以大于约40%的量(高有机含量=HOSP)存在;R选自取代和未取代的正构和支链烷基(甲基、乙基、丁基、丙基、戊基)、烯基(乙烯基、烯丙基、异丙烯基)、环烷基、环烯基、芳基(苯基、苄基、萘基、蒽基和菲基)及其混合物;并且其中含碳取代基的比摩尔百分比(specific mole percent)是起始原料量比率的函数。在某些LOSP实施方案中,获得特别有利的结果,具有在约15%摩尔至约25%摩尔之间的含碳取代基的摩尔百分比。在某些HOSP实施方案中,获得有利的结果,含碳取代基的摩尔百分比在约55%摩尔至约75%摩尔之间。
也可用介电常数范围在约1.5至约4之间的纳米多孔二氧化硅介电薄膜作为层之一。将纳米多孔二氧化硅薄膜作为硅-基前体放下,在水的存在下老化或缩合,并充分加热以除去基本上所有孔(porogen)及在该薄膜中形成空隙。硅-基前体组合物包含具有式:Rx-Si-Ly的单体或预聚物,其中R独立选自烷基、芳基、氢及其组合,L为电负性部分,例如烷氧基、羧基、氨基、酰胺基、卤离子、异氰酸根(isocyanato)及其组合,x为0至约2的整数,y为约2至约4的整数。可在美国专利6,171,687、6,172,128、6,214,746、6,313,185、6,380,347和6,380,270中发现其他纳米多孔化合物和方法,这些专利整体结合到本文中。
短语“笼形结构”、“笼形分子”和“笼形化合物”可相互交换使用,并指具有至少10个原子的分子,以至少一个桥共价连接两个或多个环系统原子的形式排列。换句话说,笼形结构、笼形分子或笼形化合物包含由共价结合的原子形成的多个环,其中该结构、分子或化合物限定一体积,以使位于该体积的点不能不经过该环而离开该体积。桥和/或环系统可包含一个或多个杂原子,并可以是芳族、部分饱和或不饱和的。其它考虑到的笼形结构包括富勒烯和具有至少一个桥的冠醚。例如,金刚烷或钻石烷被认为是笼形结构,而萘化合物或芳族螺环化合物在该定义范围内不认为是笼形结构,因为萘化合物或芳族螺环化合物不具有一个或多于一个的桥。考虑到的笼形化合物不需要必须限于仅包含碳原子,而是也可包括杂原子例如N、S、O、P等。杂原子可有利地引入非四角形键角结构。关于所考虑的笼形化合物的取代基和衍生化,应认识到许多取代基和衍生化都是合适的。例如,当笼形化合物是相对疏水性的,可引入亲水性取代基以增加在亲水性溶剂中的溶解性,反之亦然。或者,在其中极性是所期望的情况下,可向该笼形化合物中加入极性侧基。进一步考虑到适当的取代基也可包括不耐热基团、亲核基团和亲电基团。也应认识到:官能团可用于笼形化合物中(例如,为了促进交联反应、衍生化反应等)。如本文中详细描述的,笼形分子或化合物也可为连接于聚合物主链的基团,因此,可形成纳米多孔材料,其中该笼形化合物形成一种类型的空隙(分子内),和其中至少一部分主链与其自己或另一个主链的交联可形成另一类型的空隙(分子间)。另外的笼形分子、笼形化合物和这些分子和化合物的变体在2001年10月18日递交的PCT/US01/32569中有详细描述,该申请通过引用整体结合到本文中。所考虑的聚合物也可包含宽范围的功能性或结构性部分,包括芳族系统和卤化基团。此外,合适的聚合物可具有许多构型,包括均聚物和杂聚物。而且,替代的聚合物可具有各种形式,例如线型、支化、超支化或三维的形式。所考虑聚合物的分子量跨越一个宽的范围,通常在400道尔顿-400000道尔顿之间或更大。如在聚合物领域中普遍已知的,也可用添加剂增强或赋予特殊的性质,包括稳定剂、阻燃剂、颜料、增塑剂、表面活性剂等。可将相容的或不相容的聚合物共混以给出所期望的性质。也可使用增粘剂。此类增粘剂以六甲基二硅氮烷为代表,它可用于与可在表面存在的可用羟基官能团,例如暴露于湿气或潮湿中的二氧化硅相互作用。用于微电子应用的聚合物最好含有低水平(通常小于1ppm,优选小于10ppb)的离子杂质,特别是用于电介质夹层时。
本文中描述的材料、前体和层可为并且以许多方式设计成可在任何合适的溶剂中溶剂化或溶解,只要所得溶液可施用至基体、表面、晶片或层状材料。典型的溶剂也是那些能够使单体、异构单体混合物和聚合物溶剂化的溶剂。考虑到的溶剂包括任何合适的纯有机或无机分子或混合物,在所期望的温度例如临界温度下挥发,或能促进任何上述设计目标或需要。溶剂也可包含任何合适的单一极性和非极性化合物或其混合物。如本文中使用,术语“极性”指在分子或化合物的一点上或沿着该分子或化合物产生不等电荷、部分电荷或自发电荷分布的分子或化合物特征。如本文中使用,术语“非极性”指在分子或化合物的一点上或沿着该分子或化合物产生同等电荷、部分电荷或自发电荷分布的分子或化合物特征。在某些考虑的实施方案中,溶剂或溶剂混合物(包含至少两种溶剂)包含那些被认为是烃族溶剂的一部分的溶剂。烃溶剂是包含碳和氢的溶剂。应理解大多数烃溶剂是非极性的;然而,有少数烃溶剂可被认为是极性的。烃溶剂通常分成三类:脂族、环状和芳族。脂族烃溶剂可包含直链化合物和支链及可能交联的化合物,然而,不认为脂族烃溶剂是环状的。环烃溶剂是包含至少三个定向于环结构的碳原子的溶剂,性质类似于脂族烃溶剂。芳烃溶剂是通常包含三个或更多不饱和键的溶剂,具有单环或由共用键连接的多环和/或稠合在一起的多环。考虑到的烃溶剂包括:甲苯、二甲苯、对二甲苯、间二甲苯、1,3,5-三甲基苯、溶剂石脑油H、溶剂石脑油A;烷烃,例如戊烷、己烷、异己烷、庚烷、壬烷、辛烷、十二烷、2-甲基丁烷、十六烷、十三烷、十五烷、环戊烷、2,2,4-三甲基戊烷、石油醚;卤代烃,例如氯代烃;硝化烃、苯、1,2-二甲基苯、1,2,4-三甲基苯、松香水、煤油、异丁基苯、甲基萘、乙基甲苯、石脑油(ligroine)。特别考虑到的溶剂包括但不限于戊烷、己烷、庚烷、环己烷、苯、甲苯、二甲苯及其混合物或组合。
在其他考虑到的实施方案中,溶剂或溶剂混合物可包含不被认为是化合物的烃族溶剂中一部分的那些溶剂,例如酮如丙酮、3-戊酮、二乙酮、甲乙酮等,醇、酮、酯、醚和胺。在还其他考虑到的实施方案中,溶剂或溶剂混合物可包含本文中提及的任何溶剂的组合。在某些实施方案中,溶剂包含水、乙醇、丙醇、丙酮、环氧乙烷、苯、甲苯、醚、环己酮、丁内酯、甲乙酮和苯甲醚。
还进一步考虑到替代的低介电常数材料也可包含另外的组分。例如,当低介电常数材料暴露于机械应力时,可加入软化剂或其他保护剂。在其他电介质材料被置于平滑表面的情况中,可最好使用增粘剂。在还其他情况中,加入洗涤剂或防沫剂可能是理想的。一般而言,将例如包括一种或多种可除去溶剂的旋涂玻璃组合物形式的前体施用至基体,然后聚合并以形成包含纳米级孔的介电薄膜的方式除去溶剂。
在形成此类纳米多孔薄膜时,例如其中将前体通过旋涂施用至基体,膜涂层通常用酸或碱催化剂和水催化,以在最初的加热步骤期间产生聚合/胶凝(“老化”)。然后将膜固化,例如如需要,通过使膜经历一个或多个更高温度加热的步骤,其中除去任何剩余溶剂并完成聚合反应过程。其他固化方法包括使膜经历辐射能,例如紫外线、电子束、微波能等。
共同拥有的美国专利6,204,202和6,413,882(通过引用结合到本文中)提供了硅-基前体组合物,和通过使一种或多种存在于该前体组合物中的聚合物或低聚物降解或气化形成纳米多孔二氧化硅介电薄膜的方法。共同拥有的美国专利6,495,479提供了硅-基前体组合物,和通过使一种或多种存在于该前体组合物中的化合物或聚合物降解或气化形成纳米多孔二氧化硅介电薄膜的方法。美国专利5,895,263描述了通过以下方法在基体,例如晶片上形成纳米多孔二氧化硅介电薄膜:施用包含可降解聚合物和有机聚二氧化硅(即包括缩合或聚合硅的聚合物)的组合物,加热该组合物以进一步使聚二氧化硅缩合,并将可降解的聚合物降解,形成多孔电介质层。
将前体施用至基体、老化、固化、平面化(planarization)及赋予膜疏水性的方法,例如,其中由共同拥有的美国专利6,589,889和6,037,275描述。本文中考虑到的基体和晶片可包含任何期望的基本上是固体的材料。特别期望的基体层可包含膜、玻璃、陶瓷、塑料、金属或涂覆的金属或复合材料。在优选的实施方案中,基体包含硅或锗的砷化物冲模或晶片表面,包装表面例如在镀铜、银、镍或金的铅框中发现的表面,铜表面例如在电路板或包装相互连接轨迹中发现的表面,通路壁或加强板界面(“铜”包括纯的铜和其氧化物的考虑),基于聚合物的包装或板界面例如在基于聚酰亚胺的弯曲包装中发现的界面,铅或其他金属合金焊接剂球表面,玻璃和聚合物例如聚酰亚胺。在考虑粘结界面时“基体”甚至可定义为另一种聚合物链。在更优选的实施方案中,基体包含包装和电路板工业中常见的材料例如硅、铜、玻璃和另一种聚合物。
后续的半导体制备过程例如通过PECVD技术沉积覆盖膜,及通过蚀刻和灰化方法形成图案的通路和沟的形成,原子层沉积,物理蒸气沉积及化学蒸气沉积处理,趋于从有机硅酸盐玻璃介电薄膜中除去为疏水基团的含碳部分,并用甲硅烷醇基团代替它们。当有机硅酸盐玻璃介电薄膜含有甲硅烷醇基团时产生不期望的性质。甲硅烷醇及它们可从空气中吸收的水在电场中是高度可极化的,因此将升高该薄膜的介电常数,并将降低对湿法清洁化学品的抵抗及增加挥发发生。还有,当沟和通路用金属填充并经历退火处理时,金属收缩在通路和沟壁上引起应力,并在通路和/或沟之间的电介质材料内部引起不期望的空隙形成。
为了解决这一问题,通过用处理剂处理,制备的有机硅酸盐玻璃介电薄膜基本上无甲硅烷醇和水,从而恢复含碳部分或增加该有机硅酸盐玻璃介电薄膜的疏水性。这使得该薄膜对通路和沟壁上的应力,例如在退火期间由金属收缩诱导的应力,来自其他电介质层的应力,和在包装期间产生的应力有抵抗,因此防止了在通路和/或沟之间的电介质材料内部形成不期望的空隙。
蚀刻和等离子体除去了疏水性官能团。在半导体制备过程中对有机硅酸盐玻璃介电薄膜的损坏,由将攻击性等离子体和/或蚀刻试剂施用至介电薄膜内的蚀刻沟和通路产生。在半导体器件的制备期间,也用等离子体除去光刻胶。所使用的等离子体通常由元素氧、氟、氢、碳、氩、氦或氮(以自由原子、化合物、离子和/或自由基的形式)组成。
在沟、通路、蚀刻和/或光刻胶去除期间,暴露于这些等离子体的介电薄膜易于降解或损坏。多孔介电薄膜具有非常高的表面面积,因此特别易受等离子体损害的损坏。特别是,具有有机内含物(例如与Si原子连接的甲基)的基于二氧化硅的介电薄膜易于被氧等离子体降解。有机基团被氧化成CO2,甲硅烷醇或Si-OH基团保留在该有机基团以前所在的电介质表面上。多孔和无孔低介电常数二氧化硅膜依赖于此类有机基团(在表面上)来保持疏水性。疏水性的丧失使得介电常数升高(此类膜的低介电常数是此类材料的期望的关键性质)。
为了除去开沟或通路蚀刻后剩余残留物的目的,湿法化学处理也用于IC制备。所使用的化学品经常是如此具有攻击性,以致它们将攻击并除去基于二氧化硅的介电薄膜中的有机基团,尤其是多孔二氧化硅薄膜。并且,该损坏将导致薄膜丧失其疏水性。湿法化学蚀刻剂包括例如酰胺,如N-甲基吡咯烷酮、二甲基甲酰胺、二甲基乙酰胺;醇例如乙醇和2-丙醇;醇胺例如乙醇胺;胺例如三乙胺;二胺例如乙二胺和N,N-二乙基乙二胺;三胺例如二亚乙基三胺,二胺酸例如乙二胺四乙酸“EDTA”;有机酸例如乙酸和甲酸;有机酸的铵盐例如四甲基乙酸铵;无机酸例如硫酸、磷酸、氢氟酸;氟化物盐例如氟化铵;及碱例如氢氧化铵和四甲基氢氧化铵;和羟胺;为后蚀刻湿法清洁开发的商业制剂,例如EKC 505、525、450、265、270和630(EKC Corp.,Hayward CA),及ACT-CMI和ACT-690(Ashland Chemical,Hayward,CA),仅例举一些本领域已知的蚀刻剂。灰化剂包括衍生自氢、氮、氦、氩、氧的等离子体,及其衍生的混合物等。
为了解决上述提及的问题,本发明提供了在制备半导体或IC器件的过程期间,赋予存在于基体上的有机硅酸盐玻璃介电薄膜疏水性的方法。
本发明的方法包括步骤:在经历至少一种蚀刻剂或灰化试剂处理后,但在所述金属已经历退火处理之前,使有机硅酸盐玻璃介电薄膜与一定浓度的处理剂组合物接触,并保持一段时间以使至少某些该有机硅酸盐玻璃介电薄膜的含碳部分有效恢复,并增加该有机硅酸盐玻璃介电薄膜的疏水性;及(b)除去未反应的处理剂组合物、反应产物及其混合物。该处理剂组合物包括至少一种处理剂,即一种适用于除去受损二氧化硅介电薄膜中的甲硅烷醇部分的化合物或其带电荷衍生物。任选的,然后使蚀刻剂损坏的二氧化硅介电薄膜经历湿法清洁步骤。
全部处理剂组合物包含能够通过甲硅烷基化将有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,可为酸、碱、类化合物、脱水剂及其组合的活化剂。该组合物任选,但是最好也含有所选择的溶剂或主要溶剂和共溶剂的混合物,该混合物能够使能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分及活化剂增溶。
合适的处理剂组合物包括一种或多种处理剂,所述处理剂能够除去所蚀刻和/或所灰化的有机硅酸盐玻璃介电薄膜表面的甲硅烷醇基团,其为赋予疏水性所期望的。这些可为硅烷、硅氮烷、甲硅烷醇或羧基甲硅烷基。例如,处理剂为具有下式的化合物:
I(1-13):(1)[-SiR2NR′-]n,其中n>2并可为环状;(2)R3SiNR′SiR3,(3)(R3Si)3N;(4)R3SiNR′2;(5)R2Si(NR′)2;(6)RSi(NR′)3;(7)RxSiCly,(8)RxSi(OH)y,(9)R3SiOSiR′3,(10)RxSi(OR′)y,(11)RxSi(OCOR′)y,(12)RxSiHy;(13)RxSi[OC(R′)=R″]4-x或其组合,
其中x为范围在1-3的整数,y为范围在1-3使得y=4-x的整数;各R独立选自氢和疏水性有机部分。R基团优选独立为由烷基、芳基及其组合组成的有机部分。R′基团可为H、烷基、芳基或羰基,例如COR、CONR、CO2R。R″可为烷基或羰基,例如COR、CONR、CO2R。
对所有处理剂而言,活性甲硅烷基必须含有可水解的离去基团例如但不限于-Cl、-Br、-I、-OR、-NRx(其中x=1-2)、-OCOR、-OCO2R、-NRCOR、-NRCO2R、-NRCONR、-SR、-SO2R。对处理剂的反应而言,在处理剂施用和处理期间,水解可在水分的存在下自动发生,或者在配制过程中可被迫进行预水解。
烷基部分或者是官能化的或者是非官能化的,并且衍生自直链烷基、支链烷基、环烷基及其组合,其中所述烷基部分的范围在C1至约C18。官能化可为羰基、卤化物、胺、醇、醚、磺酰基或硫化物。芳基部分为取代的或未取代的,并且范围大小为C5至约C18,优选处理剂是乙酰氧基硅烷,或者,例如单体化合物例如乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、乙酰氧基三甲基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、六甲基环三硅氮烷、双(二甲基氨基)二甲基硅烷、双(二乙基氨基)二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)-乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基甲硅烷醇、三乙基甲硅烷醇、三苯基甲硅烷醇、叔丁基二甲基甲硅烷醇、二苯基甲硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷及其组合。在一个值得注意的实施方案中,处理剂为甲基三乙酰氧基硅烷。在优选的实施方案中,处理剂为二甲基二乙酰氧基硅烷。
如上所描述,另外的处理剂包括如美国专利6,208,014中详细描述的多官能团表面改性剂,它通过引用结合到本文中。此类多官能团表面改性剂可以蒸气或者以液体形式施用,任选有或无共溶剂。
例如,如U.S.6,208,014中详细描述的,某些优选的表面改性剂将具有两个或多个官能团,并与表面甲硅烷醇官能团反应,同时使膜结构骨架外的质量最小化,并包括例如表面甲硅烷醇可与例如以下的合适的甲硅烷醇缩合:
RxSi(OH2)4-x
其中x=1-3,各R独立选自例如H和/或有机部分如烷基、芳基或其衍生物的部分。当R为烷基时,该烷基部分任选被取代或未被取代,并可为直链、支链或环状,并优选大小范围在C1至约C18或更大,更优选在C1至约C8。当R为芳基时,该芳基部分优选由任选取代或未取代的单芳环组成,大小范围在C5至约C18或更大,更优选在C5至约C8。在再一选项中,该芳基部分为杂芳基。
在另一个实施方案中,烷氧基硅烷可用作处理剂,例如合适的烷氧基硅烷如
RxSi(OR′)4-x
其中R独立选自例如H和/或有机部分如烷基、芳基或其衍生物的部分;R′独立选自烷基或芳基部分。当R或R′为烷基时,该烷基部分任选被取代或未被取代,并可为直链、支链或环状,并优选大小范围在C1至约C18或更大,更优选在C1至约C8。当R或R′为芳基时,该芳基部分优选由任选取代或未取代的单芳环组成,大小范围在C5至约C18或更大,更优选在C5至约C8。在再一选项中,该芳基部分为杂芳基。因此,R基团独立选自H、甲基、乙基、丙基、苯基和/或其衍生物,条件是至少一个R是有机的。在一个实施方案中,两个R基团均为甲基,三官能团表面改性剂为甲基三甲氧基硅烷。
在另一个实施方案中,根据本发明的合适硅烷具有以下通式:
RxSi(NR2)4-x
其中x=1-3,R独立为H、烷基和/或芳基。当任一R为烷基和/或芳基时。在优选的实施方案中,R选自H、CH3、C6H5,R2和R3均为CH3。因此三官能团处理剂包括,例如三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷和/或三(二甲基氨基)硅烷。另外,可使用二取代的硅烷例如六甲基环三硅氮烷、双二甲基氨基二甲基硅烷和双二乙基氨基二甲基硅烷。
在还另一个实施方案中,根据本发明的合适硅烷具有以下通式:
RxSi(ON=CR2)4-x    或RxSi[OC(R′)=R″]4-x
其中x=1-3,R基团独立为H、烷基和/或芳基,R′可为H、烷基、芳基、烷氧基或芳氧基,R″可为烷基或羰基。因此改性剂分别包括例如甲基三(甲基乙基酮肟)硅烷或2-三甲基甲硅烷氧基戊-2-烯-4-酮。
在还另一个实施方案中,根据本发明的合适硅烷具有以下通式:
RxSi(NCOR2)4-x或RxSi(NCO)4-x
其中x=1-3,R基团独立为H、烷基和/或芳基。因此表面改性剂包括例如二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯。
在再一个实施方案中,根据本发明的合适硅烷具有以下通式:
RxSiCl4-x
其中x=1-3,为H、烷基或芳基。在一个优选的实施方案中,Rx为CH3。因此三官能团表面改性剂包括例如甲基三氯硅烷。
在更优选的实施方案中,处理剂包括一种或多种具有以下通式的有机乙酰氧基硅烷:
(R1)xSi(OCOR2)y
优选x为范围在1-2的整数,x和y可相同或不同,并且y为范围在约2至约3的整数或更大。
包括多官能团烷基乙酰氧基硅烷和/或芳基乙酰氧基硅烷化合物的有用的有机乙酰氧基硅烷,仅作为实例包括但不限于甲基三乙酰氧基硅烷(″MTAS″)、二甲基二乙酰氧基硅烷(DMDAS)、苯基三乙酰氧基硅烷和二苯基二乙酰氧基硅烷及其组合。
能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分在处理剂组合物中的量通常为约0.1%(重量)至约100%(重量),更通常为约1%(重量)至约50%(重量),最通常为约3%(重量)至约30%(重量)。
然后,该处理剂组合物含有可为以下物质的活化剂:酸、碱、类化合物、脱水剂、氢氧化物或其组合。有用的活化剂包括胺、铵化合物、化合物、锍化合物、碘化合物、氢氧化物、醇盐、酰卤、甲硅烷醇化物、胺盐及其组合。所包括的活化剂为可为烷基胺、芳基胺、醇胺及其混合物的活化剂,这些活化剂合适的沸点为约100℃或更高,通常为约125℃或更高,并且更通常为约150℃或更高。有用的酸性活化剂不排他地包括盐酸、硫酸、硝酸、硼酸、乙基硫酸、氯化硫酰、氯化磷腈、氯化铁、氯化锌、氯化锡、氯化铝、三氟化硼、甲磺酸、三氟甲磺酸、氯化铁六水合物或其组合。为脱水剂的有用活化剂不排他地包括卤化磷、五氧化二磷、苯基膦酰二氯及二氯化磷酸苯酯及其组合。
有用的胺活化剂包括伯胺、仲胺、叔胺、氨和季铵盐。有用的胺为一乙醇胺、二乙醇胺、三乙醇胺、一异丙醇胺、四乙五胺、2-(2-氨基乙氧基)乙醇;2-(2-氨基乙基氨基)乙醇及其混合物。
在本发明所期望的实施方案中,活化剂包含四甲基乙酸铵、四丁基乙酸铵或其组合。其他活化剂包括氢氧化钠、氢氧化铯、氢氧化钾、氢氧化锂和氢氧化铵。活化剂通常在处理剂组合物中的量为约0.0001%(重量)至约10%(重量),更通常为约0.001%(重量)至约1%(重量),最通常为约0.01%(重量)至约0.1%(重量)。
处理剂组合物包括能够使组分及活化剂增溶的溶剂,所述组分能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化。
在一个实施方案中,溶剂包含溶剂或主要溶剂和共溶剂的混合物,该混合物能够使能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分及活化剂增溶;并且该共溶剂比主要溶剂具有更高的蒸气压和/或沸点。在一个实施方案中,主要溶剂的沸点为约100℃至约300℃,优选约110℃至约250℃,更优选约130℃至约180℃。在一个实施方案中,共溶剂具有比主要溶剂高约1℃至约100℃的沸点。在另一个实施方案中,共溶剂具有比主要溶剂高约10℃至约70℃的沸点。在另一个实施方案中,共溶剂具有比主要溶剂高约20℃至约50℃的沸点。
溶剂和主要溶剂可为一种或多种酮、醚、酯、烃、醇、羧酸、胺、酰胺及其组合。有用的主要溶剂不排他地包括3-戊酮、2-庚酮、γ-丁内酯、丙二醇甲醚乙酸酯、乙酸及其组合。
溶剂和共溶剂可为乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1,2-二氯苯、氯代甲苯、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。共溶剂优选包含乙酰乙酸乙酯、二甲基亚砜、1-己醇或其组合。优选主要溶剂在该混合物中的量占该互溶混合物重量约0.1%-约99.9%,更优选占该互溶混合物重量约50%至约99%,还更优选占该互溶混合物重量约70%至约97%。优选共溶剂在该混合物中的量占该互溶混合物重量约0.1%-约99.9%,更优选占该互溶混合物重量约0.5%至约50%,还更优选占该互溶混合物重量约1%至约30%。
处理剂组合物中溶剂的总量可为约0.1%(重量)至约99.9%(重量),更通常为约50%(重量)至约99%(重量),最通常为约70%(重量)至约97%(重量)。在本发明的另一个实施方案中,处理剂组合物包括超临界溶剂,例如超临界二氧化碳。
任选处理剂组合物包括腐蚀抑制剂,例如与铜螯合的腐蚀抑制剂。该抑制剂可包括苯并三唑、甲苯基三唑及其组合。当应用时,该腐蚀抑制剂通常在处理剂组合物中的量为约0.001%(重量)至约10%(重量),更通常为约0.01%(重量)至约5%(重量),最通常为约0.2%(重量)至约1%(重量)。
处理剂组合物通过将所选择的组分共混成混合物而形成。处理剂组合物以液体、蒸气或气体和/或等离子体的形式与受损二氧化硅介电薄膜接触。如果为等离子体形式,该等离子体可衍生自硅烷化合物、烃、醛、酯、醚和/或其组合。除非另外说明,本文中的术语“剂”应被认为是术语“试剂”的同义词。处理任选还包括除去未反应的处理剂组合物、反应产物及其混合物的后续步骤,和/或加热疏水性增加的有机硅酸盐玻璃介电薄膜的后续步骤。
在还另一个实施方案中,使用化学品例如AP395或稀HF的湿法清洁在上述实施方案中的烘焙步骤之后进行。湿法清洁用于除去灰化后剩余的残留残渣。蚀刻和灰化后的未反应低k电介质材料有被湿清洁剂攻击的倾向。处理剂处理显著提高了低k电介质对湿法清洁攻击的抵抗。
根据处理流程,铜表面在处理剂处理期间可被暴露,尤其是在通路的底部。除了除去铜表面的天然氧化物,湿法清洁也可除去处理剂和被暴露的铜表面之间的反应产物。特别是,使用AP395的湿法清洁可清洁先前暴露于用DMDAS处理剂处理的铜(或任何合适的金属或金属合金)表面。
如本文中使用,术语“金属”指元素周期表的d-区和f-区中的那些元素,以及具有金属样性质的那些元素,例如硅和锗。如本文中使用,短语“d-区”指该元素原子核周围的3d、4d、5d和6d轨道具有电子填充的那些元素。如本文中使用,短语“f-区”指该元素原子核周围的4f和5f轨道具有电子填充的那些元素,包括镧系元素和锕系元素。优选的金属包括铟、银、铜、铝、锡、铋、镓及其合金、涂覆银的铜和涂覆银的铝。术语“金属”也包括合金、金属/金属复合材料、金属陶瓷复合材料、金属聚合物复合材料以及其他金属复合材料。
在还另一个实施方案中,湿法清洁可在第一个考虑的实施方案中的烘焙处理之前进行。高温烘焙步骤在湿法清洁后进行。该方法的优点可在于:在由烘焙处理“硬化”之前,湿法清洁能除去过量的处理剂及与任何暴露铜表面的任何反应产物。这可在电介质材料和更清洁的铜表面产生更低挥发性的组分。两者均可产生长期可靠性的改善。
在另一个所考虑的实施方案中,在处理剂(TA)处理之前再于100-400℃进行脱水烘焙1分钟-120分钟。该脱水烘焙除去受损低k电介质中所吸收的水分。在处理剂处理之前从电介质中除去水分使得该处理更有效。
在替代实施方案中,通过将蚀刻剂损坏的有机硅酸盐玻璃介电薄膜暴露于等离子体提供处理剂组合物,该等离子体衍生自任一上述提及的处理剂。在典型的方法中,将有机硅酸盐玻璃介电薄膜置于等离子体产生室,例如等离子体促进的化学蒸气沉积(PECVD)系统中;将处理剂组合物蒸气和氩蒸气经过该等离子体产生室;然后活化RF能量源以产生等离子体;将氩气引入以帮助促进等离子体的形成。等离子体由衍生自处理剂组合物的离子碎片组成;例如,离子碎片CH3Si+由甲基硅烷(CH3SiH3)产生。该碎片与甲硅烷醇基团反应,形成疏水性Si-CH3部分。任一上述提及的处理剂组合物可用于该等离子体诱导的表面处理。
用于等离子体诱导表面处理的其他合适的处理剂组合物包括C1-C12烷基和芳烃。最优选的烃为甲烷。用于等离子体诱导的处理剂组合物的其他试剂包括醛、酯、酰氯和醚。合适的醛包括乙醛和苯甲醛;合适的酯包括乙酸乙酯和苯甲酸甲酯;合适的酰氯包括乙酰氯和苄氯;及合适的醚包括乙醚和苯甲醚。各种单晶片或多晶片(批)等离子体系统可用于该方法中;这些系统包括所谓的下游灰化器(ashers),例如Gasonics L3510光刻胶灰化器,PECVD电介质沉积系统例如AppliedMaterials P5000,或活性离子蚀刻(″RIE″)系统。广义的讲,用于等离子体方法的条件在以下范围内:室温,20C-450℃;RF功率,50W-1000W;室压,0.05-100托;等离子体处理时间,5秒-5分钟;表面改性流速,100-2000sccm;惰性气体流速(通常为氩),100-2000sccm。
技术人员将认识到:本发明也考虑包括通过上述等离子体表面处理的施用,赋予多孔和/或无孔、不论损坏与否的二氧化硅介电薄膜疏水性表面的方法。用这些方法制备的微电子器件,例如半导体器件或IC也是本发明的一部分。微电子器件可通过包括以下步骤的方法制备:a)将有机硅酸盐玻璃介电薄膜施用至基体上;b)在有机硅酸盐玻璃介电薄膜中形成通路和/或沟的图案,并使该有机硅酸盐玻璃介电薄膜经历至少一次处理,该处理除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性;c)使该有机硅酸盐玻璃介电薄膜与一定浓度的处理剂组合物接触,并保持一段时间以使该有机硅酸盐玻璃介电薄膜的疏水性有效增加,其中该处理剂组合物包含能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,可为胺、类化合物、碱金属氢氧化物及其组合的活化剂;及如上所列的主要溶剂与如上所列的共溶剂的互溶混合物,或者仅是如上所列的共溶剂;然后任选于约80℃至约500℃烘焙约10秒或更长时间。在一个实施方案中,烘焙可通过在约90℃至约450℃的温度下加热进行。在另一个实施方案中,加热可在约100℃至约400℃的温度下进行,在还另一个实施方案中,加热可在约125℃至约350℃的温度下进行。该加热可进行约10秒或更长时间,优选约10秒至约60分钟。下一步骤是d)通过本领域已知的任何方法用金属填充通路和/或沟;然后e)任选使该金属经历退火处理。在一个实施方案中,退火可通过在约150℃至约350℃的温度下加热该器件进行。在另一个实施方案中,退火可通过在约200℃至约250℃的温度下加热该器件进行,退火可进行约10秒至约60分钟。
微电子器件、电介质层和材料可被用于或结合到任何合适的电子元件中。如本文中所考虑的,电子元件通常被认为包含可用于基于电子产品的任何介电元件或层状介电元件。所考虑的电子元件包含电路板、芯片包装、电路板的介电元件、印刷线路板及其他电路板元件,例如电容器、电感器和电阻器。
基于电子的产品可以在它们在工业上或由其他消费者现成可用的意义上“制成”。制成消费品的实例有电视、计算机、蜂窝电话、页调度程序、掌上型组织器、便携式收音机、汽车立体声系统和远程控制。同样考虑到的有“中间体”产品例如电路板、芯片包装和在制成品中可能使用的键盘。
电子产品也可包含处于从概念模型到最后的按比例放大实物模型发展的任何阶段的原型元件。原型可以含或可以不含将在制成品中的所有实际元件,并且为了在初始测试时消除其对其他元件的初始影响,原型可具有某些不由构成材料构造的元件。电子产品和元件可包含层状材料、层状元件和为了用于该元件或产品中而在制备中被层压的元件。
以下非限定性实施例用于举例说明本发明。
实施例1(2-庚酮+乙酸)
将1.257g 1%四甲基乙酸铵溶液(Aldrich Chemical Company,Milwaukee,WI 53201)、44.24g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)和4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)在60ml无颗粒高密度聚乙烯瓶中一起混合。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.28
    FTIR CH/SiO峰恢复,%     71
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。
观察到以下结果:KLA 2132:缺陷密度+5000缺陷数/cm2
实施例2
2-庚酮+己醇
将0.0126g四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)加入到1.247g 1-己醇中,搅拌该混合物直到溶解,然后将44.24g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)和4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)加入到60ml无颗粒高密度聚乙烯瓶中。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量  蚀刻和灰化前ILD  蚀刻和灰化后ILD     TA后ILD
    介电常数(k)  234  3.18     2.32
    FTIR CH/SiO峰恢复,%     66
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度224-458缺陷数/cm2
实施例3
二甲基亚砜
将0.0126g四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)加入到1.247g二甲基亚砜中,搅拌该混合物直到溶解,然后将44.24g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)和4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)加入到60ml无颗粒高密度聚乙烯瓶中。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
  测量  蚀刻和灰化前ILD  蚀刻和灰化后ILD     TA后ILD
  介电常数(k)  2.34  3.18     2.65
  FTIR CH/SiO峰恢复,%     34
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度177-885缺陷数/cm2
实施例4
乙酰乙酸乙酯
将0.0126g四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)加入到45.49g乙酰乙酸乙酯中,搅拌该混合物直到溶解,然后将4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)加入到60ml无颗粒高密度聚乙烯瓶中。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.35
    FTIR CH/SiO峰恢复,%     49
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度237-390缺陷数/cm2
实施例5
2-庚酮+二甲基亚砜
将0.0126g四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)加入到1.247g二甲基亚砜中,搅拌该混合物直到溶解,然后将44.24g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)和4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)加入到60ml无颗粒高密度聚乙烯瓶中。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.33
    FTIR CH/SiO峰恢复,%     79
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度227-1520缺陷数/cm2
实施例6
2-庚酮+乙酰乙酸乙酯
将0.0126g四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)加入到1.247g乙酰乙酸乙酯中,搅拌该混合物直到溶解,然后将44.24g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)和4.49g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)加入到60ml无颗粒高密度聚乙烯瓶中。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.1μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.30
    FTIR CH/SiO峰恢复,%     82
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA2132缺陷密度0.9-1.8缺陷数/cm2
实施例7
2-庚酮+乙酰乙酸乙酯
向在2L无颗粒高密度聚乙烯瓶中的37.6g 0.255%四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)的乙酰乙酸乙酯溶液(0.359g四甲基乙酸铵+140.00g乙酰乙酸乙酯)中加入84.90g0.5%四丁基乙酸铵的2-庚酮溶液(2.175g四丁基乙酸铵+435g2-庚酮),加入1242.50g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA85012)和135g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.04微米过滤器(MeissnerCSPM0.04-442)过滤两次。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.21
    FTIR CH/SiO峰恢复,%     96
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度1.7-1.9缺陷数/cm2
实施例8
2-庚酮+乙酰乙酸乙酯
向在2L无颗粒高密度聚乙烯瓶中的37.6g 0.255%四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)的乙酰乙酸乙酯溶液(0.359g四甲基乙酸铵+140.00g乙酰乙酸乙酯)中加入84.90g 0.5%四丁基乙酸铵的2-庚酮溶液(2.175g四丁基乙酸铵+2-庚酮至重量435.00g),加入1242.50g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA85012)和135.00g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.04微米过滤器(Meissner CSPM0.04-442)过滤两次。将约2.0-3.0ml的制剂置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔SiCOH膜(~4000厚NANOGLASS-E)上。放置后,该晶片以2500rpm旋转30秒以形成膜。将各膜在N2气氛下,分别于1 25℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.34 3.18     2.35
    FTIR CH/SiO峰     96
    恢复,%
以类似的方法,将2.0-3.0ml制剂放置在8″Si-晶片上,旋转并烘焙。观察到以下结果:KLA 2132缺陷密度20.6缺陷数/cm2
实施例9
通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 3-戊酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、280ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)-起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔介电薄膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
   测量  蚀刻和灰化前ILD  蚀刻和灰化后ILD    处理剂后ILD
   介电常数(k)  2.2  3.1    2.3
   FTIR(CH/SiO比率)  0.0085  0.0048    0.0083
   H2O接触角(°)  78  <20    101
实施例10
通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、280ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量  蚀刻和灰化前ILD  蚀刻和灰化后ILD    处理剂后ILD
    介电常数(k)  2.2  3.1    2.3
    FTIR(CH/SiO比率)  0.0085  0.0048    0.0073
实施例11
通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g丙二醇甲醚乙酸酯(General Chemical,Hollister,CA95023)、280ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI 53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量  蚀刻和灰化前ILD  蚀刻和灰化后ILD    处理剂后ILD
    介电常数(k)  2.2  3.1    2.3
    FTIR(CH/SiO比率)  0.0085  0.0048    0.0078
实施例12
通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、2800ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.08     2.17
    FTIR(CH/SiO比率) 0.0083 0.00482     0.0091
实施例13
通过将2.65g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、2800ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.04     2.22
    FTIR(CH/SiO比率) 0.0099 0.0066     0.0010
实施例14
通过将2.65g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、4200ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.04     2.30
    FTIR(CH/SiO比率) 0.0099 0.0066     0.0105
实施例15
通过将0.810g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、4200ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.04     2.40
    FTIR(CH/SiO比率) 0.0089 0.0052     0.0071
实施例16
通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA19007)、26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、4200ppm四甲基乙酸铵(Aldrich Chemical Company,Milwaukee,WI53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.04     2.17
    FTIR(CH/SiO比率) 0.0099 0.0066     0.0112
实施例17
制备两种前体以比较含和无四甲基乙酸铵的处理剂的作用。通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)、26.0g 3-戊酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)、280ppm四甲基乙酸铵(TMAA)(Aldrich Chemical Company,Milwaukee,WI 53201)一起加入到60ml无颗粒高密度聚乙烯瓶中制备一种前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。通过将9.72g二甲基二乙酰氧基硅烷(Gelest,Tullytone,PA 19007)和26.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)一起加入到60ml无颗粒高密度聚乙烯瓶中制备第二种前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的各前体置于分开的8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,每种膜以2500rpm旋转30秒以除去所有挥发性物质。将每种膜在空气中,各自于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
  测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD   含TMAATA后ILD   无TMAATA后ILD
  介电常数(k) 2.2 3.15   2.35   2.98
  FTIR(CH/SiO比率) 0.0085 0.00510   0.0081   0.0059
实施例18
通过将3.25g六甲基环三硅氮烷(Gelest,Tullytone,PA 19007)和25.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体02;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.35     2.66
    FTIR(CH/SiO比率) 0.0085 0.0046     0.0078
实施例19
通过将6.5g双(二甲基氨基)二甲基硅烷(Gelest,Tullytone,PA19007)和22.0g 2-庚酮(Ultra Pure Solutions Inc.,Castroville,CA 85012)一起加入到60ml无颗粒高密度聚乙烯瓶中制备前体。将该溶液剧烈混合一分钟。混合后,所稀释的前体用0.2μm聚四氟乙烯过滤器手工过滤。将约2.0-3.0ml的前体置于8″蚀刻(C4F8;20s.)和灰化(等离子体O2;20s.)贫碳多孔膜(~4000厚)上。放置后,该膜以2500rpm旋转30秒以除去所有挥发性物质。将各膜在空气中,分别于125℃、200℃和350℃高温下加热1分钟。观察到以下结果:
    测量 蚀刻和灰化前ILD 蚀刻和灰化后ILD     TA后ILD
    介电常数(k) 2.2 3.35     2.6
    FTIR(CH/SiO比率) 0.0083 0.0045     0.0087
虽然本发明已结合优选的实施方案进行了具体显示和描述,但是本领域普通技术人员将很容易认识到:可进行各种变化和修改,而不背离本发明的宗旨和范围。权利要求书将理解为覆盖所公开的实施方案、上述已讨论的那些替代实施方案和其中所有的等同实施方案。

Claims (52)

1.一种用于处理有机硅酸盐玻璃介电薄膜的组合物,所述组合物包含:
a)能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及
b)活化剂。
2.权利要求1的组合物,所述组合物还包含溶剂或主要溶剂和共溶剂的混合物,其中所述溶剂或主要溶剂和共溶剂的混合物使所述组分及所述活化剂增溶,所述组分能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化;且其中所述共溶剂具有比所述主要溶剂更高的蒸气压和/或沸点。
3.权利要求1的组合物,其中所述活化剂包含一种或多种酸、碱、类化合物、脱水剂、氢氧化物或其组合。
4.权利要求2的组合物,其中所述溶剂或主要溶剂包含3-戊酮、2-庚酮、γ-丁内酯、丙二醇甲醚乙酸酯、乙酸或其组合。
5.权利要求2的组合物,其中所述共溶剂的沸点比所述主要溶剂的高约1℃至约100℃。
6.权利要求2的组合物,其中所述共溶剂的沸点比所述主要溶剂的高约10℃至约70℃。
7.权利要求2的组合物,其中所述共溶剂的沸点比所述主要溶剂的高约20℃至约50℃。
8.权利要求2的组合物,其中所述溶剂或主要溶剂包含一种或多种酮、醚、酯、烃、醇、羧酸、胺、酰胺或其组合。
9.权利要求2的组合物,其中所述溶剂或共溶剂包含乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1,2-二氯苯、氯代甲苯、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
10.权利要求2的组合物,其中所述共溶剂包含乙酰乙酸乙酯、二甲基亚砜、1-己醇、N,N-二甲基乙酰胺或其组合。
11.权利要求2的组合物,其中所述混合物包含2-庚酮和乙酰乙酸乙酯。
12.权利要求2的组合物,其中所述主要溶剂在所述混合物中存在的量基于所述混合物重量计为约0.1%至约99.9%。
13.权利要求2的组合物,其中所述共溶剂在所述混合物中存在的量基于所述混合物重量计为约0.1%至约99.9%。
14.权利要求1的组合物,其中所述活化剂包含一种或多种胺、铵化合物、化合物、锍化合物、碘化合物、氢氧化物、醇盐、酰卤、甲硅烷醇化物、胺盐或其组合。
15.权利要求1的组合物,其中所述活化剂包含一种或多种烷基胺、芳胺、醇胺或其组合。
16.权利要求1的组合物,其中所述活化剂包含一种或多种伯胺、仲胺、叔胺、氨、季铵盐或其组合。
17.权利要求1的组合物,其中所述活化剂包含四甲基乙酸铵、四丁基乙酸铵或其组合。
18.权利要求1的组合物,其中所述活化剂包含盐酸、硫酸、硝酸、硼酸、乙基硫酸、氯化硫酰、氯化磷腈、氯化铁、氯化锌、氯化锡、氯化铝、三氟化硼、甲磺酸、三氟甲磺酸、氯化铁六水合物或其组合。
19.权利要求1的组合物,其中所述活化剂包含一种或多种卤化磷、五氧化二磷、苯基膦酰二氯和二氯化磷酸苯酯或其组合。
20.权利要求1的组合物,其中所述活化剂包含氢氧化钠、氢氧化铯、氢氧化钾、氢氧化锂、氢氧化铵或其组合。
21.权利要求1的组合物,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含至少一种具有下式的化合物或其组合:[-SiR2NR′-]n,其中n>2并可为环状;R3SiNR′SiR3;(R3Si)3N;R3SiNR′2;R2Si(NR′)2;RSi(NR′)3;RxSiCly,RxSi(OH)y;R3SiOSiR′3;RxSi(OR′)y;RxSi(OCOR′)y;RxSiHy;RxSi[OC(R′)=R″]4-x
其中x为1-3的整数,
y为1-3以使y=4-x的整数,
各R独立选自氢和疏水性有机部分;
R′为H或有机部分,及
R″为烷基或羰基。
22.权利要求1的处理剂组合物,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含乙酰氧基三甲基硅烷、乙酰氧基硅烷、二乙酰氧基硅烷、三乙酰氧基硅烷、二乙酰氧基二甲基硅烷、甲基三乙酰氧基硅烷、苯基三乙酰氧基硅烷、二苯基二乙酰氧基硅烷、甲基三乙氧基硅烷、二甲基二乙氧基硅烷、三甲基乙氧基硅烷、甲基三甲氧基硅烷、二甲基二甲氧基硅烷、三甲基甲氧基硅烷、甲基三氯硅烷、二甲基二氯硅烷、三甲基氯硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、六甲基二硅氮烷、2-三甲基甲硅烷氧基戊-2-烯-4-酮、n-(三甲基甲硅烷基)乙酰胺、2-(三甲基甲硅烷基)乙酸、n-(三甲基甲硅烷基)咪唑、三甲基甲硅烷基丙炔酸酯、三甲基甲硅烷基(三甲基甲硅烷氧基)-乙酸酯、九甲基三硅氮烷、六甲基二硅氧烷、三甲基甲硅烷醇、三乙基甲硅烷醇、三苯基甲硅烷醇、叔丁基二甲基甲硅烷醇、二苯基甲硅烷二醇、三甲氧基硅烷、三乙氧基硅烷、三氯硅烷、六甲基环三硅氮烷、双二甲基氨基二甲基硅烷、双二乙基氨基二甲基硅烷、三(二甲基氨基)甲基硅烷、三(二甲基氨基)苯基硅烷、三(二甲基氨基)硅烷、二甲基甲硅烷基二甲酰胺、二甲基甲硅烷基二乙酰胺、二甲基甲硅烷基二异氰酸酯、三甲基甲硅烷基三异氰酸酯或其组合。
23.权利要求1的处理剂组合物,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含二甲基二乙酰氧基硅烷;且所述活化剂包含四甲基乙酸铵。
24.权利要求1的组合物,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含二甲基二乙酰氧基硅烷。
25.权利要求1的组合物,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含二甲基二乙酰氧基硅烷,且所述活化剂包含四甲基乙酸铵和四丁基乙酸铵的组合。
26.权利要求1的组合物,所述组合物还包含腐蚀抑制剂。
27.一种方法,所述方法包括:
a)形成有机硅酸盐玻璃介电薄膜;
b)使所述有机硅酸盐玻璃介电薄膜与组合物接触,该组合物包含能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分;和活化剂。
28.权利要求27的方法,其中所述组合物还包含溶剂或主要溶剂和共溶剂的混合物,其中所述溶剂或主要溶剂和共溶剂的混合物使所述组分及所述活化剂增溶,所述组分能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化;且其中所述共溶剂具有比所述主要溶剂更高的蒸气压和/或沸点。
29.权利要求28的方法,其中:
(i)所述溶剂或主要溶剂包含一种或多种酮、醚、酯、烃、醇、羧酸、酰胺或其组合;及
(ii)所述溶剂或共溶剂包含乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、1,2-二氯苯、氯代甲苯、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
30.权利要求278的方法,其中所述活化剂包含一种或多种酸、碱、类化合物、脱水剂或其组合。
31.权利要求27的方法,其中所述有机硅酸盐玻璃介电薄膜是多孔的。
32.权利要求27的方法,其中所述有机硅酸盐玻璃介电薄膜是基本上无孔的。
33.权利要求27的方法,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含二甲基二乙酰氧基硅烷。
34.权利要求27的方法,其中所述能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分包含二甲基二乙酰氧基硅烷,且所述活化剂包含四甲基乙酸铵和四丁基乙酸铵的组合。
35.权利要求27的方法,其中所述处理剂组合物以选自液体、蒸气、气体和等离子体的状态与所述有机硅酸盐玻璃介电薄膜接触。
36.权利要求27的方法,其中所述处理剂组合物包含超临界溶剂。
37.一种防止在基体上的有机硅酸盐玻璃介电薄膜中形成应力引起的空隙的方法,该有机硅酸盐玻璃介电薄膜已经历至少一个步骤,所述步骤除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性,所述方法包括:在经历了至少一个除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜疏水性的步骤后,使所述有机硅酸盐玻璃介电薄膜与一定浓度的组合物接触,并保持一段时间以使至少某些含碳部分疏水性有效恢复或增加该有机硅酸盐玻璃介电薄膜的疏水性,其中所述组合物包含:
a)能够通过甲硅烷基化使有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及
b)活化剂。
38.权利要求37的方法,其中所述组合物还包含溶剂或主要溶剂和共溶剂的混合物,其中所述溶剂或主要溶剂和共溶剂的混合物使所述组分及所述活化剂增溶,所述组分能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化;且其中所述共溶剂具有比所述主要溶剂更高的蒸气压和/或沸点。
39.权利要求40的方法,其中:
(i)所述溶剂或主要溶剂包含一种或多种酮、醚、酯、烃、醇、羧酸、酰胺或其组合;且
(ii)所述溶剂或共溶剂包含乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、1,2-二氯苯、氯代甲苯、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
40.权利要求39的方法,其中所述活化剂包含一种或多种酸、碱、类化合物、脱水剂或其组合。
41.一种形成微电子器件的方法,所述方法包括:
a)在基体上形成有机硅酸盐玻璃介电薄膜;
b)使该有机硅酸盐玻璃介电薄膜经历至少一个步骤,所述步骤除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与一定浓度的组合物接触,并保持一段时间以使至少一部分先前存在的含碳部分有效恢复或增加该有机硅酸盐玻璃介电薄膜的疏水性,其中所述组合物包含能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分;及活化剂。
42.权利要求41的方法,其中所述组合物还包含溶剂或主要溶剂和共溶剂的混合物,其中所述溶剂或主要溶剂和共溶剂的混合物使所述组分及所述活化剂增溶,所述组分能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化;且其中所述共溶剂具有比所述主要溶剂更高的蒸气压和/或沸点。
43.权利要求42的方法,其中:
(i)所述溶剂或主要溶剂包含一种或多种酮、醚、酯、烃、醇、羧酸、酰胺或其组合;且
(ii)所述溶剂或共溶剂包含乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、1,2-二氯苯、氯代甲苯、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
44.权利要求42的方法,所述方法还包括加热所述有机硅酸盐玻璃介电薄膜的后续步骤。
45.一种形成微电子器件的方法,所述方法包括:
a)将有机硅酸盐玻璃介电薄膜施用到基体上;
b)在所述有机硅酸盐玻璃介电薄膜中形成通路和沟的图案,并使所述有机硅酸盐玻璃介电薄膜经历至少一次处理,所述处理除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜的疏水性;
c)使所述有机硅酸盐玻璃介电薄膜与一定浓度的处理剂组合物接触,并保持一段时间以使该有机硅酸盐玻璃介电薄膜的疏水性有效增加,其中该处理剂组合物包含能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化的组分,及活化剂。
46.权利要求45的方法,所述方法还包括下列后续步骤:
d)任选在约80℃至约400℃下烘焙约10秒钟或更长时间;其后
e)用金属填充所述通路和/或沟;然后
f)任选使所述金属经历退火处理。
47.权利要求45的方法,所述方法还包括除去未反应的处理剂组合物、反应产物及其混合物的后续步骤。
48.权利要求45的方法,其中所述组合物还包含溶剂或主要溶剂和共溶剂的混合物,其中所述溶剂或主要溶剂和共溶剂的混合物使所述组分及所述活化剂增溶,所述组分能够通过甲硅烷基化使所述有机硅酸盐玻璃介电薄膜的甲硅烷醇部分烷基化或芳基化;且其中所述共溶剂具有比所述主要溶剂更高的蒸气压和/或沸点。
49.权利要求48的方法,其中:
(i)所述溶剂或主要溶剂包含一种或多种酮、醚、酯、烃、醇、羧酸、酰胺或其组合;且
(ii)所述溶剂或共溶剂包含乙酰乙酸乙酯、乙酰乙酸甲酯、乙酰乙酸叔丁酯、乙酰乙酸2-甲氧基乙酯、乙酰乙酸烯丙酯、乙酰乙酸苄酯、乙酸壬酯、乙酸2-(2-丁氧基乙氧基)乙基酯、乙酸phenthyl酯、乙酸2-丁氧基乙酯、乙酸2-乙基己酯、乙酸α-甲基苄酯、二甲基亚砜、N-甲基-N-甲氧基乙酰胺、N,N-二乙基-2-苯基乙酰胺、N,N-二甲基乙酰胺、1,2-二氯苯、氯代甲苯、N,N-二乙基乙酰胺、N,N-二苯基乙酰胺、N,N-二甲基丙酰胺、N,N-二甲基异丁酰胺、1-己醇、2-乙基-1-己醇、5-甲基-1-己醇、6-苯基-1-己醇、1-庚醇、2-庚醇、4-庚醇、4-甲基-3-庚醇、6-甲基-2-庚醇、2,6-二甲基庚醇、1-辛醇或其组合。
50.权利要求49的方法,其中所述活化剂包含一种或多种酸、碱、类化合物、脱水剂或其组合。
51.一种微电子器件,所述微电子器件由权利要求46的方法制备。
52.权利要求46的方法,其中所述除去至少一部分先前存在的含碳部分或降低所述有机硅酸盐玻璃介电薄膜疏水性的步骤包括至少一次以下处理:蚀刻剂处理、灰化处理、湿法剥离处理、清洁处理、原子层沉积、物理蒸气沉积和化学蒸气沉积处理。
CNA2005800304504A 2004-09-15 2005-09-07 处理剂材料 Pending CN101015045A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/940,686 US8475666B2 (en) 2004-09-15 2004-09-15 Method for making toughening agent materials
US10/940,686 2004-09-15
US11/203,558 2005-08-12

Publications (1)

Publication Number Publication Date
CN101015045A true CN101015045A (zh) 2007-08-08

Family

ID=36034615

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800304504A Pending CN101015045A (zh) 2004-09-15 2005-09-07 处理剂材料

Country Status (3)

Country Link
US (2) US8475666B2 (zh)
CN (1) CN101015045A (zh)
TW (1) TWI380968B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103145346A (zh) * 2011-12-06 2013-06-12 中国科学院过程工程研究所 一种改变光滑玻璃表面润湿性能的方法及其对玻璃微通道表面改性的应用
CN103619965A (zh) * 2011-05-31 2014-03-05 霍尼韦尔国际公司 用于光学元件的涂料组合物
CN110083015A (zh) * 2019-04-08 2019-08-02 深圳市华星光电技术有限公司 改善光阻附着力的方法

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964031B2 (en) * 2000-06-06 2011-06-21 Dow Corning Corporation Compositions for treating materials and methods of treating same
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
CN1742363B (zh) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP5057647B2 (ja) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7557043B2 (en) * 2005-06-15 2009-07-07 United Microelectronics Corp. Method of fabricating the stacked structure and damascene process
US7776754B2 (en) * 2005-10-11 2010-08-17 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
JP4949692B2 (ja) * 2006-02-07 2012-06-13 東京応化工業株式会社 低屈折率シリカ系被膜形成用組成物
US7807219B2 (en) * 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
WO2008039730A1 (en) * 2006-09-25 2008-04-03 Advanced Technology Materials, Inc. Compositions and methods for the removal of photoresist for a wafer rework application
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
KR100948498B1 (ko) 2008-04-10 2010-03-23 한국화학연구원 알킬 2-t-부톡시에톡시-아세테이트 화합물 및 이의 제조방법
US8283260B2 (en) * 2008-08-18 2012-10-09 Air Products And Chemicals, Inc. Process for restoring dielectric properties
US20100178887A1 (en) 2009-01-13 2010-07-15 Millam Michael J Blast shield for use in wireless transmission system
SG174296A1 (en) 2009-03-10 2011-10-28 Air Liquide Cyclic amino compounds for low-k silylation
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102009023378B4 (de) * 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
JP5324361B2 (ja) * 2009-08-28 2013-10-23 東京応化工業株式会社 表面処理剤及び表面処理方法
KR101288574B1 (ko) * 2009-12-02 2013-07-22 제일모직주식회사 갭필용 충전제 및 상기 충전제를 사용한 반도체 캐패시터의 제조 방법
US9029171B2 (en) 2012-06-25 2015-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Self repairing process for porous dielectric materials
CN102827494A (zh) * 2012-08-16 2012-12-19 费正新 一种有机硅改性滑石粉及其制备方法
US9724669B2 (en) 2013-02-14 2017-08-08 The Research Foundation For The State University Of New York Modified hydrophobic sponges
US20140273516A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Vbd and tddb improvement thru interface engineering
WO2015066665A2 (en) 2013-11-04 2015-05-07 The Research Foundation For The State University Of New York Modified hydrophobic sponges
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US11972943B2 (en) * 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
CN113912321B (zh) * 2021-09-13 2022-09-02 山西佳维新材料股份有限公司 配位激发剂、液体无碱速凝剂及其制备方法和应用

Family Cites Families (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US13858A (en) * 1855-11-27 tompkins
US4043969A (en) * 1973-04-23 1977-08-23 National Semiconductor Corporation Casting compound for semiconductor devices
US4567221A (en) 1983-03-31 1986-01-28 Kuraray Co., Ltd. Water resistant compositions
JPS6099566U (ja) * 1983-12-10 1985-07-06 アルプス電気株式会社 プリント基板端子部の接続構造
US4654269A (en) 1985-06-21 1987-03-31 Fairchild Camera & Instrument Corp. Stress relieved intermediate insulating layer for multilayer metalization
US4624739A (en) 1985-08-09 1986-11-25 International Business Machines Corporation Process using dry etchant to avoid mask-and-etch cycle
EP0461185A1 (en) 1989-03-01 1991-12-18 Raychem Corporation Method of curing organopolysiloxane compositions and compositions and articles therefrom
JP2868243B2 (ja) 1989-10-06 1999-03-10 富士通株式会社 半導体装置の製造方法
JPH03180033A (ja) 1989-12-08 1991-08-06 Mitsubishi Electric Corp パターン形成方法
JP2603017B2 (ja) 1991-12-16 1997-04-23 松下電器産業株式会社 化学吸着膜の製造方法
US5372851A (en) 1991-12-16 1994-12-13 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a chemically adsorbed film
US5271777A (en) 1991-12-17 1993-12-21 Hughes Aircraft Company High efficiency coil fabrication process
JPH05304089A (ja) 1992-04-28 1993-11-16 Dainippon Screen Mfg Co Ltd 基板表面からのレジストの除去方法並びに装置
US6126826A (en) * 1992-05-06 2000-10-03 Whatman Inc. PVDF microporous membrane and method
DK0605677T3 (da) 1992-07-23 1999-04-06 Owens Corning Fiberglass Corp Silicium- eller siliciumdioxidsubstrat med modificeret overflade og fremgangsmåde til fremstilling af samme
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JP3219315B2 (ja) 1992-08-21 2001-10-15 大日本印刷株式会社 位相シフトマスクの白欠陥修正方法
US5429730A (en) 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
WO1994021386A2 (en) 1993-03-25 1994-09-29 Research Corporation Technologies, Inc. Polymers useful in forming self-assembled bonded anisotropic ultrathin layers and their use
JPH0766287A (ja) 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JPH07335758A (ja) 1994-04-15 1995-12-22 Matsushita Electric Ind Co Ltd 多層金属配線の形成方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5479727A (en) 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
US5609629A (en) 1995-06-07 1997-03-11 Med Institute, Inc. Coated implantable medical device
US6258972B1 (en) 1995-08-03 2001-07-10 Matsushita Electric Industrial Co., Ltd. Pattern formation method and surface treating agent
JP3618150B2 (ja) 1995-10-09 2005-02-09 松下電器産業株式会社 半導体装置及びその製造方法
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
JP3230182B2 (ja) 1996-02-29 2001-11-19 シャープ株式会社 半導体装置の製造方法
WO1997038355A1 (en) 1996-04-08 1997-10-16 Micrion Corporation Systems and methods for deposition of dielectric films
RU2089499C1 (ru) 1996-12-11 1997-09-10 Смирнов Александр Витальевич Способ получения гидрофобного дисперсного материала
KR19980064176A (ko) 1996-12-17 1998-10-07 윌리엄비.켐플러 집적 회로 유전체
US5750610A (en) 1997-02-24 1998-05-12 Dow Corning Corporation Hydrophobic organosilicate-modified silica gels
JP3635180B2 (ja) * 1997-02-24 2005-04-06 ダウ コーニング アジア株式会社 シリル化ポリメチルシルセスキオキサン、その製造方法、それを用いた組成物
JPH10270556A (ja) 1997-03-28 1998-10-09 Kawasaki Steel Corp 絶縁膜形成方法
US5915175A (en) 1997-06-27 1999-06-22 Siemens Aktiengesellschaft Mitigation of CMP-induced BPSG surface damage by an integrated anneal and silicon dioxide deposition
WO1999003926A1 (fr) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
WO1999040615A1 (en) 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
AU3055599A (en) * 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6037275A (en) * 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6090724A (en) 1998-12-15 2000-07-18 Lsi Logic Corporation Method for composing a thermally conductive thin film having a low dielectric property
US6177143B1 (en) 1999-01-06 2001-01-23 Allied Signal Inc Electron beam treatment of siloxane resins
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
JP3266195B2 (ja) 1999-03-23 2002-03-18 日本電気株式会社 半導体装置の製造方法
JP3229294B2 (ja) 1999-06-04 2001-11-19 キヤノン販売株式会社 被成膜面の改質方法及び半導体装置の製造方法
KR100333546B1 (ko) 1999-06-10 2002-04-24 박종섭 반도체소자의 제조방법
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
JP2001118842A (ja) 1999-10-15 2001-04-27 Nec Corp 半導体装置とその製造方法
US6420193B1 (en) 2000-03-17 2002-07-16 Advance Micro Devices, Inc. Repair of film having an SI-O backbone
US6346490B1 (en) 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6451512B1 (en) 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6395650B1 (en) * 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6500494B2 (en) 2000-12-29 2002-12-31 Kodak Polychrome Graphics Llc Spray coating matting method for printing plate precursors
US6713382B1 (en) 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
KR100396889B1 (ko) 2001-03-08 2003-09-03 삼성전자주식회사 크랙방지층을 이용한 콘택 형성방법 및 이를 이용한반도체 소자
JP2002353308A (ja) 2001-05-28 2002-12-06 Toshiba Corp 半導体装置及びその製造方法
TW483099B (en) 2001-06-15 2002-04-11 United Microelectronics Corp Method to reduce moisture absorption of porous low dielectric constant film
DE10130824C2 (de) 2001-06-27 2003-12-18 Promos Technologies Inc Reparaturverfahren für einen dielektrischen Film mit einem Lochdefekt auf einem Substrat
US6879046B2 (en) 2001-06-28 2005-04-12 Agere Systems Inc. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US20030008516A1 (en) 2001-07-03 2003-01-09 Ting-Chang Chang Method of reinforcing a low dielectric constant material layer against damage caused by a photoresist stripper
US6583067B2 (en) 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US20030013211A1 (en) 2001-07-13 2003-01-16 Chu-Chun Hu Mend method for breakage dielectric film
TW495880B (en) 2001-08-30 2002-07-21 United Microelectronics Corp Method of repairing a low dielectric constant material layer
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
DE10145724A1 (de) 2001-09-17 2003-04-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur unter Verwendung einer Schutzschicht und Halbleiterstruktur
JP2003142476A (ja) 2001-11-01 2003-05-16 Asahi Kasei Corp 絶縁薄膜用の多孔性シリカ薄膜
US6537919B1 (en) 2001-12-19 2003-03-25 Taiwan Semiconductor Manufacturing Company Process to remove micro-scratches
US7083991B2 (en) 2002-01-24 2006-08-01 Novellus Systems, Inc. Method of in-situ treatment of low-k films with a silylating agent after exposure to oxidizing environments
JP4246640B2 (ja) 2002-03-04 2009-04-02 東京エレクトロン株式会社 ウェハ処理において低誘電率材料を不動態化する方法
JP4025096B2 (ja) 2002-03-08 2007-12-19 株式会社荏原製作所 基板処理方法
JP2003282698A (ja) 2002-03-22 2003-10-03 Sony Corp 半導体装置の製造方法および半導体装置
AU2002309807A1 (en) 2002-04-10 2003-10-27 Honeywell International, Inc. Low metal porous silica dielectric for integral circuit applications
US6607925B1 (en) 2002-06-06 2003-08-19 Advanced Micro Devices, Inc. Hard mask removal process including isolation dielectric refill
US20050229947A1 (en) 2002-06-14 2005-10-20 Mykrolis Corporation Methods of inserting or removing a species from a substrate
WO2004016548A2 (en) 2002-08-14 2004-02-26 Applied Thin Films, Inc. Aluminum phosphate compounds, compositions, materials and related composites.
JP2006500769A (ja) 2002-09-20 2006-01-05 ハネウェル・インターナショナル・インコーポレーテッド 低k材料用の中間層接着促進剤
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6802944B2 (en) 2002-10-23 2004-10-12 Applied Materials, Inc. High density plasma CVD process for gapfill into high aspect ratio features
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
CN1742363B (zh) 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US20040152296A1 (en) 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
JP2004266008A (ja) 2003-02-28 2004-09-24 Toshiba Corp 半導体装置の製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7442412B2 (en) 2003-05-08 2008-10-28 Texas Instruments Incorporated Hydrophobic coating for oxide surfaces
US7119052B2 (en) 2003-06-24 2006-10-10 Advanced Technology Materials, Inc. Compositions and methods for high-efficiency cleaning/polishing of semiconductor wafers
US7425505B2 (en) 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP2007508691A (ja) 2003-10-08 2007-04-05 ハネウェル・インターナショナル・インコーポレーテッド シリル化剤を用いる低誘電率誘電材料の損傷の修復
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US6821880B1 (en) 2003-12-01 2004-11-23 Taiwan Semiconductor Manufacturing Co. Ltd. Process of dual or single damascene utilizing separate etching and DCM apparati
JP2005294525A (ja) 2004-03-31 2005-10-20 Toshiba Corp 半導体装置の製造方法
WO2005109475A2 (en) 2004-05-04 2005-11-17 California Institute Of Technology Zeolite films for low k applications
US7153783B2 (en) * 2004-07-07 2006-12-26 Honeywell International Inc. Materials with enhanced properties for shallow trench isolation/premetal dielectric applications
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103619965A (zh) * 2011-05-31 2014-03-05 霍尼韦尔国际公司 用于光学元件的涂料组合物
CN103619965B (zh) * 2011-05-31 2017-02-08 霍尼韦尔国际公司 用于光学元件的涂料组合物
CN103145346A (zh) * 2011-12-06 2013-06-12 中国科学院过程工程研究所 一种改变光滑玻璃表面润湿性能的方法及其对玻璃微通道表面改性的应用
CN110083015A (zh) * 2019-04-08 2019-08-02 深圳市华星光电技术有限公司 改善光阻附着力的方法

Also Published As

Publication number Publication date
TW200626518A (en) 2006-08-01
US8475666B2 (en) 2013-07-02
TWI380968B (zh) 2013-01-01
US20060057855A1 (en) 2006-03-16
US20060057837A1 (en) 2006-03-16
US7915159B2 (en) 2011-03-29

Similar Documents

Publication Publication Date Title
CN101015045A (zh) 处理剂材料
US7678712B2 (en) Vapor phase treatment of dielectric materials
CN1839468B (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
CN1742363B (zh) 受损电介质材料和电介质膜的修复和恢复
US6589889B2 (en) Contact planarization using nanoporous silica materials
JP4125637B2 (ja) 低誘電率材料及びその製造方法
JP5161571B2 (ja) 処理剤物質
JP2004165613A (ja) 電子デバイスの製造
EP1543549A1 (en) Interlayer adhesion promoter for low k materials
US20040052948A1 (en) Electronic device manufacture
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수
JP2010093111A (ja) 塗布型無機シリカ系被膜形成用組成物、この組成物を用いた塗布型無機シリカ系被膜、及び、この被膜を有する電子部品
Jones III Etching of silicon dioxide thin films and synthesis of novolac resins in supercritical carbon dioxide

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication